From e4b98d0088f3511b2846200e27a61b8dde94ddfc Mon Sep 17 00:00:00 2001 From: Obijuan Date: Thu, 2 Nov 2023 13:25:46 +0100 Subject: [PATCH] FF tests moved to the iceFF-TB collection --- .../DFF-rst/Alhambra-II/Manual-testing.ice | 10664 ---------------- .../DFF/Alhambra-II/01-manual-testing.ice | 10491 --------------- .../Alhambra-II/01-manual-testing.ice | 7203 ----------- .../Alhambra-II/01-manual-testing.ice | 6605 ---------- .../Alhabra-II/01-manual-testing.ice | 6467 ---------- .../Sys-DFF/Alhambra-II/01-manual-testing.ice | 6095 --------- .../Alhambra-II/01-Manual-testing.ice | 2588 ---- .../Alhambra-II/01-Manual-testing.ice | 304 - .../Alhambra-II/01-manual-testing.ice | 6219 --------- .../Sys-TFF/Alhambra-II/01-manual-testing.ice | 5965 --------- .../TFF-rst/Alhambra-II/01-manual-testing.ice | 10473 --------------- .../TFF/Alhambra-II/01-manual-testing.ice | 10396 --------------- 12 files changed, 83470 deletions(-) delete mode 100644 examples/TESTs/DFFs/DFF-rst/Alhambra-II/Manual-testing.ice delete mode 100644 examples/TESTs/DFFs/DFF/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/DFFs/Sys-DFF-ld-rst/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/DFFs/Sys-DFF-ld/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/DFFs/Sys-DFF-rst/Alhabra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/DFFs/Sys-DFF/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/RS-FFs/RS-FF-reset/Alhambra-II/01-Manual-testing.ice delete mode 100644 examples/TESTs/RS-FFs/RS-FF-set/Alhambra-II/01-Manual-testing.ice delete mode 100644 examples/TESTs/TFFs/Sys-TFF-rst/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/TFFs/Sys-TFF/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/TFFs/TFF-rst/Alhambra-II/01-manual-testing.ice delete mode 100644 examples/TESTs/TFFs/TFF/Alhambra-II/01-manual-testing.ice diff --git a/examples/TESTs/DFFs/DFF-rst/Alhambra-II/Manual-testing.ice b/examples/TESTs/DFFs/DFF-rst/Alhambra-II/Manual-testing.ice deleted file mode 100644 index 1b1c233..0000000 --- a/examples/TESTs/DFFs/DFF-rst/Alhambra-II/Manual-testing.ice +++ /dev/null @@ -1,10664 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "ef764a8c-90a3-4b20-b92c-661921cbab49", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 432, - "y": 272 - } - }, - { - "id": "e883b1c1-5819-4920-b042-2f973d612678", - "type": "basic.output", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ] - }, - "position": { - "x": 792, - "y": 352 - } - }, - { - "id": "d33439ae-937a-4f8e-9e91-827cc23fd862", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "clock": false - }, - "position": { - "x": 248, - "y": 480 - } - }, - { - "id": "944297b0-90a7-41df-9b8c-b589a9a49a4a", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 560, - "y": 608 - } - }, - { - "id": "71625de1-4c66-4c49-80c6-e0d24324f0c9", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW2", - "value": "33" - } - ], - "clock": false - }, - "position": { - "x": 240, - "y": 608 - } - }, - { - "id": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "type": "basic.constant", - "data": { - "name": "Init", - "value": "0", - "local": false - }, - "position": { - "x": 176, - "y": 128 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## DFF-rst: Manual testing\n", - "readonly": true - }, - "position": { - "x": 96, - "y": 0 - }, - "size": { - "width": 688, - "height": 48 - } - }, - { - "id": "756f220b-a9b5-4c15-94ff-6cca16260a77", - "type": "basic.info", - "data": { - "info": "DFF-rst", - "readonly": true - }, - "position": { - "x": 664, - "y": 440 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "type": "f343ddae2d2d482122701507323b17ee02880898", - "position": { - "x": 408, - "y": 464 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 104, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 248, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e6cb1506-eb76-4e1d-ae1f-d702e1b2a83e", - "type": "f343ddae2d2d482122701507323b17ee02880898", - "position": { - "x": 400, - "y": 592 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "type": "c07b9c471f2a9e17153f7a20a3207a0727c99241", - "position": { - "x": 632, - "y": 304 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "d33439ae-937a-4f8e-9e91-827cc23fd862", - "port": "out" - }, - "target": { - "block": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "port": "21bc142d-a93a-430d-b37a-326435def9f9" - } - }, - { - "source": { - "block": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "port": "997db8c4-b772-49d8-83e7-4427aff720e6" - }, - "target": { - "block": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "port": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8" - }, - "vertices": [ - { - "x": 360, - "y": 248 - } - ] - }, - { - "source": { - "block": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "e883b1c1-5819-4920-b042-2f973d612678", - "port": "in" - } - }, - { - "source": { - "block": "71625de1-4c66-4c49-80c6-e0d24324f0c9", - "port": "out" - }, - "target": { - "block": "e6cb1506-eb76-4e1d-ae1f-d702e1b2a83e", - "port": "21bc142d-a93a-430d-b37a-326435def9f9" - }, - "vertices": [] - }, - { - "source": { - "block": "ef764a8c-90a3-4b20-b92c-661921cbab49", - "port": "outlabel" - }, - "target": { - "block": "6aed62fb-170d-4537-8ac0-872f549c5fe4", - "port": "438f72b7-318e-4423-9779-5e4db241705e" - }, - "vertices": [ - { - "x": 560, - "y": 336 - } - ] - }, - { - "source": { - "block": "e6cb1506-eb76-4e1d-ae1f-d702e1b2a83e", - "port": "997db8c4-b772-49d8-83e7-4427aff720e6" - }, - "target": { - "block": "944297b0-90a7-41df-9b8c-b589a9a49a4a", - "port": "inlabel" - } - } - ] - } - }, - "dependencies": { - "f343ddae2d2d482122701507323b17ee02880898": { - "package": { - "name": "Button-tic", - "version": "0.6", - "description": "Button-tic: Configurable button that emits a tic when it is pressed", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -32 - } - }, - { - "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 280, - "y": -32 - } - }, - { - "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 728, - "y": -16 - } - }, - { - "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 312, - "y": 104 - } - }, - { - "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 744, - "y": 136 - } - }, - { - "id": "997db8c4-b772-49d8-83e7-4427aff720e6", - "type": "basic.output", - "data": { - "name": "Press" - }, - "position": { - "x": 1024, - "y": 168 - } - }, - { - "id": "21bc142d-a93a-430d-b37a-326435def9f9", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 304, - "y": 200 - } - }, - { - "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 448, - "y": 56 - } - }, - { - "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 560, - "y": 56 - } - }, - { - "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 136, - "y": -56 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", - "type": "basic.info", - "data": { - "info": "Button state signal", - "readonly": true - }, - "position": { - "x": 720, - "y": -32 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", - "type": "basic.info", - "data": { - "info": "Tic: button pressed", - "readonly": true - }, - "position": { - "x": 1024, - "y": 152 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", - "type": "basic.info", - "data": { - "info": "Rising edge detector", - "readonly": true - }, - "position": { - "x": 872, - "y": 248 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", - "type": "basic.info", - "data": { - "info": "Pull up on/off", - "readonly": true - }, - "position": { - "x": 448, - "y": 16 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", - "type": "basic.info", - "data": { - "info": "Not on/off", - "readonly": true - }, - "position": { - "x": 576, - "y": 16 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "type": "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb", - "position": { - "x": 888, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "type": "0b641dd31ecc9ec9194efd886de27cadd758656b", - "position": { - "x": 496, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "port": "out" - }, - "target": { - "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "port": "outlabel" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" - }, - "vertices": [ - { - "x": 424, - "y": 160 - } - ] - }, - { - "source": { - "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "port": "outlabel" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "21bc142d-a93a-430d-b37a-326435def9f9", - "port": "out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "port": "in" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - }, - "vertices": [] - }, - { - "source": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "997db8c4-b772-49d8-83e7-4427aff720e6", - "port": "in" - } - }, - { - "source": { - "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" - } - }, - { - "source": { - "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" - } - } - ] - } - } - }, - "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb": { - "package": { - "name": "Rising-edge-detector", - "version": "0.3", - "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 840, - "y": 400 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 176, - "y": -16 - }, - "size": { - "width": 568, - "height": 80 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 160, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 168, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 328, - "y": 456 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", - "readonly": true - }, - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 344, - "height": 96 - } - }, - { - "id": "c3990bfd-57a6-4602-ab46-800486326dd6", - "type": "basic.info", - "data": { - "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", - "readonly": true - }, - "position": { - "x": 528, - "y": 504 - }, - "size": { - "width": 416, - "height": 88 - } - }, - { - "id": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "70cfa680-3def-482c-b194-054c1f522357", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 552, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 696, - "y": 400 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "0b641dd31ecc9ec9194efd886de27cadd758656b": { - "package": { - "name": "Button", - "version": "0.4", - "description": "Configurable button (pull-up on/off. Not on/off)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", - "otid": 1615538095529 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 232 - } - }, - { - "id": "c2136078-81d0-4137-8583-c122b93cbdb0", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 232 - } - }, - { - "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 472, - "y": 368 - } - }, - { - "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": 368 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 1200, - "y": 440 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 152, - "y": 472 - } - }, - { - "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 336, - "y": 360 - } - }, - { - "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 760, - "y": 352 - } - }, - { - "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", - "position": { - "x": 336, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", - "type": "basic.info", - "data": { - "info": "Internal pull-up \n* 0: OFF\n* 1: ON", - "readonly": true - }, - "position": { - "x": 320, - "y": 560 - }, - "size": { - "width": 176, - "height": 72 - } - }, - { - "id": "2349cf1c-768c-483c-bdf3-852e36755326", - "type": "basic.info", - "data": { - "info": "Synchronization stage", - "readonly": true - }, - "position": { - "x": 552, - "y": 536 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", - "type": "basic.info", - "data": { - "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", - "readonly": true - }, - "position": { - "x": 752, - "y": 536 - }, - "size": { - "width": 192, - "height": 88 - } - }, - { - "id": "9207da36-adfa-43d6-a633-ccaa601b9293", - "type": "basic.info", - "data": { - "info": "Debouncing stage", - "readonly": true - }, - "position": { - "x": 1008, - "y": 528 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "2de6000f-b5a2-4589-8fb3-d04179982959", - "type": "f718a5d8b0501d5cbb96b48c918db07a415187be", - "position": { - "x": 1024, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "type": "dc93d663ad1f02da00a0889f408a1f59b739c755", - "position": { - "x": 600, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "type": "ad96dc706d08e8529f19944fe45991970dda6d11", - "position": { - "x": 760, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "port": "out" - }, - "target": { - "block": "c2136078-81d0-4137-8583-c122b93cbdb0", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "port": "outlabel" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" - } - }, - { - "source": { - "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "port": "outlabel" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" - } - }, - { - "source": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" - }, - "vertices": [] - }, - { - "source": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "port": "constant-out" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" - }, - "vertices": [] - }, - { - "source": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" - }, - "vertices": [] - }, - { - "source": { - "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "port": "constant-out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" - } - }, - { - "source": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" - } - } - ] - } - } - }, - "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { - "package": { - "name": "Pull-upx1", - "version": "1.0.2", - "description": "FPGA internal pull-up configuration on the input port", - "author": "Juan González", - "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 72, - "y": 256 - } - }, - { - "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 256 - } - }, - { - "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "type": "basic.constant", - "data": { - "name": "on", - "value": "1", - "local": false - }, - "position": { - "x": 408, - "y": -8 - } - }, - { - "id": "2b245a71-2d80-466b-955f-e3d61839fe25", - "type": "basic.code", - "data": { - "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", - "params": [ - { - "name": "ON" - } - ], - "ports": { - "in": [ - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 256, - "y": 104 - }, - "size": { - "width": 392, - "height": 368 - } - }, - { - "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", - "type": "basic.info", - "data": { - "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", - "readonly": true - }, - "position": { - "x": 144, - "y": -48 - }, - "size": { - "width": 264, - "height": 104 - } - }, - { - "id": "5a96e53f-d2ff-4058-bbed-779876848487", - "type": "basic.info", - "data": { - "info": "Only an FPGA pin can \nbe connected here!!!", - "readonly": true - }, - "position": { - "x": 56, - "y": 200 - }, - "size": { - "width": 192, - "height": 56 - } - }, - { - "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", - "type": "basic.info", - "data": { - "info": "The pull-up is connected \nby default", - "readonly": true - }, - "position": { - "x": 512, - "y": 0 - }, - "size": { - "width": 208, - "height": 56 - } - } - ], - "wires": [ - { - "source": { - "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "port": "out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "i" - } - }, - { - "source": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "o" - }, - "target": { - "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "port": "in" - } - }, - { - "source": { - "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "port": "constant-out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "ON" - } - } - ] - } - } - }, - "f718a5d8b0501d5cbb96b48c918db07a415187be": { - "package": { - "name": "Debouncer-x01", - "version": "1.2.0", - "description": "Remove the rebound on a mechanical switch", - "author": "Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -376, - "y": -656 - } - }, - { - "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -656 - } - }, - { - "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 952, - "y": -600 - } - }, - { - "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out" - }, - "position": { - "x": 816, - "y": -600 - } - }, - { - "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -376, - "y": -584 - } - }, - { - "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -584 - } - }, - { - "id": "5d12a177-7618-4517-9067-3012f7cb42ce", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 552, - "y": -440 - } - }, - { - "id": "2f1050dd-a720-4ede-890e-612ce370ba61", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 840, - "y": -352 - } - }, - { - "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -352 - } - }, - { - "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -288 - } - }, - { - "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -160 - } - }, - { - "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", - "position": { - "x": 704, - "y": -368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", - "type": "basic.info", - "data": { - "info": "Edge detector", - "readonly": true - }, - "position": { - "x": 248, - "y": -104 - }, - "size": { - "width": 128, - "height": 40 - } - }, - { - "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", - "type": "basic.info", - "data": { - "info": "Whenever there is a change in \nthe input, the counter is started", - "readonly": true - }, - "position": { - "x": 376, - "y": -120 - }, - "size": { - "width": 288, - "height": 56 - } - }, - { - "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", - "type": "basic.info", - "data": { - "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", - "readonly": true - }, - "position": { - "x": 720, - "y": -456 - }, - "size": { - "width": 304, - "height": 72 - } - }, - { - "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", - "type": "basic.info", - "data": { - "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", - "readonly": true - }, - "position": { - "x": 432, - "y": -640 - }, - "size": { - "width": 360, - "height": 120 - } - }, - { - "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", - "type": "basic.info", - "data": { - "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", - "readonly": true - }, - "position": { - "x": -8, - "y": -648 - }, - "size": { - "width": 312, - "height": 128 - } - }, - { - "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", - "type": "basic.info", - "data": { - "info": "Stable output", - "readonly": true - }, - "position": { - "x": 880, - "y": -280 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", - "type": "basic.info", - "data": { - "info": "Counter", - "readonly": true - }, - "position": { - "x": 536, - "y": -232 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "type": "413e4acc2a97fd7f77adb67728d1ae7b4d24f155", - "position": { - "x": 520, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "af203e4e-327b-44bb-9195-e059b3b635c8", - "type": "3324889d602b184a4c2012938d6c0c3749a5c7e9", - "position": { - "x": 248, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "port": "out" - }, - "target": { - "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "port": "inlabel" - } - }, - { - "source": { - "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "5d12a177-7618-4517-9067-3012f7cb42ce", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "port": "outlabel" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "port": "out" - }, - "target": { - "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "port": "inlabel" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - } - }, - { - "source": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "2f1050dd-a720-4ede-890e-612ce370ba61", - "port": "inlabel" - } - }, - { - "source": { - "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "port": "outlabel" - }, - "target": { - "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "port": "in" - } - }, - { - "source": { - "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" - }, - "vertices": [] - }, - { - "source": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" - } - } - ] - } - } - }, - "93adf61bc489d9a96a344d3f2600237e9e19c607": { - "package": { - "name": "Reg-1bit", - "version": "0.1", - "description": "1bit register (implemented in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": 104 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 216, - "y": 192 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 728, - "y": 192 - } - }, - { - "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 240, - "y": 320 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 472, - "y": 56 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 272, - "height": 104 - } - }, - { - "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, - "position": { - "x": 480, - "y": 48 - }, - "size": { - "width": 136, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - }, - { - "source": { - "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "load" - } - } - ] - } - } - }, - "413e4acc2a97fd7f77adb67728d1ae7b4d24f155": { - "package": { - "name": "syscounter-rst-16bits", - "version": "0.1", - "description": "16-bits Syscounter with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -120 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -120 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -32 - } - }, - { - "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "type": "basic.outputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "blockColor": "fuchsia", - "size": 16 - }, - "position": { - "x": 1040, - "y": -32 - } - }, - { - "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "type": "basic.output", - "data": { - "name": "q", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 1216, - "y": -32 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 1208, - "y": 56 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 1048, - "y": 56 - } - }, - { - "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 216, - "y": 56 - } - }, - { - "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "type": "basic.inputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "pins": [ - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 704, - "y": 56 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": 144 - } - }, - { - "id": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "type": "bc711b66856fc03718ca2669a0c67f34806c8a9d", - "position": { - "x": 544, - "y": 40 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", - "position": { - "x": 720, - "y": 160 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "size": 16 - }, - "target": { - "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "port": "inlabel" - }, - "size": 16 - }, - { - "source": { - "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "port": "outlabel" - }, - "target": { - "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "port": "in", - "size": 16 - }, - "size": 16 - }, - { - "source": { - "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "port": "out" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876" - }, - "target": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" - }, - "vertices": [], - "size": 16 - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170" - }, - "vertices": [ - { - "x": 840, - "y": 264 - } - ], - "size": 16 - } - ] - } - } - }, - "bc711b66856fc03718ca2669a0c67f34806c8a9d": { - "package": { - "name": "DFF-rst-x16", - "version": "0.1", - "description": "DFF-rst-x16: 16 D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "05abbe4c-682c-4e96-9360-fa14c9626570", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -448 - } - }, - { - "id": "81f40927-8dde-4218-9855-3b92f1892e56", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -376 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -632, - "y": -264 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -264 - } - }, - { - "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -216 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -624, - "y": -192 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -192 - } - }, - { - "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -144 - } - }, - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -8 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 72 - } - }, - { - "id": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 568, - "y": 104 - } - }, - { - "id": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -576, - "y": 136 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 248 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 312 - } - }, - { - "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": 352 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "03291097-00e3-48db-9044-3c73ed88eeb8", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 40, - "y": 104 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "type": "852bc8c84d29887beb3432bd25e5e7b6419b7f06", - "position": { - "x": -400, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "type": "401a2859b9822a66cc19b8c5277d4c310a836edd", - "position": { - "x": 384, - "y": 72 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": -152 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 64, - "y": -360 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 0, - "y": 112 - } - ] - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": -8, - "y": 376 - } - ] - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "05abbe4c-682c-4e96-9360-fa14c9626570", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "81f40927-8dde-4218-9855-3b92f1892e56", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 8, - "y": -328 - } - ] - }, - { - "source": { - "block": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "port": "out" - }, - "target": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "df399ca5-160e-470b-90e2-3c3b488cda5e" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -272, - "y": 368 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "b69090a8-121e-4bcb-8e4b-55faee72dc70" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -160, - "y": -16 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "8bbb6d1c-9f19-4d90-937c-492fec692420" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -184, - "y": -184 - } - ], - "size": 4 - }, - { - "source": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "7f009181-43fb-4806-a540-c2049656ce40" - }, - "size": 4 - }, - { - "source": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "d250cf47-8011-489b-8ddf-cc19f9e3f937" - }, - "size": 4 - }, - { - "source": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "b875d929-cdf0-4d61-bf25-af544dcb5ff8" - }, - "vertices": [ - { - "x": 208, - "y": 24 - } - ], - "size": 4 - }, - { - "source": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df" - }, - "vertices": [ - { - "x": 280, - "y": -128 - } - ], - "size": 4 - } - ] - } - } - }, - "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { - "package": { - "name": "DFF-rst-x04", - "version": "0.1", - "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -336 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -168, - "y": -280 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -496, - "y": -256 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -256 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -200 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -488, - "y": -184 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -184 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": -8 - } - }, - { - "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1032, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -336, - "y": 224 - } - }, - { - "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 520, - "y": 264 - } - }, - { - "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": 192 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 848, - "y": 152 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 672, - "y": 264 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 520, - "y": 64 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ef954a48-69f2-4704-9719-698826029980", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 272, - "y": -128 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": -16, - "y": -296 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 128 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [ - { - "x": -48, - "y": 312 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 768, - "y": 72 - } - ] - }, - { - "source": { - "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "port": "out" - }, - "target": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { - "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", - "data": { - "name": "3" - }, - "position": { - "x": 576, - "y": 80 - } - }, - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", - "data": { - "name": "2" - }, - "position": { - "x": 600, - "y": 144 - } - }, - { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 184 - } - }, - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 592, - "y": 240 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 568, - "y": 296 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" - } - }, - { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 200, - "y": -264 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 352, - "y": -264 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 584, - "y": -128 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 856, - "y": -56 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 200, - "y": -56 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 200, - "y": 80 - } - }, - { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 712, - "y": -160 - } - }, - { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", - "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true - }, - "position": { - "x": 184, - "y": -120 - }, - "size": { - "width": 272, - "height": 48 - } - }, - { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 360, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 536, - "y": -40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 216, - "y": 56 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": -304 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 720, - "y": -200 - }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 712, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "852bc8c84d29887beb3432bd25e5e7b6419b7f06": { - "package": { - "name": "Bus16-Split-quarter", - "version": "0.1", - "description": "Bus16-Split-quarter: Split the 16-bits bus into four buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "type": "basic.output", - "data": { - "name": "3", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 64 - } - }, - { - "id": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "type": "basic.output", - "data": { - "name": "2", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 640, - "y": 208 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 224 - } - }, - { - "id": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 312 - } - }, - { - "id": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 552, - "y": 400 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[15:12];\nassign o2 = i[11:8];\nassign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "401a2859b9822a66cc19b8c5277d4c310a836edd": { - "package": { - "name": "Bus16-Join-quarter", - "version": "0.1", - "description": "Bus16-Join-quarter: Join the four same buses into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "type": "basic.input", - "data": { - "name": "3", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 104 - } - }, - { - "id": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "type": "basic.input", - "data": { - "name": "2", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 184 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 720, - "y": 224 - } - }, - { - "id": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 248 - } - }, - { - "id": "7f009181-43fb-4806-a540-c2049656ce40", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 312 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "7f009181-43fb-4806-a540-c2049656ce40", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - }, - { - "source": { - "block": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 224, - "y": 232 - } - ], - "size": 4 - }, - { - "source": { - "block": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 240, - "y": 168 - } - ], - "size": 4 - } - ] - } - } - }, - "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { - "package": { - "name": "Inc1-16bits", - "version": "0.1", - "description": "Inc1-16bit: Increment a 16-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "12fb4602-d67f-4129-a777-f04945adf29d", - "type": "26569688c377bf52132e5f1de5a15da7143d9388", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - }, - { - "source": { - "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "port": "out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" - }, - "size": 16 - }, - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" - }, - "target": { - "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "26569688c377bf52132e5f1de5a15da7143d9388": { - "package": { - "name": "AdderK-16bits", - "version": "0.1", - "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -96 - } - }, - { - "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 232, - "y": -56 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 232, - "y": -272 - } - }, - { - "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "type": "651fa32fcf52b534a766fdb2ba638a010338f268", - "position": { - "x": 232, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "d54af040-d87a-431d-a15f-d5deb4795af5" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" - }, - "size": 16 - }, - { - "source": { - "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "port": "out" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" - }, - "size": 16 - }, - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" - }, - "target": { - "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "651fa32fcf52b534a766fdb2ba638a010338f268": { - "package": { - "name": "16-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 16-bits generic constant", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "d54af040-d87a-431d-a15f-d5deb4795af5", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "d54af040-d87a-431d-a15f-d5deb4795af5", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "bc66d79524a86b172c0ff190e607bca7c0694b8b": { - "package": { - "name": "Adder-16bits", - "version": "0.1", - "description": "Adder-16bits: Adder of two operands of 16 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -664 - } - }, - { - "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -608 - } - }, - { - "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -592 - } - }, - { - "id": "4743defe-36c0-40a2-aaf0-188272583034", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -472 - } - }, - { - "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -424 - } - }, - { - "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -408 - } - }, - { - "id": "7114cf32-af2c-438f-b816-1043a8bd819d", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -424 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -608 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "type": "c3c498191b14e9288a85fa2871b3966665f75475", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "7114cf32-af2c-438f-b816-1043a8bd819d", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "63477487-9493-4058-a7e1-9bab443ec466", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4743defe-36c0-40a2-aaf0-188272583034", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "3867504b-f331-4e0e-b923-acc86cb4255c", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "port": "out" - }, - "target": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "port": "out" - }, - "target": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" - }, - "size": 8 - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" - }, - "size": 8 - } - ] - } - } - }, - "306ca367fbbc5181b3c709d73f447e0710871a1d": { - "package": { - "name": "Bus16-Split-half", - "version": "0.1", - "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "type": "basic.output", - "data": { - "name": "1", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 176 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 208 - } - }, - { - "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "type": "basic.output", - "data": { - "name": "0", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { - "package": { - "name": "Adder-8bits", - "version": "0.1", - "description": "Adder-8bits: Adder of two operands of 8 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -688 - } - }, - { - "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -616 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -440 - } - }, - { - "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "63477487-9493-4058-a7e1-9bab443ec466", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -320, - "y": -384 - } - }, - { - "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -368 - } - }, - { - "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -168, - "y": -384 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -152, - "y": -632 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "63477487-9493-4058-a7e1-9bab443ec466", - "port": "out" - }, - "target": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "port": "out" - }, - "target": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 104 - } - }, - { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 208 - } - }, - { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 232 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 8 - } - ] - } - } - }, - "25966b9480fc28011aea0e17452c30d5ff9d76e8": { - "package": { - "name": "Adder-4bits", - "version": "0.1", - "description": "Adder-4bits: Adder of two operands of 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 168 - } - }, - { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "type": "basic.output", - "data": { - "name": "", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 640, - "y": 200 - } - }, - { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 224 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { - "package": { - "name": "AdderC-4bits", - "version": "0.1", - "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -296, - "y": -168 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - } - ] - } - } - }, - "c3c498191b14e9288a85fa2871b3966665f75475": { - "package": { - "name": "AdderC-8bits", - "version": "0.1", - "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -648 - } - }, - { - "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -408, - "y": -584 - } - }, - { - "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "deeppink", - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 832, - "y": -480 - } - }, - { - "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 248, - "y": -456 - } - }, - { - "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -448 - } - }, - { - "id": "3867504b-f331-4e0e-b923-acc86cb4255c", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -400, - "y": -392 - } - }, - { - "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -376 - } - }, - { - "id": "383985cb-fd11-48ff-972a-cee8b631bd65", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 248, - "y": -376 - } - }, - { - "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 840, - "y": -336 - } - }, - { - "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 120, - "y": -304 - } - }, - { - "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -392, - "y": -168 - } - }, - { - "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -248, - "y": -392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -240, - "y": -584 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 688, - "y": -336 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "840ba8a1-693f-4531-a947-adcaeac4e854", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 272, - "y": -256 - } - ], - "size": 4 - }, - { - "source": { - "block": "383985cb-fd11-48ff-972a-cee8b631bd65", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 384, - "y": -400 - } - ], - "size": 4 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "port": "out" - }, - "target": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "3867504b-f331-4e0e-b923-acc86cb4255c", - "port": "out" - }, - "target": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - }, - "vertices": [ - { - "x": 624, - "y": -392 - } - ] - } - ] - } - } - }, - "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { - "package": { - "name": "Bus16-Join-half", - "version": "0.1", - "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "type": "basic.input", - "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 168 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 632, - "y": 200 - } - }, - { - "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "type": "basic.input", - "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 264 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 8 - }, - { - "source": { - "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 8 - } - ] - } - } - }, - "3324889d602b184a4c2012938d6c0c3749a5c7e9": { - "package": { - "name": "Edges-detector", - "version": "0.2", - "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 88, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 88, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 616, - "y": 352 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 104, - "y": -40 - }, - "size": { - "width": 648, - "height": 96 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 96, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 104, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 160, - "y": 352 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", - "readonly": true - }, - "position": { - "x": 504, - "y": 264 - }, - "size": { - "width": 400, - "height": 72 - } - }, - { - "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 480, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", - "type": "basic.info", - "data": { - "info": "In any other case the output is 0", - "readonly": true - }, - "position": { - "x": 520, - "y": 432 - }, - "size": { - "width": 296, - "height": 40 - } - }, - { - "id": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - }, - { - "source": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [ - { - "x": 264, - "y": 368 - } - ] - } - ] - } - } - }, - "dc93d663ad1f02da00a0889f408a1f59b739c755": { - "package": { - "name": "Sync-x01", - "version": "0.2", - "description": "Sync 1-bit input with the system clock domain", - "author": "Juan Gonzalez-González (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -256, - "y": -56 - } - }, - { - "id": "e226f910-14af-473d-956b-03559f466726", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -104, - "y": -56 - } - }, - { - "id": "7f538425-03ff-409e-81c2-d2714dfb036f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 128, - "y": 32 - } - }, - { - "id": "868cf45b-3801-40c1-9a04-498087cf183e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": -56, - "y": 72 - } - }, - { - "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 424, - "y": 128 - } - }, - { - "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -64, - "y": 160 - } - }, - { - "id": "530188ca-389b-48f1-8fc5-793e57545112", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 104, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 280, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "port": "out" - }, - "target": { - "block": "e226f910-14af-473d-956b-03559f466726", - "port": "inlabel" - } - }, - { - "source": { - "block": "868cf45b-3801-40c1-9a04-498087cf183e", - "port": "outlabel" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f538425-03ff-409e-81c2-d2714dfb036f", - "port": "outlabel" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "port": "out" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "port": "in" - } - } - ] - } - } - }, - "ad96dc706d08e8529f19944fe45991970dda6d11": { - "package": { - "name": "not-wire-x01", - "version": "0.3", - "description": "Select positive or negative logic for the input (0=positive, 1=negative)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1607779171609 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 304 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 376, - "y": 320 - } - }, - { - "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 160 - } - }, - { - "id": "019e81db-5707-409c-b159-b4cb29813cc4", - "type": "basic.info", - "data": { - "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", - "readonly": true - }, - "position": { - "x": 632, - "y": 392 - }, - "size": { - "width": 336, - "height": 96 - } - }, - { - "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", - "type": "basic.info", - "data": { - "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", - "readonly": true - }, - "position": { - "x": 728, - "y": 8 - }, - "size": { - "width": 296, - "height": 144 - } - }, - { - "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 672, - "y": 304 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 520, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "port": "constant-out" - }, - "target": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "c07b9c471f2a9e17153f7a20a3207a0727c99241": { - "package": { - "name": "DFF-rst-verilog", - "version": "0.4", - "description": "DFF-rst. D Flip-Flop with load and reset. Implemented in verilog", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e4e4e6e7-6e55-4512-8179-db18a2f82c69", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 672, - "y": 344 - } - }, - { - "id": "e1b04e7c-98d4-4edf-ac13-f60fd7363f2e", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 672, - "y": 416 - } - }, - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 96, - "y": 464 - } - }, - { - "id": "438f72b7-318e-4423-9779-5e4db241705e", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 96, - "y": 544 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 672, - "y": 584 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 96, - "y": 624 - } - }, - { - "id": "a2a8c683-631a-4746-8940-ad1a5aacbacc", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 664, - "y": 696 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 96, - "y": 704 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 392, - "y": 336 - } - }, - { - "id": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- Priority for rst\n if (rst == 1'b1)\n qi <=INI;\n \n //-- Load input data\n else if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 272, - "y": 456 - }, - "size": { - "width": 336, - "height": 320 - } - } - ], - "wires": [ - { - "source": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "INI" - } - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "clk" - } - }, - { - "source": { - "block": "438f72b7-318e-4423-9779-5e4db241705e", - "port": "out" - }, - "target": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "rst" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "d" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "c15f21e0-77fe-4595-b22e-eb57034dccab", - "port": "load" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/DFFs/DFF/Alhambra-II/01-manual-testing.ice b/examples/TESTs/DFFs/DFF/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index e0fb8ba..0000000 --- a/examples/TESTs/DFFs/DFF/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,10491 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "e883b1c1-5819-4920-b042-2f973d612678", - "type": "basic.output", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ] - }, - "position": { - "x": 776, - "y": 320 - } - }, - { - "id": "d33439ae-937a-4f8e-9e91-827cc23fd862", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "clock": false - }, - "position": { - "x": 296, - "y": 488 - } - }, - { - "id": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "type": "basic.constant", - "data": { - "name": "Init", - "value": "0", - "local": false - }, - "position": { - "x": 448, - "y": 136 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## DFF: Manual testing\n", - "readonly": true - }, - "position": { - "x": 248, - "y": 24 - }, - "size": { - "width": 688, - "height": 48 - } - }, - { - "id": "756f220b-a9b5-4c15-94ff-6cca16260a77", - "type": "basic.info", - "data": { - "info": "DFF", - "readonly": true - }, - "position": { - "x": 672, - "y": 416 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "type": "f343ddae2d2d482122701507323b17ee02880898", - "position": { - "x": 456, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 312, - "y": 320 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 456, - "y": 320 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a2be385a-7a84-4253-b428-e0b7750785bb", - "type": "e98e1d079313fc9f48ee0d213571e9b6185d8132", - "position": { - "x": 632, - "y": 304 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "d33439ae-937a-4f8e-9e91-827cc23fd862", - "port": "out" - }, - "target": { - "block": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "port": "21bc142d-a93a-430d-b37a-326435def9f9" - } - }, - { - "source": { - "block": "b6cfac81-7039-423a-a61a-7e8a60a9905b", - "port": "997db8c4-b772-49d8-83e7-4427aff720e6" - }, - "target": { - "block": "a2be385a-7a84-4253-b428-e0b7750785bb", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "a2be385a-7a84-4253-b428-e0b7750785bb", - "port": "be33796a-a109-4ab0-a53b-dd6ec67587cd" - } - }, - { - "source": { - "block": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "a2be385a-7a84-4253-b428-e0b7750785bb", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "39051ba1-49f9-42e6-94fe-265203f2d11c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "13e88566-5d39-4aab-a3fd-6ef2e9dc8d73", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "a2be385a-7a84-4253-b428-e0b7750785bb", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "e883b1c1-5819-4920-b042-2f973d612678", - "port": "in" - } - } - ] - } - }, - "dependencies": { - "f343ddae2d2d482122701507323b17ee02880898": { - "package": { - "name": "Button-tic", - "version": "0.6", - "description": "Button-tic: Configurable button that emits a tic when it is pressed", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -32 - } - }, - { - "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 280, - "y": -32 - } - }, - { - "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 728, - "y": -16 - } - }, - { - "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 312, - "y": 104 - } - }, - { - "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 744, - "y": 136 - } - }, - { - "id": "997db8c4-b772-49d8-83e7-4427aff720e6", - "type": "basic.output", - "data": { - "name": "Press" - }, - "position": { - "x": 1024, - "y": 168 - } - }, - { - "id": "21bc142d-a93a-430d-b37a-326435def9f9", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 304, - "y": 200 - } - }, - { - "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 448, - "y": 56 - } - }, - { - "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 560, - "y": 56 - } - }, - { - "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 136, - "y": -56 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", - "type": "basic.info", - "data": { - "info": "Button state signal", - "readonly": true - }, - "position": { - "x": 720, - "y": -32 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", - "type": "basic.info", - "data": { - "info": "Tic: button pressed", - "readonly": true - }, - "position": { - "x": 1024, - "y": 152 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", - "type": "basic.info", - "data": { - "info": "Rising edge detector", - "readonly": true - }, - "position": { - "x": 872, - "y": 248 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", - "type": "basic.info", - "data": { - "info": "Pull up on/off", - "readonly": true - }, - "position": { - "x": 448, - "y": 16 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", - "type": "basic.info", - "data": { - "info": "Not on/off", - "readonly": true - }, - "position": { - "x": 576, - "y": 16 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "type": "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb", - "position": { - "x": 888, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "type": "0b641dd31ecc9ec9194efd886de27cadd758656b", - "position": { - "x": 496, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "port": "out" - }, - "target": { - "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "port": "outlabel" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" - }, - "vertices": [ - { - "x": 424, - "y": 160 - } - ] - }, - { - "source": { - "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "port": "outlabel" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "21bc142d-a93a-430d-b37a-326435def9f9", - "port": "out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "port": "in" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - }, - "vertices": [] - }, - { - "source": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "997db8c4-b772-49d8-83e7-4427aff720e6", - "port": "in" - } - }, - { - "source": { - "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" - } - }, - { - "source": { - "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" - } - } - ] - } - } - }, - "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb": { - "package": { - "name": "Rising-edge-detector", - "version": "0.3", - "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 840, - "y": 400 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 176, - "y": -16 - }, - "size": { - "width": 568, - "height": 80 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 160, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 168, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 328, - "y": 456 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", - "readonly": true - }, - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 344, - "height": 96 - } - }, - { - "id": "c3990bfd-57a6-4602-ab46-800486326dd6", - "type": "basic.info", - "data": { - "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", - "readonly": true - }, - "position": { - "x": 528, - "y": 504 - }, - "size": { - "width": 416, - "height": 88 - } - }, - { - "id": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "70cfa680-3def-482c-b194-054c1f522357", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 552, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 696, - "y": 400 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "0b641dd31ecc9ec9194efd886de27cadd758656b": { - "package": { - "name": "Button", - "version": "0.4", - "description": "Configurable button (pull-up on/off. Not on/off)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", - "otid": 1615538095529 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 232 - } - }, - { - "id": "c2136078-81d0-4137-8583-c122b93cbdb0", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 232 - } - }, - { - "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 472, - "y": 368 - } - }, - { - "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": 368 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 1200, - "y": 440 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 152, - "y": 472 - } - }, - { - "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 336, - "y": 360 - } - }, - { - "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 760, - "y": 352 - } - }, - { - "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", - "position": { - "x": 336, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", - "type": "basic.info", - "data": { - "info": "Internal pull-up \n* 0: OFF\n* 1: ON", - "readonly": true - }, - "position": { - "x": 320, - "y": 560 - }, - "size": { - "width": 176, - "height": 72 - } - }, - { - "id": "2349cf1c-768c-483c-bdf3-852e36755326", - "type": "basic.info", - "data": { - "info": "Synchronization stage", - "readonly": true - }, - "position": { - "x": 552, - "y": 536 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", - "type": "basic.info", - "data": { - "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", - "readonly": true - }, - "position": { - "x": 752, - "y": 536 - }, - "size": { - "width": 192, - "height": 88 - } - }, - { - "id": "9207da36-adfa-43d6-a633-ccaa601b9293", - "type": "basic.info", - "data": { - "info": "Debouncing stage", - "readonly": true - }, - "position": { - "x": 1008, - "y": 528 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "2de6000f-b5a2-4589-8fb3-d04179982959", - "type": "f718a5d8b0501d5cbb96b48c918db07a415187be", - "position": { - "x": 1024, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "type": "dc93d663ad1f02da00a0889f408a1f59b739c755", - "position": { - "x": 600, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "type": "ad96dc706d08e8529f19944fe45991970dda6d11", - "position": { - "x": 760, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "port": "out" - }, - "target": { - "block": "c2136078-81d0-4137-8583-c122b93cbdb0", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "port": "outlabel" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" - } - }, - { - "source": { - "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "port": "outlabel" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" - } - }, - { - "source": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" - }, - "vertices": [] - }, - { - "source": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "port": "constant-out" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" - }, - "vertices": [] - }, - { - "source": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" - }, - "vertices": [] - }, - { - "source": { - "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "port": "constant-out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" - } - }, - { - "source": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" - } - } - ] - } - } - }, - "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { - "package": { - "name": "Pull-upx1", - "version": "1.0.2", - "description": "FPGA internal pull-up configuration on the input port", - "author": "Juan González", - "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 72, - "y": 256 - } - }, - { - "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 256 - } - }, - { - "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "type": "basic.constant", - "data": { - "name": "on", - "value": "1", - "local": false - }, - "position": { - "x": 408, - "y": -8 - } - }, - { - "id": "2b245a71-2d80-466b-955f-e3d61839fe25", - "type": "basic.code", - "data": { - "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", - "params": [ - { - "name": "ON" - } - ], - "ports": { - "in": [ - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 256, - "y": 104 - }, - "size": { - "width": 392, - "height": 368 - } - }, - { - "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", - "type": "basic.info", - "data": { - "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", - "readonly": true - }, - "position": { - "x": 144, - "y": -48 - }, - "size": { - "width": 264, - "height": 104 - } - }, - { - "id": "5a96e53f-d2ff-4058-bbed-779876848487", - "type": "basic.info", - "data": { - "info": "Only an FPGA pin can \nbe connected here!!!", - "readonly": true - }, - "position": { - "x": 56, - "y": 200 - }, - "size": { - "width": 192, - "height": 56 - } - }, - { - "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", - "type": "basic.info", - "data": { - "info": "The pull-up is connected \nby default", - "readonly": true - }, - "position": { - "x": 512, - "y": 0 - }, - "size": { - "width": 208, - "height": 56 - } - } - ], - "wires": [ - { - "source": { - "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "port": "out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "i" - } - }, - { - "source": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "o" - }, - "target": { - "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "port": "in" - } - }, - { - "source": { - "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "port": "constant-out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "ON" - } - } - ] - } - } - }, - "f718a5d8b0501d5cbb96b48c918db07a415187be": { - "package": { - "name": "Debouncer-x01", - "version": "1.2.0", - "description": "Remove the rebound on a mechanical switch", - "author": "Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -376, - "y": -656 - } - }, - { - "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -656 - } - }, - { - "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 952, - "y": -600 - } - }, - { - "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out" - }, - "position": { - "x": 816, - "y": -600 - } - }, - { - "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -376, - "y": -584 - } - }, - { - "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -584 - } - }, - { - "id": "5d12a177-7618-4517-9067-3012f7cb42ce", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 552, - "y": -440 - } - }, - { - "id": "2f1050dd-a720-4ede-890e-612ce370ba61", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 840, - "y": -352 - } - }, - { - "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -352 - } - }, - { - "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -288 - } - }, - { - "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -160 - } - }, - { - "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", - "position": { - "x": 704, - "y": -368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", - "type": "basic.info", - "data": { - "info": "Edge detector", - "readonly": true - }, - "position": { - "x": 248, - "y": -104 - }, - "size": { - "width": 128, - "height": 40 - } - }, - { - "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", - "type": "basic.info", - "data": { - "info": "Whenever there is a change in \nthe input, the counter is started", - "readonly": true - }, - "position": { - "x": 376, - "y": -120 - }, - "size": { - "width": 288, - "height": 56 - } - }, - { - "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", - "type": "basic.info", - "data": { - "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", - "readonly": true - }, - "position": { - "x": 720, - "y": -456 - }, - "size": { - "width": 304, - "height": 72 - } - }, - { - "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", - "type": "basic.info", - "data": { - "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", - "readonly": true - }, - "position": { - "x": 432, - "y": -640 - }, - "size": { - "width": 360, - "height": 120 - } - }, - { - "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", - "type": "basic.info", - "data": { - "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", - "readonly": true - }, - "position": { - "x": -8, - "y": -648 - }, - "size": { - "width": 312, - "height": 128 - } - }, - { - "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", - "type": "basic.info", - "data": { - "info": "Stable output", - "readonly": true - }, - "position": { - "x": 880, - "y": -280 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", - "type": "basic.info", - "data": { - "info": "Counter", - "readonly": true - }, - "position": { - "x": 536, - "y": -232 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "type": "413e4acc2a97fd7f77adb67728d1ae7b4d24f155", - "position": { - "x": 520, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "af203e4e-327b-44bb-9195-e059b3b635c8", - "type": "3324889d602b184a4c2012938d6c0c3749a5c7e9", - "position": { - "x": 248, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "port": "out" - }, - "target": { - "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "port": "inlabel" - } - }, - { - "source": { - "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "5d12a177-7618-4517-9067-3012f7cb42ce", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "port": "outlabel" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "port": "out" - }, - "target": { - "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "port": "inlabel" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - } - }, - { - "source": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "2f1050dd-a720-4ede-890e-612ce370ba61", - "port": "inlabel" - } - }, - { - "source": { - "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "port": "outlabel" - }, - "target": { - "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "port": "in" - } - }, - { - "source": { - "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" - }, - "vertices": [] - }, - { - "source": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" - } - } - ] - } - } - }, - "93adf61bc489d9a96a344d3f2600237e9e19c607": { - "package": { - "name": "Reg-1bit", - "version": "0.1", - "description": "1bit register (implemented in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": 104 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 216, - "y": 192 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 728, - "y": 192 - } - }, - { - "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 240, - "y": 320 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 472, - "y": 56 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 272, - "height": 104 - } - }, - { - "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, - "position": { - "x": 480, - "y": 48 - }, - "size": { - "width": 136, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - }, - { - "source": { - "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "load" - } - } - ] - } - } - }, - "413e4acc2a97fd7f77adb67728d1ae7b4d24f155": { - "package": { - "name": "syscounter-rst-16bits", - "version": "0.1", - "description": "16-bits Syscounter with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -120 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -120 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -32 - } - }, - { - "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "type": "basic.outputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "blockColor": "fuchsia", - "size": 16 - }, - "position": { - "x": 1040, - "y": -32 - } - }, - { - "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "type": "basic.output", - "data": { - "name": "q", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 1216, - "y": -32 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 1208, - "y": 56 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 1048, - "y": 56 - } - }, - { - "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 216, - "y": 56 - } - }, - { - "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "type": "basic.inputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "pins": [ - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 704, - "y": 56 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": 144 - } - }, - { - "id": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "type": "bc711b66856fc03718ca2669a0c67f34806c8a9d", - "position": { - "x": 544, - "y": 40 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", - "position": { - "x": 720, - "y": 160 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "size": 16 - }, - "target": { - "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "port": "inlabel" - }, - "size": 16 - }, - { - "source": { - "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "port": "outlabel" - }, - "target": { - "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "port": "in", - "size": 16 - }, - "size": 16 - }, - { - "source": { - "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "port": "out" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876" - }, - "target": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" - }, - "vertices": [], - "size": 16 - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170" - }, - "vertices": [ - { - "x": 840, - "y": 264 - } - ], - "size": 16 - } - ] - } - } - }, - "bc711b66856fc03718ca2669a0c67f34806c8a9d": { - "package": { - "name": "DFF-rst-x16", - "version": "0.1", - "description": "DFF-rst-x16: 16 D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "05abbe4c-682c-4e96-9360-fa14c9626570", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -448 - } - }, - { - "id": "81f40927-8dde-4218-9855-3b92f1892e56", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -376 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -632, - "y": -264 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -264 - } - }, - { - "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -216 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -624, - "y": -192 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -192 - } - }, - { - "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -144 - } - }, - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -8 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 72 - } - }, - { - "id": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 568, - "y": 104 - } - }, - { - "id": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -576, - "y": 136 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 248 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 312 - } - }, - { - "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": 352 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "03291097-00e3-48db-9044-3c73ed88eeb8", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 40, - "y": 104 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "type": "852bc8c84d29887beb3432bd25e5e7b6419b7f06", - "position": { - "x": -400, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "type": "401a2859b9822a66cc19b8c5277d4c310a836edd", - "position": { - "x": 384, - "y": 72 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": -152 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 64, - "y": -360 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 0, - "y": 112 - } - ] - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": -8, - "y": 376 - } - ] - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "05abbe4c-682c-4e96-9360-fa14c9626570", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "81f40927-8dde-4218-9855-3b92f1892e56", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 8, - "y": -328 - } - ] - }, - { - "source": { - "block": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "port": "out" - }, - "target": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "df399ca5-160e-470b-90e2-3c3b488cda5e" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -272, - "y": 368 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "b69090a8-121e-4bcb-8e4b-55faee72dc70" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -160, - "y": -16 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "8bbb6d1c-9f19-4d90-937c-492fec692420" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -184, - "y": -184 - } - ], - "size": 4 - }, - { - "source": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "7f009181-43fb-4806-a540-c2049656ce40" - }, - "size": 4 - }, - { - "source": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "d250cf47-8011-489b-8ddf-cc19f9e3f937" - }, - "size": 4 - }, - { - "source": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "b875d929-cdf0-4d61-bf25-af544dcb5ff8" - }, - "vertices": [ - { - "x": 208, - "y": 24 - } - ], - "size": 4 - }, - { - "source": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df" - }, - "vertices": [ - { - "x": 280, - "y": -128 - } - ], - "size": 4 - } - ] - } - } - }, - "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { - "package": { - "name": "DFF-rst-x04", - "version": "0.1", - "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -336 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -168, - "y": -280 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -496, - "y": -256 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -256 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -200 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -488, - "y": -184 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -184 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": -8 - } - }, - { - "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1032, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -336, - "y": 224 - } - }, - { - "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 520, - "y": 264 - } - }, - { - "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": 192 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 848, - "y": 152 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 672, - "y": 264 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 520, - "y": 64 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ef954a48-69f2-4704-9719-698826029980", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 272, - "y": -128 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": -16, - "y": -296 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 128 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [ - { - "x": -48, - "y": 312 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 768, - "y": 72 - } - ] - }, - { - "source": { - "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "port": "out" - }, - "target": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { - "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", - "data": { - "name": "3" - }, - "position": { - "x": 576, - "y": 80 - } - }, - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", - "data": { - "name": "2" - }, - "position": { - "x": 600, - "y": 144 - } - }, - { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 184 - } - }, - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 592, - "y": 240 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 568, - "y": 296 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" - } - }, - { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 200, - "y": -264 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 352, - "y": -264 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 584, - "y": -128 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 856, - "y": -56 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 200, - "y": -56 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 200, - "y": 80 - } - }, - { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 712, - "y": -160 - } - }, - { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", - "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true - }, - "position": { - "x": 184, - "y": -120 - }, - "size": { - "width": 272, - "height": 48 - } - }, - { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 360, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 536, - "y": -40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 216, - "y": 56 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": -304 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 720, - "y": -200 - }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 712, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "852bc8c84d29887beb3432bd25e5e7b6419b7f06": { - "package": { - "name": "Bus16-Split-quarter", - "version": "0.1", - "description": "Bus16-Split-quarter: Split the 16-bits bus into four buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "type": "basic.output", - "data": { - "name": "3", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 64 - } - }, - { - "id": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "type": "basic.output", - "data": { - "name": "2", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 640, - "y": 208 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 224 - } - }, - { - "id": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 312 - } - }, - { - "id": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 552, - "y": 400 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[15:12];\nassign o2 = i[11:8];\nassign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "401a2859b9822a66cc19b8c5277d4c310a836edd": { - "package": { - "name": "Bus16-Join-quarter", - "version": "0.1", - "description": "Bus16-Join-quarter: Join the four same buses into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "type": "basic.input", - "data": { - "name": "3", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 104 - } - }, - { - "id": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "type": "basic.input", - "data": { - "name": "2", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 184 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 720, - "y": 224 - } - }, - { - "id": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 248 - } - }, - { - "id": "7f009181-43fb-4806-a540-c2049656ce40", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 312 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "7f009181-43fb-4806-a540-c2049656ce40", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - }, - { - "source": { - "block": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 224, - "y": 232 - } - ], - "size": 4 - }, - { - "source": { - "block": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 240, - "y": 168 - } - ], - "size": 4 - } - ] - } - } - }, - "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { - "package": { - "name": "Inc1-16bits", - "version": "0.1", - "description": "Inc1-16bit: Increment a 16-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "12fb4602-d67f-4129-a777-f04945adf29d", - "type": "26569688c377bf52132e5f1de5a15da7143d9388", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - }, - { - "source": { - "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "port": "out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" - }, - "size": 16 - }, - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" - }, - "target": { - "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "26569688c377bf52132e5f1de5a15da7143d9388": { - "package": { - "name": "AdderK-16bits", - "version": "0.1", - "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -96 - } - }, - { - "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 232, - "y": -56 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 232, - "y": -272 - } - }, - { - "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "type": "651fa32fcf52b534a766fdb2ba638a010338f268", - "position": { - "x": 232, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "d54af040-d87a-431d-a15f-d5deb4795af5" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" - }, - "size": 16 - }, - { - "source": { - "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "port": "out" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" - }, - "size": 16 - }, - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" - }, - "target": { - "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "651fa32fcf52b534a766fdb2ba638a010338f268": { - "package": { - "name": "16-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 16-bits generic constant", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "d54af040-d87a-431d-a15f-d5deb4795af5", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "d54af040-d87a-431d-a15f-d5deb4795af5", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "bc66d79524a86b172c0ff190e607bca7c0694b8b": { - "package": { - "name": "Adder-16bits", - "version": "0.1", - "description": "Adder-16bits: Adder of two operands of 16 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -664 - } - }, - { - "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -608 - } - }, - { - "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -592 - } - }, - { - "id": "4743defe-36c0-40a2-aaf0-188272583034", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -472 - } - }, - { - "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -424 - } - }, - { - "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -408 - } - }, - { - "id": "7114cf32-af2c-438f-b816-1043a8bd819d", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -424 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -608 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "type": "c3c498191b14e9288a85fa2871b3966665f75475", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "7114cf32-af2c-438f-b816-1043a8bd819d", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "63477487-9493-4058-a7e1-9bab443ec466", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4743defe-36c0-40a2-aaf0-188272583034", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "3867504b-f331-4e0e-b923-acc86cb4255c", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "port": "out" - }, - "target": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "port": "out" - }, - "target": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" - }, - "size": 8 - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" - }, - "size": 8 - } - ] - } - } - }, - "306ca367fbbc5181b3c709d73f447e0710871a1d": { - "package": { - "name": "Bus16-Split-half", - "version": "0.1", - "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "type": "basic.output", - "data": { - "name": "1", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 176 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 208 - } - }, - { - "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "type": "basic.output", - "data": { - "name": "0", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { - "package": { - "name": "Adder-8bits", - "version": "0.1", - "description": "Adder-8bits: Adder of two operands of 8 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -688 - } - }, - { - "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -616 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -440 - } - }, - { - "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "63477487-9493-4058-a7e1-9bab443ec466", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -320, - "y": -384 - } - }, - { - "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -368 - } - }, - { - "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -168, - "y": -384 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -152, - "y": -632 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "63477487-9493-4058-a7e1-9bab443ec466", - "port": "out" - }, - "target": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "port": "out" - }, - "target": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 104 - } - }, - { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 208 - } - }, - { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 232 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 8 - } - ] - } - } - }, - "25966b9480fc28011aea0e17452c30d5ff9d76e8": { - "package": { - "name": "Adder-4bits", - "version": "0.1", - "description": "Adder-4bits: Adder of two operands of 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 168 - } - }, - { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "type": "basic.output", - "data": { - "name": "", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 640, - "y": 200 - } - }, - { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 224 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { - "package": { - "name": "AdderC-4bits", - "version": "0.1", - "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -296, - "y": -168 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - } - ] - } - } - }, - "c3c498191b14e9288a85fa2871b3966665f75475": { - "package": { - "name": "AdderC-8bits", - "version": "0.1", - "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -648 - } - }, - { - "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -408, - "y": -584 - } - }, - { - "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "deeppink", - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 832, - "y": -480 - } - }, - { - "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 248, - "y": -456 - } - }, - { - "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -448 - } - }, - { - "id": "3867504b-f331-4e0e-b923-acc86cb4255c", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -400, - "y": -392 - } - }, - { - "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -376 - } - }, - { - "id": "383985cb-fd11-48ff-972a-cee8b631bd65", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 248, - "y": -376 - } - }, - { - "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 840, - "y": -336 - } - }, - { - "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 120, - "y": -304 - } - }, - { - "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -392, - "y": -168 - } - }, - { - "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -248, - "y": -392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -240, - "y": -584 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 688, - "y": -336 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "840ba8a1-693f-4531-a947-adcaeac4e854", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 272, - "y": -256 - } - ], - "size": 4 - }, - { - "source": { - "block": "383985cb-fd11-48ff-972a-cee8b631bd65", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 384, - "y": -400 - } - ], - "size": 4 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "port": "out" - }, - "target": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "3867504b-f331-4e0e-b923-acc86cb4255c", - "port": "out" - }, - "target": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - }, - "vertices": [ - { - "x": 624, - "y": -392 - } - ] - } - ] - } - } - }, - "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { - "package": { - "name": "Bus16-Join-half", - "version": "0.1", - "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "type": "basic.input", - "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 168 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 632, - "y": 200 - } - }, - { - "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "type": "basic.input", - "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 264 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 8 - }, - { - "source": { - "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 8 - } - ] - } - } - }, - "3324889d602b184a4c2012938d6c0c3749a5c7e9": { - "package": { - "name": "Edges-detector", - "version": "0.2", - "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 88, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 88, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 616, - "y": 352 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 104, - "y": -40 - }, - "size": { - "width": 648, - "height": 96 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 96, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 104, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 160, - "y": 352 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", - "readonly": true - }, - "position": { - "x": 504, - "y": 264 - }, - "size": { - "width": 400, - "height": 72 - } - }, - { - "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 480, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", - "type": "basic.info", - "data": { - "info": "In any other case the output is 0", - "readonly": true - }, - "position": { - "x": 520, - "y": 432 - }, - "size": { - "width": 296, - "height": 40 - } - }, - { - "id": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - }, - { - "source": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [ - { - "x": 264, - "y": 368 - } - ] - } - ] - } - } - }, - "dc93d663ad1f02da00a0889f408a1f59b739c755": { - "package": { - "name": "Sync-x01", - "version": "0.2", - "description": "Sync 1-bit input with the system clock domain", - "author": "Juan Gonzalez-González (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -256, - "y": -56 - } - }, - { - "id": "e226f910-14af-473d-956b-03559f466726", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -104, - "y": -56 - } - }, - { - "id": "7f538425-03ff-409e-81c2-d2714dfb036f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 128, - "y": 32 - } - }, - { - "id": "868cf45b-3801-40c1-9a04-498087cf183e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": -56, - "y": 72 - } - }, - { - "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 424, - "y": 128 - } - }, - { - "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -64, - "y": 160 - } - }, - { - "id": "530188ca-389b-48f1-8fc5-793e57545112", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 104, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 280, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "port": "out" - }, - "target": { - "block": "e226f910-14af-473d-956b-03559f466726", - "port": "inlabel" - } - }, - { - "source": { - "block": "868cf45b-3801-40c1-9a04-498087cf183e", - "port": "outlabel" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f538425-03ff-409e-81c2-d2714dfb036f", - "port": "outlabel" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "port": "out" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "port": "in" - } - } - ] - } - } - }, - "ad96dc706d08e8529f19944fe45991970dda6d11": { - "package": { - "name": "not-wire-x01", - "version": "0.3", - "description": "Select positive or negative logic for the input (0=positive, 1=negative)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1607779171609 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 304 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 376, - "y": 320 - } - }, - { - "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 160 - } - }, - { - "id": "019e81db-5707-409c-b159-b4cb29813cc4", - "type": "basic.info", - "data": { - "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", - "readonly": true - }, - "position": { - "x": 632, - "y": 392 - }, - "size": { - "width": 336, - "height": 96 - } - }, - { - "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", - "type": "basic.info", - "data": { - "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", - "readonly": true - }, - "position": { - "x": 728, - "y": 8 - }, - "size": { - "width": 296, - "height": 144 - } - }, - { - "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 672, - "y": 304 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 520, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "port": "constant-out" - }, - "target": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "e98e1d079313fc9f48ee0d213571e9b6185d8132": { - "package": { - "name": "DFF-verilog", - "version": "0.2", - "description": "DFF. D Flip-flop. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 424 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 128, - "y": 536 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 536 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 128, - "y": 648 - } - }, - { - "id": "be33796a-a109-4ab0-a53b-dd6ec67587cd", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 488, - "y": 280 - } - }, - { - "id": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 320, - "y": 400 - }, - "size": { - "width": 424, - "height": 336 - } - } - ], - "wires": [ - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "clk" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "d" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "load" - } - }, - { - "source": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "be33796a-a109-4ab0-a53b-dd6ec67587cd", - "port": "constant-out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "INI" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/DFFs/Sys-DFF-ld-rst/Alhambra-II/01-manual-testing.ice b/examples/TESTs/DFFs/Sys-DFF-ld-rst/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index db355bd..0000000 --- a/examples/TESTs/DFFs/Sys-DFF-ld-rst/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,7203 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "07bd3866-b6d9-4373-9ada-d13496581d76", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 1064, - "y": 272 - } - }, - { - "id": "fb8c29d5-fbd5-416e-ba7f-09882529f0c9", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": false - }, - "position": { - "x": 688, - "y": 200 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## Sys-DFF-ld-rst: Manual testing\n\nThe expected ouput of this circuit is 1,0,1,1. It is shown \non the LEDs", - "readonly": true - }, - "position": { - "x": 136, - "y": 72 - }, - "size": { - "width": 696, - "height": 96 - } - }, - { - "id": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 880, - "y": 304 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "98ac76f5-64ec-42aa-a754-7196b82ba6ce", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 912, - "y": 424 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "6804feee-0a0d-4000-b865-67369205f590", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 456, - "y": 296 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0104504a-72fe-4dd6-9e43-141befee0070", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 456, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "19bea2e6-ad53-40bf-a9a3-270e421e3a86", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 136, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a888a85b-adc1-4c8b-b2eb-b3c433c076b3", - "type": "2f169f3a1deff908fb7c4915947bdd3a944d794b", - "position": { - "x": 544, - "y": 480 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a58abc43-6830-4073-a89b-6a6a2beaf807", - "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", - "position": { - "x": 456, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "10511254-a753-498d-9d9f-9720d7fa6dc7", - "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", - "position": { - "x": 288, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "8af10f02-f33c-4041-926e-b43d32f57936", - "type": "23cfcc52a4945fd9319120b14d86778429933eb0", - "position": { - "x": 688, - "y": 296 - }, - "size": { - "width": 96, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "07bd3866-b6d9-4373-9ada-d13496581d76", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "19bea2e6-ad53-40bf-a9a3-270e421e3a86", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "10511254-a753-498d-9d9f-9720d7fa6dc7", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "fb8c29d5-fbd5-416e-ba7f-09882529f0c9", - "port": "constant-out" - }, - "target": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - }, - { - "source": { - "block": "0104504a-72fe-4dd6-9e43-141befee0070", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0" - } - }, - { - "source": { - "block": "6804feee-0a0d-4000-b865-67369205f590", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 576, - "y": 360 - } - ] - }, - { - "source": { - "block": "10511254-a753-498d-9d9f-9720d7fa6dc7", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "a58abc43-6830-4073-a89b-6a6a2beaf807", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "a58abc43-6830-4073-a89b-6a6a2beaf807", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "fd6a999c-e5c2-4490-9623-431d0bec1bac" - }, - "vertices": [ - { - "x": 632, - "y": 328 - } - ] - }, - { - "source": { - "block": "a888a85b-adc1-4c8b-b2eb-b3c433c076b3", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "8af10f02-f33c-4041-926e-b43d32f57936", - "port": "be2f203f-1d38-4b61-a7e1-46c11a432db9" - } - } - ] - } - }, - "dependencies": { - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "2f169f3a1deff908fb7c4915947bdd3a944d794b": { - "package": { - "name": "start", - "version": "0.2", - "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1616923422866 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 296, - "y": -48 - } - }, - { - "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 448, - "y": -48 - } - }, - { - "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 344, - "y": 120 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 656, - "y": 216 - } - }, - { - "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 488, - "y": 104 - } - }, - { - "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 304, - "y": -80 - }, - "size": { - "width": 120, - "height": 40 - } - }, - { - "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 216, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", - "type": "basic.info", - "data": { - "info": "Initial value: 1", - "readonly": true - }, - "position": { - "x": 504, - "y": 280 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", - "type": "basic.info", - "data": { - "info": "Initial value: 0", - "readonly": true - }, - "position": { - "x": 216, - "y": 288 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", - "type": "basic.info", - "data": { - "info": "Falling edge", - "readonly": true - }, - "position": { - "x": 368, - "y": 264 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "type": "85dc1f75bb2706cba6e6c504fec08ea7c5195798", - "position": { - "x": 488, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", - "port": "out" - }, - "target": { - "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", - "port": "outlabel" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 456, - "y": 184 - } - ] - }, - { - "source": { - "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", - "port": "constant-out" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - }, - { - "source": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "85dc1f75bb2706cba6e6c504fec08ea7c5195798": { - "package": { - "name": "sys-DFF", - "version": "2.2", - "description": "System - D Flip-flop. Capture data every system clock cycle", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 816, - "y": 112 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 808, - "y": 88 - }, - "size": { - "width": 176, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { - "package": { - "name": "sys-DFF-verilog", - "version": "3", - "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 816, - "y": 112 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 184 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 232 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 280 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 352, - "height": 192 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 160 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 256 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 808, - "y": 88 - }, - "size": { - "width": 176, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "23cfcc52a4945fd9319120b14d86778429933eb0": { - "package": { - "name": "sys-DFF-ld-rst-verilog", - "version": "3", - "description": "Sys-DFF-ld-rst-verilog. System - D Flip-flop. Capture data every system clock cycle. from input si. If load is active, the data is captured from the d input. Reset input. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22249.521%22%20height=%22268.178%22%20viewBox=%220%200%2066.01921%2070.955445%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-38.141%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22translate(-55.377%2022.259)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 128 - } - }, - { - "id": "75de70cb-5830-47f5-8da4-305d1b5a06ef", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 304, - "y": 152 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -16, - "y": 160 - } - }, - { - "id": "b48b1bb4-5b7c-4283-ad79-22ae399cf86a", - "type": "basic.inputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 120, - "y": 160 - } - }, - { - "id": "e1596f69-7823-419d-9ec1-73f2b471a50f", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1056, - "y": 200 - } - }, - { - "id": "fd6a999c-e5c2-4490-9623-431d0bec1bac", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 0, - "y": 240 - } - }, - { - "id": "f1bc73d7-915e-43b7-adff-5cff01cce5fd", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "red" - }, - "position": { - "x": 136, - "y": 240 - } - }, - { - "id": "fce2f544-bf03-4df9-b72e-ddf5237474cc", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "red" - }, - "position": { - "x": 320, - "y": 264 - } - }, - { - "id": "33bfbbd9-1b7e-4b73-aa22-20a65d3729dc", - "type": "basic.outputLabel", - "data": { - "name": "si", - "blockColor": "fuchsia" - }, - "position": { - "x": 320, - "y": 344 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1056, - "y": 344 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "si", - "clock": false - }, - "position": { - "x": -16, - "y": 352 - } - }, - { - "id": "51abe961-add2-4f29-ae17-cccded34f52c", - "type": "basic.inputLabel", - "data": { - "name": "si", - "blockColor": "fuchsia" - }, - "position": { - "x": 128, - "y": 352 - } - }, - { - "id": "345f71f6-e4e6-4226-b7dd-ea78718c0874", - "type": "basic.outputLabel", - "data": { - "name": "d", - "blockColor": "fuchsia" - }, - "position": { - "x": 320, - "y": 416 - } - }, - { - "id": "816d014e-c403-417c-bc7d-9ea6fcfc86ec", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 448 - } - }, - { - "id": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": -16, - "y": 472 - } - }, - { - "id": "a944ee99-15d7-43a9-bf9f-a5ec8008e125", - "type": "basic.inputLabel", - "data": { - "name": "d", - "blockColor": "fuchsia" - }, - "position": { - "x": 128, - "y": 472 - } - }, - { - "id": "47e3df0e-f0c6-495f-8f19-3615eafd7d5d", - "type": "basic.outputLabel", - "data": { - "name": "load", - "blockColor": "navy" - }, - "position": { - "x": 320, - "y": 496 - } - }, - { - "id": "94ddedd0-b0af-4ae6-b2d6-1b62cbe308b1", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 552 - } - }, - { - "id": "be2f203f-1d38-4b61-a7e1-46c11a432db9", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": -8, - "y": 576 - } - }, - { - "id": "ba7dc663-3d39-4562-ad0f-390f2f2c4737", - "type": "basic.inputLabel", - "data": { - "name": "load", - "blockColor": "navy" - }, - "position": { - "x": 128, - "y": 576 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 648, - "y": 72 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 624, - "y": 40 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -16, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data (serial)", - "readonly": true - }, - "position": { - "x": -8, - "y": 320 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 1080, - "y": 312 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system) with load and reset\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1 \nThe data is captured from input si. If load is active the \ndata is captured from input d \nReset input", - "readonly": true - }, - "position": { - "x": -16, - "y": -120 - }, - "size": { - "width": 512, - "height": 128 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 1056, - "y": 104 - }, - "size": { - "width": 176, - "height": 32 - } - }, - { - "id": "2112c2c4-52c2-4755-ba49-bee6a902d920", - "type": "basic.info", - "data": { - "info": "Input data (Paralell)", - "readonly": true - }, - "position": { - "x": -8, - "y": 440 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "b963b5eb-b6f0-4c76-a063-5abe21f4827e", - "type": "basic.info", - "data": { - "info": "Load control", - "readonly": true - }, - "position": { - "x": 0, - "y": 552 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "si" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- Reset the circuit\n if (rst == 1'b1)\n qi <= INI;\n \n else\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n else\n //-- if not, the serial input is captured\n qi <= si;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 480, - "y": 176 - }, - "size": { - "width": 432, - "height": 392 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "b48b1bb4-5b7c-4283-ad79-22ae399cf86a", - "port": "inlabel" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "51abe961-add2-4f29-ae17-cccded34f52c", - "port": "inlabel" - } - }, - { - "source": { - "block": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0", - "port": "out" - }, - "target": { - "block": "a944ee99-15d7-43a9-bf9f-a5ec8008e125", - "port": "inlabel" - } - }, - { - "source": { - "block": "be2f203f-1d38-4b61-a7e1-46c11a432db9", - "port": "out" - }, - "target": { - "block": "ba7dc663-3d39-4562-ad0f-390f2f2c4737", - "port": "inlabel" - } - }, - { - "source": { - "block": "75de70cb-5830-47f5-8da4-305d1b5a06ef", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "clk" - }, - "vertices": [ - { - "x": 432, - "y": 200 - } - ] - }, - { - "source": { - "block": "33bfbbd9-1b7e-4b73-aa22-20a65d3729dc", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "si" - } - }, - { - "source": { - "block": "345f71f6-e4e6-4226-b7dd-ea78718c0874", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "d" - } - }, - { - "source": { - "block": "47e3df0e-f0c6-495f-8f19-3615eafd7d5d", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "load" - } - }, - { - "source": { - "block": "fd6a999c-e5c2-4490-9623-431d0bec1bac", - "port": "out" - }, - "target": { - "block": "f1bc73d7-915e-43b7-adff-5cff01cce5fd", - "port": "inlabel" - } - }, - { - "source": { - "block": "fce2f544-bf03-4df9-b72e-ddf5237474cc", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "rst" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "INI" - } - }, - { - "source": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/DFFs/Sys-DFF-ld/Alhambra-II/01-manual-testing.ice b/examples/TESTs/DFFs/Sys-DFF-ld/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index 21973d4..0000000 --- a/examples/TESTs/DFFs/Sys-DFF-ld/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,6605 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "07bd3866-b6d9-4373-9ada-d13496581d76", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 1072, - "y": 240 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## Sys-DFF-ld: Manual testing\n\nThe expected ouput of this circuit is 0,0,1,1. It is shown \non the LEDs", - "readonly": true - }, - "position": { - "x": 328, - "y": 64 - }, - "size": { - "width": 696, - "height": 96 - } - }, - { - "id": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 888, - "y": 272 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "98ac76f5-64ec-42aa-a754-7196b82ba6ce", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 920, - "y": 392 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "6804feee-0a0d-4000-b865-67369205f590", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 496, - "y": 344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0104504a-72fe-4dd6-9e43-141befee0070", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 496, - "y": 248 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "19bea2e6-ad53-40bf-a9a3-270e421e3a86", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 336, - "y": 464 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "02fe6e5d-712c-476f-97c7-f55c8bfe7004", - "type": "basic.info", - "data": { - "info": "Active load input \nat cycle 1", - "readonly": true - }, - "position": { - "x": 504, - "y": 528 - }, - "size": { - "width": 160, - "height": 56 - } - }, - { - "id": "fc7e9504-ba77-48ec-8582-fa6112c25d60", - "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", - "position": { - "x": 496, - "y": 448 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7aec0ab5-d66c-4c5c-98f9-9ace0384991a", - "type": "534e38d19d19ec35ad60c1c947dbeb7b6ee987ea", - "position": { - "x": 688, - "y": 296 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "07bd3866-b6d9-4373-9ada-d13496581d76", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "7aec0ab5-d66c-4c5c-98f9-9ace0384991a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "19bea2e6-ad53-40bf-a9a3-270e421e3a86", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "fc7e9504-ba77-48ec-8582-fa6112c25d60", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "0104504a-72fe-4dd6-9e43-141befee0070", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "7aec0ab5-d66c-4c5c-98f9-9ace0384991a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 624, - "y": 296 - } - ] - }, - { - "source": { - "block": "6804feee-0a0d-4000-b865-67369205f590", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "7aec0ab5-d66c-4c5c-98f9-9ace0384991a", - "port": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0" - } - }, - { - "source": { - "block": "fc7e9504-ba77-48ec-8582-fa6112c25d60", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7aec0ab5-d66c-4c5c-98f9-9ace0384991a", - "port": "be2f203f-1d38-4b61-a7e1-46c11a432db9" - } - } - ] - } - }, - "dependencies": { - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { - "package": { - "name": "sys-DFF-verilog", - "version": "3", - "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 816, - "y": 112 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 184 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 232 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 280 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 352, - "height": 192 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 160 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 256 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 808, - "y": 88 - }, - "size": { - "width": 176, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "534e38d19d19ec35ad60c1c947dbeb7b6ee987ea": { - "package": { - "name": "sys-DFF-ld-verilog", - "version": "3", - "description": "Sys-DFF-ld-verilog. System - D Flip-flop. Capture data every system clock cycle. from input si. If load is active, the data is captured from the d input. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22249.521%22%20height=%22268.178%22%20viewBox=%220%200%2066.01921%2070.955445%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-38.141%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22translate(-55.377%2022.259)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 128 - } - }, - { - "id": "75de70cb-5830-47f5-8da4-305d1b5a06ef", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 304, - "y": 152 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -16, - "y": 160 - } - }, - { - "id": "b48b1bb4-5b7c-4283-ad79-22ae399cf86a", - "type": "basic.inputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 120, - "y": 160 - } - }, - { - "id": "33bfbbd9-1b7e-4b73-aa22-20a65d3729dc", - "type": "basic.outputLabel", - "data": { - "name": "si", - "blockColor": "fuchsia" - }, - "position": { - "x": 312, - "y": 272 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "si", - "clock": false - }, - "position": { - "x": -16, - "y": 304 - } - }, - { - "id": "51abe961-add2-4f29-ae17-cccded34f52c", - "type": "basic.inputLabel", - "data": { - "name": "si", - "blockColor": "fuchsia" - }, - "position": { - "x": 128, - "y": 304 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1056, - "y": 312 - } - }, - { - "id": "345f71f6-e4e6-4226-b7dd-ea78718c0874", - "type": "basic.outputLabel", - "data": { - "name": "d", - "blockColor": "fuchsia" - }, - "position": { - "x": 320, - "y": 352 - } - }, - { - "id": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": -16, - "y": 424 - } - }, - { - "id": "a944ee99-15d7-43a9-bf9f-a5ec8008e125", - "type": "basic.inputLabel", - "data": { - "name": "d", - "blockColor": "fuchsia" - }, - "position": { - "x": 128, - "y": 424 - } - }, - { - "id": "47e3df0e-f0c6-495f-8f19-3615eafd7d5d", - "type": "basic.outputLabel", - "data": { - "name": "load", - "blockColor": "navy" - }, - "position": { - "x": 320, - "y": 440 - } - }, - { - "id": "816d014e-c403-417c-bc7d-9ea6fcfc86ec", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 448 - } - }, - { - "id": "be2f203f-1d38-4b61-a7e1-46c11a432db9", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": -8, - "y": 528 - } - }, - { - "id": "ba7dc663-3d39-4562-ad0f-390f2f2c4737", - "type": "basic.inputLabel", - "data": { - "name": "load", - "blockColor": "navy" - }, - "position": { - "x": 128, - "y": 528 - } - }, - { - "id": "94ddedd0-b0af-4ae6-b2d6-1b62cbe308b1", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1064, - "y": 552 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 648, - "y": 72 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 624, - "y": 40 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -16, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data (serial)", - "readonly": true - }, - "position": { - "x": -8, - "y": 272 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 1080, - "y": 288 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system) with load\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1 \nThe data is captured from input si. If load is active the \ndata is captured from input d", - "readonly": true - }, - "position": { - "x": 0, - "y": -64 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 1056, - "y": 104 - }, - "size": { - "width": 176, - "height": 32 - } - }, - { - "id": "2112c2c4-52c2-4755-ba49-bee6a902d920", - "type": "basic.info", - "data": { - "info": "Input data (Paralell)", - "readonly": true - }, - "position": { - "x": -8, - "y": 392 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "b963b5eb-b6f0-4c76-a063-5abe21f4827e", - "type": "basic.info", - "data": { - "info": "Load control", - "readonly": true - }, - "position": { - "x": 0, - "y": 504 - }, - "size": { - "width": 192, - "height": 32 - } - }, - { - "id": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "si" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n else\n //-- if not, the serial input is captured\n qi <= si;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 480, - "y": 176 - }, - "size": { - "width": 424, - "height": 336 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "b48b1bb4-5b7c-4283-ad79-22ae399cf86a", - "port": "inlabel" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "51abe961-add2-4f29-ae17-cccded34f52c", - "port": "inlabel" - } - }, - { - "source": { - "block": "a8816b54-ab32-4b97-ac3d-9bbf5f21b7a0", - "port": "out" - }, - "target": { - "block": "a944ee99-15d7-43a9-bf9f-a5ec8008e125", - "port": "inlabel" - } - }, - { - "source": { - "block": "be2f203f-1d38-4b61-a7e1-46c11a432db9", - "port": "out" - }, - "target": { - "block": "ba7dc663-3d39-4562-ad0f-390f2f2c4737", - "port": "inlabel" - } - }, - { - "source": { - "block": "75de70cb-5830-47f5-8da4-305d1b5a06ef", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "clk" - }, - "vertices": [ - { - "x": 432, - "y": 200 - } - ] - }, - { - "source": { - "block": "33bfbbd9-1b7e-4b73-aa22-20a65d3729dc", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "si" - } - }, - { - "source": { - "block": "345f71f6-e4e6-4226-b7dd-ea78718c0874", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "d" - } - }, - { - "source": { - "block": "47e3df0e-f0c6-495f-8f19-3615eafd7d5d", - "port": "outlabel" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "load" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "INI" - } - }, - { - "source": { - "block": "bd1d463d-ea2f-4dcc-b3f9-a5dffa12d123", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/DFFs/Sys-DFF-rst/Alhabra-II/01-manual-testing.ice b/examples/TESTs/DFFs/Sys-DFF-rst/Alhabra-II/01-manual-testing.ice deleted file mode 100644 index 0445945..0000000 --- a/examples/TESTs/DFFs/Sys-DFF-rst/Alhabra-II/01-manual-testing.ice +++ /dev/null @@ -1,6467 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "07bd3866-b6d9-4373-9ada-d13496581d76", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 888, - "y": 336 - } - }, - { - "id": "055644d1-3c16-416d-87e5-092dd8250a40", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 224, - "y": 376 - } - }, - { - "id": "b8ef352f-3616-4be4-b25a-d26fb271ed9e", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 768, - "y": 552 - } - }, - { - "id": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "type": "basic.constant", - "data": { - "name": "Init", - "value": "0", - "local": false - }, - "position": { - "x": 384, - "y": 264 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## Sys-DFF-rst: Manual testing\n\nAn Initial pulse is generated. Its initial value is 1, and then it \nchange to 0 in the next cycle. The signal can be observed with the OSCILOSCOPE", - "readonly": true - }, - "position": { - "x": 296, - "y": 40 - }, - "size": { - "width": 696, - "height": 96 - } - }, - { - "id": "7c625582-403b-4759-b08e-23d748f1d1fc", - "type": "basic.info", - "data": { - "info": "Initial value: cycle 0", - "readonly": true - }, - "position": { - "x": 376, - "y": 224 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 712, - "y": 368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "98ac76f5-64ec-42aa-a754-7196b82ba6ce", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 720, - "y": 472 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "23c71622-617b-4b79-b778-53a2a88c4f11", - "type": "basic.info", - "data": { - "info": "Sys-DFF-rst", - "readonly": true - }, - "position": { - "x": 400, - "y": 472 - }, - "size": { - "width": 136, - "height": 32 - } - }, - { - "id": "c29fcbde-aef2-4288-a42c-e957716a2d5c", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 224, - "y": 488 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "756f220b-a9b5-4c15-94ff-6cca16260a77", - "type": "basic.info", - "data": { - "info": "DFF", - "readonly": true - }, - "position": { - "x": 648, - "y": 616 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "f1057b47-d415-4a20-8dac-41579ea34a4b", - "type": "basic.info", - "data": { - "info": "cycle 1", - "readonly": true - }, - "position": { - "x": 640, - "y": 496 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "216764ea-bca0-438c-acf8-962f61416bb8", - "type": "basic.info", - "data": { - "info": "Rst on cycle 2", - "readonly": true - }, - "position": { - "x": 184, - "y": 328 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "51c2ff79-ca5c-487c-ae33-87b829d138d4", - "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", - "position": { - "x": 616, - "y": 536 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "type": "c9c71c00cda9f469c15b40a02e78b0d3f6ac04c0", - "position": { - "x": 384, - "y": 360 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "port": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7" - } - }, - { - "source": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "07bd3866-b6d9-4373-9ada-d13496581d76", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "c29fcbde-aef2-4288-a42c-e957716a2d5c", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "51c2ff79-ca5c-487c-ae33-87b829d138d4", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 544, - "y": 504 - } - ] - }, - { - "source": { - "block": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "055644d1-3c16-416d-87e5-092dd8250a40", - "port": "outlabel" - }, - "target": { - "block": "39f2ff3f-1de8-424d-9e19-61ae268e36ec", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "51c2ff79-ca5c-487c-ae33-87b829d138d4", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "b8ef352f-3616-4be4-b25a-d26fb271ed9e", - "port": "inlabel" - } - } - ] - } - }, - "dependencies": { - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { - "package": { - "name": "sys-DFF-verilog", - "version": "3", - "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 816, - "y": 112 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 184 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 232 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 280 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 352, - "height": 192 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 160 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 256 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 808, - "y": 88 - }, - "size": { - "width": 176, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "c9c71c00cda9f469c15b40a02e78b0d3f6ac04c0": { - "package": { - "name": "Sys-DFF-rst-verilog", - "version": "0.5", - "description": "Sys-DFF-rst: D Flip flop with reset input. When rst=1, the DFF is set to it initial value. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ba1b31c4-1c09-483d-8b0c-332ff93c5c6d", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1008, - "y": -296 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 296, - "y": -224 - } - }, - { - "id": "4ef9940e-6c96-405a-b333-14c1bcd2f2df", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1008, - "y": -216 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 296, - "y": -104 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1008, - "y": -104 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 296, - "y": 8 - } - }, - { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 600, - "y": -344 - } - }, - { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 312, - "y": -8 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 304, - "y": -248 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 616, - "y": -400 - }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "afb4a3fb-9fab-4ada-91f5-2ec9e5f1a6dc", - "type": "basic.info", - "data": { - "info": "Reset input", - "readonly": true - }, - "position": { - "x": 304, - "y": -120 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- The priority is for\n //-- the reset input\n if (rst == 1'b1)\n qi <= INI;\n \n //-- If reset is not active,\n //-- then capture the input data\n else\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;" - }, - "position": { - "x": 456, - "y": -248 - }, - "size": { - "width": 376, - "height": 344 - } - } - ], - "wires": [ - { - "source": { - "block": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "port": "clk" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "port": "rst" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "port": "d" - } - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "295b13a3-7cc6-4a08-bb3a-6385adcf76a8", - "port": "INI" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/DFFs/Sys-DFF/Alhambra-II/01-manual-testing.ice b/examples/TESTs/DFFs/Sys-DFF/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index f99279e..0000000 --- a/examples/TESTs/DFFs/Sys-DFF/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,6095 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "07bd3866-b6d9-4373-9ada-d13496581d76", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 1120, - "y": 320 - } - }, - { - "id": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "type": "basic.constant", - "data": { - "name": "Init", - "value": "1", - "local": false - }, - "position": { - "x": 736, - "y": 280 - } - }, - { - "id": "304702e1-1d61-4553-8266-250f3462a605", - "type": "basic.info", - "data": { - "info": "## Sys-DFF: Manual testing\n\nAn Initial pulse is generated. Its initial value is 1, and then it \nchange to 0 in the next cycle. The signal can be observed with the OSCILOSCOPE", - "readonly": true - }, - "position": { - "x": 536, - "y": 88 - }, - "size": { - "width": 696, - "height": 96 - } - }, - { - "id": "9b3ca2a7-4c63-442b-af7b-839ecfb78c02", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 568, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7c625582-403b-4759-b08e-23d748f1d1fc", - "type": "basic.info", - "data": { - "info": "Initial value: cycle 0", - "readonly": true - }, - "position": { - "x": 728, - "y": 232 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 936, - "y": 352 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "98ac76f5-64ec-42aa-a754-7196b82ba6ce", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 952, - "y": 464 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "23c71622-617b-4b79-b778-53a2a88c4f11", - "type": "basic.info", - "data": { - "info": "DFF: D-Flip-Flop", - "readonly": true - }, - "position": { - "x": 736, - "y": 456 - }, - "size": { - "width": 232, - "height": 40 - } - }, - { - "id": "e426ae3e-90e6-4a1e-b20f-1cf9c463c96b", - "type": "basic.info", - "data": { - "info": "Values for cycles >= 1", - "readonly": true - }, - "position": { - "x": 536, - "y": 344 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "66798e1c-1a35-42e9-9443-d3c412d4c5f0", - "type": "32686e9649b02b6647da261c7f19ceb4f74b57d5", - "position": { - "x": 736, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9b3ca2a7-4c63-442b-af7b-839ecfb78c02", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "66798e1c-1a35-42e9-9443-d3c412d4c5f0", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "4a77f40f-2129-4280-b4f6-1bdbd359a27c", - "port": "constant-out" - }, - "target": { - "block": "66798e1c-1a35-42e9-9443-d3c412d4c5f0", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - }, - { - "source": { - "block": "66798e1c-1a35-42e9-9443-d3c412d4c5f0", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4852fe59-4478-42e7-8c79-5e2864e6712f", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "07bd3866-b6d9-4373-9ada-d13496581d76", - "port": "in" - }, - "size": 4 - } - ] - } - }, - "dependencies": { - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "32686e9649b02b6647da261c7f19ceb4f74b57d5": { - "package": { - "name": "sys-DFF-verilog", - "version": "3", - "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 816, - "y": 112 - } - }, - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 184 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 232 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 280 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 352, - "height": 192 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 160 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 256 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - }, - { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, - "position": { - "x": 808, - "y": 88 - }, - "size": { - "width": 176, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/RS-FFs/RS-FF-reset/Alhambra-II/01-Manual-testing.ice b/examples/TESTs/RS-FFs/RS-FF-reset/Alhambra-II/01-Manual-testing.ice deleted file mode 100644 index 1847281..0000000 --- a/examples/TESTs/RS-FFs/RS-FF-reset/Alhambra-II/01-Manual-testing.ice +++ /dev/null @@ -1,2588 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "acdfb320-9afb-4f4c-a807-847752b882b0", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 320, - "y": 128 - } - }, - { - "id": "a9aa03bc-ae14-4f3a-b0cd-b9297755b44a", - "type": "basic.input", - "data": { - "name": "set", - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": -248, - "y": 144 - } - }, - { - "id": "17e37217-8c3a-462a-8bfd-99e641a57c9e", - "type": "basic.input", - "data": { - "name": "set", - "pins": [ - { - "index": "0", - "name": "SW2", - "value": "33" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": -240, - "y": 296 - } - }, - { - "id": "19eb5ab2-54e6-4927-a428-5feb53c3e8f9", - "type": "basic.info", - "data": { - "info": "## RS-Flip-flip with priority reset: Manual testing \n\nThe SW1 and SW2 buttons are used for activating the set and reset inputs \nrespectivelly. The Flip-Flop state is shown on the LED", - "readonly": true - }, - "position": { - "x": -256, - "y": -80 - }, - "size": { - "width": 624, - "height": 72 - } - }, - { - "id": "1153f69b-a6fd-4f65-b647-7ba8818267f4", - "type": "e245ee74990b448c1d6ce27462261485c9649d55", - "position": { - "x": -64, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b14ab77c-a6d0-451a-bae9-4b7baf701502", - "type": "e245ee74990b448c1d6ce27462261485c9649d55", - "position": { - "x": -64, - "y": 280 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7b5dfe42-c380-40d1-99f3-86c12b3d768c", - "type": "05f3e9bc55accff72548a042020a6da3bf6f6b49", - "position": { - "x": 144, - "y": 112 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "7b5dfe42-c380-40d1-99f3-86c12b3d768c", - "port": "8bdeea1f-e51b-40e1-92c0-e62ed1c31c18" - }, - "target": { - "block": "acdfb320-9afb-4f4c-a807-847752b882b0", - "port": "in" - } - }, - { - "source": { - "block": "1153f69b-a6fd-4f65-b647-7ba8818267f4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7b5dfe42-c380-40d1-99f3-86c12b3d768c", - "port": "521017de-b157-4356-a9d5-7a502b673a3e" - } - }, - { - "source": { - "block": "a9aa03bc-ae14-4f3a-b0cd-b9297755b44a", - "port": "out" - }, - "target": { - "block": "1153f69b-a6fd-4f65-b647-7ba8818267f4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "17e37217-8c3a-462a-8bfd-99e641a57c9e", - "port": "out" - }, - "target": { - "block": "b14ab77c-a6d0-451a-bae9-4b7baf701502", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b14ab77c-a6d0-451a-bae9-4b7baf701502", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7b5dfe42-c380-40d1-99f3-86c12b3d768c", - "port": "a165b16f-ba6d-460a-bebd-b8d7b6abba0c" - } - } - ] - } - }, - "dependencies": { - "e245ee74990b448c1d6ce27462261485c9649d55": { - "package": { - "name": "Button", - "version": "0.1", - "description": "Configurable button (pull-up on/off. Not on/off)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", - "otid": 1615538095529 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 232 - } - }, - { - "id": "c2136078-81d0-4137-8583-c122b93cbdb0", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 232 - } - }, - { - "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 472, - "y": 368 - } - }, - { - "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": 368 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 1200, - "y": 440 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 152, - "y": 472 - } - }, - { - "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 336, - "y": 360 - } - }, - { - "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 760, - "y": 352 - } - }, - { - "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", - "position": { - "x": 336, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "10111468-7bb5-46ee-8990-113fdf380068", - "type": "76118c377f31059327783a617b8e38ffd6b935b8", - "position": { - "x": 600, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", - "type": "5645befdb78839c4a1fcf8b7f7f1bfdd13cd127d", - "position": { - "x": 760, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4687a20b-5221-4f82-8442-b6114bf8788d", - "type": "5539ec808ddc65a96d5da8d44290e4da16a256b8", - "position": { - "x": 1024, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", - "type": "basic.info", - "data": { - "info": "Internal pull-up \n* 0: OFF\n* 1: ON", - "readonly": true - }, - "position": { - "x": 320, - "y": 560 - }, - "size": { - "width": 176, - "height": 72 - } - }, - { - "id": "2349cf1c-768c-483c-bdf3-852e36755326", - "type": "basic.info", - "data": { - "info": "Synchronization stage", - "readonly": true - }, - "position": { - "x": 552, - "y": 536 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", - "type": "basic.info", - "data": { - "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", - "readonly": true - }, - "position": { - "x": 752, - "y": 536 - }, - "size": { - "width": 192, - "height": 88 - } - }, - { - "id": "9207da36-adfa-43d6-a633-ccaa601b9293", - "type": "basic.info", - "data": { - "info": "Debouncing stage", - "readonly": true - }, - "position": { - "x": 1008, - "y": 528 - }, - "size": { - "width": 168, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "port": "out" - }, - "target": { - "block": "c2136078-81d0-4137-8583-c122b93cbdb0", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "port": "outlabel" - }, - "target": { - "block": "10111468-7bb5-46ee-8990-113fdf380068", - "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" - } - }, - { - "source": { - "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "port": "outlabel" - }, - "target": { - "block": "4687a20b-5221-4f82-8442-b6114bf8788d", - "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" - } - }, - { - "source": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" - }, - "target": { - "block": "10111468-7bb5-46ee-8990-113fdf380068", - "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" - }, - "vertices": [] - }, - { - "source": { - "block": "10111468-7bb5-46ee-8990-113fdf380068", - "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" - }, - "target": { - "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "port": "constant-out" - }, - "target": { - "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", - "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" - }, - "vertices": [] - }, - { - "source": { - "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4687a20b-5221-4f82-8442-b6114bf8788d", - "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" - }, - "vertices": [] - }, - { - "source": { - "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "port": "constant-out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" - } - }, - { - "source": { - "block": "4687a20b-5221-4f82-8442-b6114bf8788d", - "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" - } - } - ] - } - } - }, - "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { - "package": { - "name": "Pull-upx1", - "version": "1.0.2", - "description": "FPGA internal pull-up configuration on the input port", - "author": "Juan González", - "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 72, - "y": 256 - } - }, - { - "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 256 - } - }, - { - "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "type": "basic.constant", - "data": { - "name": "on", - "value": "1", - "local": false - }, - "position": { - "x": 408, - "y": -8 - } - }, - { - "id": "2b245a71-2d80-466b-955f-e3d61839fe25", - "type": "basic.code", - "data": { - "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", - "params": [ - { - "name": "ON" - } - ], - "ports": { - "in": [ - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 256, - "y": 104 - }, - "size": { - "width": 392, - "height": 368 - } - }, - { - "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", - "type": "basic.info", - "data": { - "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", - "readonly": true - }, - "position": { - "x": 144, - "y": -48 - }, - "size": { - "width": 264, - "height": 104 - } - }, - { - "id": "5a96e53f-d2ff-4058-bbed-779876848487", - "type": "basic.info", - "data": { - "info": "Only an FPGA pin can \nbe connected here!!!", - "readonly": true - }, - "position": { - "x": 56, - "y": 200 - }, - "size": { - "width": 192, - "height": 56 - } - }, - { - "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", - "type": "basic.info", - "data": { - "info": "The pull-up is connected \nby default", - "readonly": true - }, - "position": { - "x": 512, - "y": 0 - }, - "size": { - "width": 208, - "height": 56 - } - } - ], - "wires": [ - { - "source": { - "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "port": "out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "i" - } - }, - { - "source": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "o" - }, - "target": { - "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "port": "in" - } - }, - { - "source": { - "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "port": "constant-out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "ON" - } - } - ] - } - } - }, - "76118c377f31059327783a617b8e38ffd6b935b8": { - "package": { - "name": "Sync-x01", - "version": "0.1", - "description": "Sync 1-bit input with the system clock domain", - "author": "Juan Gonzalez-González (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -256, - "y": -56 - } - }, - { - "id": "e226f910-14af-473d-956b-03559f466726", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -104, - "y": -56 - } - }, - { - "id": "7f538425-03ff-409e-81c2-d2714dfb036f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 128, - "y": 32 - } - }, - { - "id": "868cf45b-3801-40c1-9a04-498087cf183e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": -56, - "y": 72 - } - }, - { - "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 424, - "y": 128 - } - }, - { - "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -64, - "y": 160 - } - }, - { - "id": "67741c87-f247-4b39-a7c2-42944b8daa48", - "type": "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2", - "position": { - "x": 104, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", - "type": "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2", - "position": { - "x": 280, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "port": "out" - }, - "target": { - "block": "e226f910-14af-473d-956b-03559f466726", - "port": "inlabel" - } - }, - { - "source": { - "block": "868cf45b-3801-40c1-9a04-498087cf183e", - "port": "outlabel" - }, - "target": { - "block": "67741c87-f247-4b39-a7c2-42944b8daa48", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f538425-03ff-409e-81c2-d2714dfb036f", - "port": "outlabel" - }, - "target": { - "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "port": "out" - }, - "target": { - "block": "67741c87-f247-4b39-a7c2-42944b8daa48", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "67741c87-f247-4b39-a7c2-42944b8daa48", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "port": "in" - } - } - ] - } - } - }, - "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "5645befdb78839c4a1fcf8b7f7f1bfdd13cd127d": { - "package": { - "name": "not-wire-x01", - "version": "0.1", - "description": "Select positive or negative logic for the input (0=positive, 1=negative)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1607779171609 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 304 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 376, - "y": 320 - } - }, - { - "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 160 - } - }, - { - "id": "160f76e9-4d8d-424e-8689-bb890101823c", - "type": "3ba5d0ecbd8f55582a6307158732789df06cb74c", - "position": { - "x": 520, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5237d3a4-e717-4eb1-a261-65f0c313b67c", - "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", - "position": { - "x": 672, - "y": 304 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "019e81db-5707-409c-b159-b4cb29813cc4", - "type": "basic.info", - "data": { - "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", - "readonly": true - }, - "position": { - "x": 632, - "y": 392 - }, - "size": { - "width": 336, - "height": 96 - } - }, - { - "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", - "type": "basic.info", - "data": { - "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", - "readonly": true - }, - "position": { - "x": 728, - "y": 8 - }, - "size": { - "width": 296, - "height": 144 - } - } - ], - "wires": [ - { - "source": { - "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "port": "constant-out" - }, - "target": { - "block": "160f76e9-4d8d-424e-8689-bb890101823c", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "160f76e9-4d8d-424e-8689-bb890101823c", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "3ba5d0ecbd8f55582a6307158732789df06cb74c": { - "package": { - "name": "Constante-1bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 1 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { - "package": { - "name": "XOR", - "version": "1.0.1", - "description": "Puerta XOR", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 64, - "y": 88 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 784, - "y": 152 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 64, - "y": 224 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 464, - "height": 272 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "5539ec808ddc65a96d5da8d44290e4da16a256b8": { - "package": { - "name": "Debouncer-x01", - "version": "1.0.0", - "description": "Remove the rebound on a mechanical switch", - "author": "Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -376, - "y": -656 - } - }, - { - "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -656 - } - }, - { - "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 952, - "y": -600 - } - }, - { - "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out" - }, - "position": { - "x": 816, - "y": -600 - } - }, - { - "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -376, - "y": -584 - } - }, - { - "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -584 - } - }, - { - "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -448 - } - }, - { - "id": "5d12a177-7618-4517-9067-3012f7cb42ce", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 552, - "y": -440 - } - }, - { - "id": "2f1050dd-a720-4ede-890e-612ce370ba61", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 840, - "y": -352 - } - }, - { - "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -336 - } - }, - { - "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -288 - } - }, - { - "id": "cc581727-73de-451c-849d-eb5e8387f0e4", - "type": "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2", - "position": { - "x": 120, - "y": -352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e4164124-c993-47b5-a746-809a3e625f53", - "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", - "position": { - "x": 248, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", - "position": { - "x": 704, - "y": -368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", - "type": "8d4ef5a2cf273f2265401931a99a46e9dc224688", - "position": { - "x": 520, - "y": -192 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "c287e056-90e6-46b6-b1fa-5f8abba12518", - "type": "725b7e2cb9666b5ed3183537d9c898f096dab82a", - "position": { - "x": 384, - "y": -96 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5a74cbea-0212-467b-8391-9740d50c3a18", - "type": "basic.info", - "data": { - "info": "Previous input \nvalue", - "readonly": true - }, - "position": { - "x": 128, - "y": -408 - }, - "size": { - "width": 136, - "height": 56 - } - }, - { - "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", - "type": "basic.info", - "data": { - "info": "Current input \nvalue", - "readonly": true - }, - "position": { - "x": 88, - "y": -112 - }, - "size": { - "width": 136, - "height": 56 - } - }, - { - "id": "dc34c3ef-e05e-4431-b7eb-dbb8ac883d6c", - "type": "basic.info", - "data": { - "info": "There is a change \non the input", - "readonly": true - }, - "position": { - "x": 360, - "y": -200 - }, - "size": { - "width": 152, - "height": 56 - } - }, - { - "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", - "type": "basic.info", - "data": { - "info": "Whenever there is a change in \nthe input, the counter is started", - "readonly": true - }, - "position": { - "x": 528, - "y": -88 - }, - "size": { - "width": 288, - "height": 56 - } - }, - { - "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", - "type": "basic.info", - "data": { - "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", - "readonly": true - }, - "position": { - "x": 720, - "y": -456 - }, - "size": { - "width": 304, - "height": 72 - } - }, - { - "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", - "type": "basic.info", - "data": { - "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", - "readonly": true - }, - "position": { - "x": 432, - "y": -640 - }, - "size": { - "width": 360, - "height": 120 - } - }, - { - "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", - "type": "basic.info", - "data": { - "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", - "readonly": true - }, - "position": { - "x": -8, - "y": -648 - }, - "size": { - "width": 312, - "height": 128 - } - }, - { - "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", - "type": "basic.info", - "data": { - "info": "Stable output", - "readonly": true - }, - "position": { - "x": 880, - "y": -280 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", - "type": "basic.info", - "data": { - "info": "Counter", - "readonly": true - }, - "position": { - "x": 536, - "y": -232 - }, - "size": { - "width": 96, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "port": "out" - }, - "target": { - "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "port": "inlabel" - } - }, - { - "source": { - "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "port": "outlabel" - }, - "target": { - "block": "cc581727-73de-451c-849d-eb5e8387f0e4", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "5d12a177-7618-4517-9067-3012f7cb42ce", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "port": "outlabel" - }, - "target": { - "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", - "port": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3" - } - }, - { - "source": { - "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "port": "out" - }, - "target": { - "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "port": "inlabel" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "cc581727-73de-451c-849d-eb5e8387f0e4", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "e4164124-c993-47b5-a746-809a3e625f53", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "2f1050dd-a720-4ede-890e-612ce370ba61", - "port": "inlabel" - } - }, - { - "source": { - "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "port": "outlabel" - }, - "target": { - "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "port": "in" - } - }, - { - "source": { - "block": "cc581727-73de-451c-849d-eb5e8387f0e4", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "e4164124-c993-47b5-a746-809a3e625f53", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "cc581727-73de-451c-849d-eb5e8387f0e4", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", - "port": "cc17ff4d-1c27-4dc3-a14c-da730d54750e" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" - }, - "vertices": [] - }, - { - "source": { - "block": "e4164124-c993-47b5-a746-809a3e625f53", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", - "port": "743b5299-2d89-4783-b7c9-12a5b36df406" - }, - "vertices": [] - }, - { - "source": { - "block": "c287e056-90e6-46b6-b1fa-5f8abba12518", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", - "port": "26aba23f-8567-4e9b-bd45-c26724030f33" - }, - "vertices": [] - } - ] - } - } - }, - "93adf61bc489d9a96a344d3f2600237e9e19c607": { - "package": { - "name": "Reg-1bit", - "version": "0.1", - "description": "1bit register (implemented in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": 104 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 216, - "y": 192 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 728, - "y": 192 - } - }, - { - "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 240, - "y": 320 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 472, - "y": 56 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 272, - "height": 104 - } - }, - { - "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, - "position": { - "x": 480, - "y": 48 - }, - "size": { - "width": 136, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - }, - { - "source": { - "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "load" - } - } - ] - } - } - }, - "8d4ef5a2cf273f2265401931a99a46e9dc224688": { - "package": { - "name": "Contador-16bits-up-rst", - "version": "0.1", - "description": "Contador módulo M, ascendente, de 16 bits, con reset ", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22327.118%22%20height=%22304.435%22%20viewBox=%220%200%2086.549973%2080.548402%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-59.162%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.00937%200%200%201.00937%20-27.38%20-4.42)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.00937%200%200%201.00937%2028.939%20-4.42)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 264, - "y": 176 - } - }, - { - "id": "bc20f3e9-fdc2-457f-9c07-6d609142c689", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 800, - "y": 200 - } - }, - { - "id": "743b5299-2d89-4783-b7c9-12a5b36df406", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 264, - "y": 280 - } - }, - { - "id": "cc17ff4d-1c27-4dc3-a14c-da730d54750e", - "type": "basic.output", - "data": { - "name": "ov" - }, - "position": { - "x": 800, - "y": 352 - } - }, - { - "id": "26aba23f-8567-4e9b-bd45-c26724030f33", - "type": "basic.input", - "data": { - "name": "cnt", - "clock": false - }, - "position": { - "x": 264, - "y": 376 - } - }, - { - "id": "eb9f9c33-e717-43f0-98eb-dbd9c278fa8c", - "type": "basic.constant", - "data": { - "name": "", - "value": "'h10000", - "local": false - }, - "position": { - "x": 528, - "y": 48 - } - }, - { - "id": "b35ae5de-a490-4f7b-9200-ac52cd3f333e", - "type": "basic.info", - "data": { - "info": "**Parámetro**: Módulo del contador", - "readonly": true - }, - "position": { - "x": 472, - "y": 32 - }, - "size": { - "width": 280, - "height": 56 - } - }, - { - "id": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "type": "basic.code", - "data": { - "code": "//-- Numero de bits del contador\nlocalparam N = 16; \n\n//-- En contadores de N bits:\n//-- M = 2 ** N\n\n//-- Internamente usamos un bit mas\n//-- (N+1) bits\nreg [N:0] qi = 0;\n\nalways @(posedge clk)\n if (rst | ov)\n qi <= 0;\n else\n if (cnt)\n qi <= qi + 1;\n \nassign q = qi;\n\n//-- Comprobar overflow\nassign ov = (qi == M);\n ", - "params": [ - { - "name": "M" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "cnt" - } - ], - "out": [ - { - "name": "q", - "range": "[15:0]", - "size": 16 - }, - { - "name": "ov" - } - ] - } - }, - "position": { - "x": 408, - "y": 160 - }, - "size": { - "width": 336, - "height": 296 - } - } - ], - "wires": [ - { - "source": { - "block": "743b5299-2d89-4783-b7c9-12a5b36df406", - "port": "out" - }, - "target": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "rst" - } - }, - { - "source": { - "block": "eb9f9c33-e717-43f0-98eb-dbd9c278fa8c", - "port": "constant-out" - }, - "target": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "M" - } - }, - { - "source": { - "block": "26aba23f-8567-4e9b-bd45-c26724030f33", - "port": "out" - }, - "target": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "cnt" - } - }, - { - "source": { - "block": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3", - "port": "out" - }, - "target": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "clk" - } - }, - { - "source": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "ov" - }, - "target": { - "block": "cc17ff4d-1c27-4dc3-a14c-da730d54750e", - "port": "in" - } - }, - { - "source": { - "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", - "port": "q" - }, - "target": { - "block": "bc20f3e9-fdc2-457f-9c07-6d609142c689", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "725b7e2cb9666b5ed3183537d9c898f096dab82a": { - "package": { - "name": "1", - "version": "0.1", - "description": "Un bit constante a 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.632%22%20height=%2269.34%22%20viewBox=%220%200%2031.530464%2065.006656%22%3E%3Cpath%20d=%22M3.517%2012.015L19%200l12.53%202.863-10.012%2043.262-9.746-2.227%207.7-34.532L8.03%2016.38z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M17.593%2043.464l7.822%2010.472-6.56%207.919%202.27%202.043m-5.14-20.179l-4.542%2010.473-10.345%202.043.757%203.32%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 512, - "y": 160 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Bit constante a 1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 256, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "05f3e9bc55accff72548a042020a6da3bf6f6b49": { - "package": { - "name": "RS-FF-reset-verilog", - "version": "0.3", - "description": "RS-FF-reset. RS Flip-flop with priority reset. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22250.466%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20277.098v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "625762cf-338a-4945-bbeb-23db52514091", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 520, - "y": -24 - } - }, - { - "id": "8bdeea1f-e51b-40e1-92c0-e62ed1c31c18", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1128, - "y": 96 - } - }, - { - "id": "521017de-b157-4356-a9d5-7a502b673a3e", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 512, - "y": 96 - } - }, - { - "id": "a165b16f-ba6d-460a-bebd-b8d7b6abba0c", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 512, - "y": 216 - } - }, - { - "id": "4c401134-f6fe-456f-ba20-ce93f63baed8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 832, - "y": -168 - } - }, - { - "id": "922688bd-73a9-4a77-8d2f-635a886096fc", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "set" - }, - { - "name": "reset" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on reset\n //-- It is first checked\n if (reset == 1'b1) \n qi <= 1'b0;\n \n //-- Second: check set\n else if (set == 1'b1)\n qi <= 1'b1;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 688, - "y": -56 - }, - "size": { - "width": 376, - "height": 368 - } - } - ], - "wires": [ - { - "source": { - "block": "922688bd-73a9-4a77-8d2f-635a886096fc", - "port": "q" - }, - "target": { - "block": "8bdeea1f-e51b-40e1-92c0-e62ed1c31c18", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "521017de-b157-4356-a9d5-7a502b673a3e", - "port": "out" - }, - "target": { - "block": "922688bd-73a9-4a77-8d2f-635a886096fc", - "port": "set" - }, - "vertices": [] - }, - { - "source": { - "block": "a165b16f-ba6d-460a-bebd-b8d7b6abba0c", - "port": "out" - }, - "target": { - "block": "922688bd-73a9-4a77-8d2f-635a886096fc", - "port": "reset" - }, - "vertices": [] - }, - { - "source": { - "block": "625762cf-338a-4945-bbeb-23db52514091", - "port": "out" - }, - "target": { - "block": "922688bd-73a9-4a77-8d2f-635a886096fc", - "port": "clk" - }, - "vertices": [] - }, - { - "source": { - "block": "4c401134-f6fe-456f-ba20-ce93f63baed8", - "port": "constant-out" - }, - "target": { - "block": "922688bd-73a9-4a77-8d2f-635a886096fc", - "port": "INI" - }, - "vertices": [] - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/RS-FFs/RS-FF-set/Alhambra-II/01-Manual-testing.ice b/examples/TESTs/RS-FFs/RS-FF-set/Alhambra-II/01-Manual-testing.ice deleted file mode 100644 index 4e4d167..0000000 --- a/examples/TESTs/RS-FFs/RS-FF-set/Alhambra-II/01-Manual-testing.ice +++ /dev/null @@ -1,304 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "acdfb320-9afb-4f4c-a807-847752b882b0", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 320, - "y": 128 - } - }, - { - "id": "a9aa03bc-ae14-4f3a-b0cd-b9297755b44a", - "type": "basic.input", - "data": { - "name": "Button", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "clock": false - }, - "position": { - "x": -56, - "y": 128 - } - }, - { - "id": "17e37217-8c3a-462a-8bfd-99e641a57c9e", - "type": "basic.input", - "data": { - "name": "Button", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW2", - "value": "33" - } - ], - "clock": false - }, - "position": { - "x": -56, - "y": 240 - } - }, - { - "id": "19eb5ab2-54e6-4927-a428-5feb53c3e8f9", - "type": "basic.info", - "data": { - "info": "## RS-Flip-flip with priority set: Manual testing \n\nThe SW1 and SW2 buttons are used for activating the set and reset inputs \nrespectivelly. The Flip-Flop state is shown on the LED", - "readonly": true - }, - "position": { - "x": -152, - "y": -80 - }, - "size": { - "width": 624, - "height": 72 - } - }, - { - "id": "e2017c81-fc62-4e5e-b8ba-97130d3b2cf9", - "type": "53b11043900ebd4d2cc9c76e0f58b7010e363dd0", - "position": { - "x": 144, - "y": 112 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "a9aa03bc-ae14-4f3a-b0cd-b9297755b44a", - "port": "out" - }, - "target": { - "block": "e2017c81-fc62-4e5e-b8ba-97130d3b2cf9", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "17e37217-8c3a-462a-8bfd-99e641a57c9e", - "port": "out" - }, - "target": { - "block": "e2017c81-fc62-4e5e-b8ba-97130d3b2cf9", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - } - }, - { - "source": { - "block": "e2017c81-fc62-4e5e-b8ba-97130d3b2cf9", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "acdfb320-9afb-4f4c-a807-847752b882b0", - "port": "in" - } - } - ] - } - }, - "dependencies": { - "53b11043900ebd4d2cc9c76e0f58b7010e363dd0": { - "package": { - "name": "RS-FF-set-verilog", - "version": "0.2", - "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 240, - "y": 0 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 832, - "y": 120 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 232, - "y": 120 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 232, - "y": 240 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 536, - "y": -144 - } - }, - { - "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "set" - }, - { - "name": "reset" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 400, - "y": -32 - }, - "size": { - "width": 360, - "height": 360 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "port": "set" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "port": "reset" - } - }, - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "port": "clk" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "port": "INI" - } - }, - { - "source": { - "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/TFFs/Sys-TFF-rst/Alhambra-II/01-manual-testing.ice b/examples/TESTs/TFFs/Sys-TFF-rst/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index 2568e83..0000000 --- a/examples/TESTs/TFFs/Sys-TFF-rst/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,6219 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 1152, - "y": -48 - } - }, - { - "id": "b46bc573-7654-4dfa-8d34-c7aa25d56a9a", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 1560, - "y": -24 - } - }, - { - "id": "df942fcd-4888-483c-9cc4-ab296d88acfc", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 1216, - "y": 120 - } - }, - { - "id": "a3be645d-3898-41eb-9c68-d6a74c18a184", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 696, - "y": 144 - } - }, - { - "id": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1016, - "y": -56 - } - }, - { - "id": "738af5f6-9513-4dbd-a66e-c67d6727ddb4", - "type": "basic.info", - "data": { - "info": "# TFF-sys-rst: Manual testing", - "readonly": true - }, - "position": { - "x": 816, - "y": -168 - }, - "size": { - "width": 440, - "height": 48 - } - }, - { - "id": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 1376, - "y": 8 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "467b3cb0-8094-4cc7-b803-e9f44c15669b", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 1400, - "y": 128 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "5f9bee38-42eb-4ec5-98e5-49665b95f0de", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 664, - "y": 64 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c018ff0b-f561-4564-b436-9cdc70ac593a", - "type": "8a23ffd0491010ff595493182b82c722bd6902d7", - "position": { - "x": 848, - "y": 48 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "type": "2ceebc0e3e6d0533a8b8b635ed0efd92a1fc5957", - "position": { - "x": 1016, - "y": 48 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "port": "in" - } - }, - { - "source": { - "block": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "b46bc573-7654-4dfa-8d34-c7aa25d56a9a", - "port": "in" - }, - "vertices": [], - "size": 4 - }, - { - "source": { - "block": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "port": "constant-out" - }, - "target": { - "block": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "port": "915bebf3-8f1a-4547-8056-fe3e75c77022" - } - }, - { - "source": { - "block": "c018ff0b-f561-4564-b436-9cdc70ac593a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" - } - }, - { - "source": { - "block": "5f9bee38-42eb-4ec5-98e5-49665b95f0de", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "c018ff0b-f561-4564-b436-9cdc70ac593a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "a3be645d-3898-41eb-9c68-d6a74c18a184", - "port": "outlabel" - }, - "target": { - "block": "c018ff0b-f561-4564-b436-9cdc70ac593a", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "689a3d63-c130-4fe2-982d-a5a9a638c450", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "df942fcd-4888-483c-9cc4-ab296d88acfc", - "port": "inlabel" - } - } - ] - } - }, - "dependencies": { - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "8a23ffd0491010ff595493182b82c722bd6902d7": { - "package": { - "name": "DFF-verilog", - "version": "0.2", - "description": "DFF. D Flip-flop. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 424 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 128, - "y": 536 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 536 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 128, - "y": 648 - } - }, - { - "id": "be33796a-a109-4ab0-a53b-dd6ec67587cd", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 488, - "y": 280 - } - }, - { - "id": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 320, - "y": 400 - }, - "size": { - "width": 424, - "height": 336 - } - } - ], - "wires": [ - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "clk" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "d" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "load" - } - }, - { - "source": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "be33796a-a109-4ab0-a53b-dd6ec67587cd", - "port": "constant-out" - }, - "target": { - "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", - "port": "INI" - } - } - ] - } - } - }, - "2ceebc0e3e6d0533a8b8b635ed0efd92a1fc5957": { - "package": { - "name": "Sys-TFF-rst-verilog", - "version": "0.3", - "description": "Sys-TFF-rst: System TFF with reset: It toogles its output on every system cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22353.148%22%20height=%22323.478%22%20viewBox=%220%200%2093.437099%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-21.028%20-56.576)%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M99.958%2067.809l8.692-8.353-1.016%2027.43L80.77%2085.87l8.24-8.127s-6.321-6.66-17.497-6.773c-11.175-.113-17.948%206.208-17.948%206.208l.113-9.256-6.998-3.048s10.95-8.579%2025.51-7.902c14.562.678%2027.77%2010.837%2027.77%2010.837zM40.91%20130.93l-8.692%208.354%201.016-27.43L60.1%20112.87l-8.24%208.127s6.32%206.66%2017.496%206.773c11.175.113%2017.948-6.208%2017.948-6.208l-.113%209.256%206.999%203.047s-10.95%208.58-25.511%207.902c-14.562-.677-27.769-10.836-27.769-10.836z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Crect%20width=%2239.326%22%20height=%2221.24%22%20x=%2248.935%22%20y=%2289.006%22%20ry=%223.619%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.279%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2252.067%22%20y=%22104.421%22%20font-weight=%22400%22%20font-size=%2217.049%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.426%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2252.067%22%20y=%22104.421%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 96, - "y": -88 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 664, - "y": -16 - } - }, - { - "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 88, - "y": 56 - } - }, - { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 384, - "y": -248 - } - }, - { - "id": "f2315b3e-dd21-451f-9785-610bfc8839d9", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\n//-- TFF\nalways @(posedge clk)\n\n //-- Reset with priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- Toggle the Output\n //-- on every clock cycle\n qi <= ~qi;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 256, - "y": -128 - }, - "size": { - "width": 344, - "height": 288 - } - } - ], - "wires": [ - { - "source": { - "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "port": "out" - }, - "target": { - "block": "f2315b3e-dd21-451f-9785-610bfc8839d9", - "port": "rst" - } - }, - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "f2315b3e-dd21-451f-9785-610bfc8839d9", - "port": "clk" - } - }, - { - "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" - }, - "target": { - "block": "f2315b3e-dd21-451f-9785-610bfc8839d9", - "port": "INI" - } - }, - { - "source": { - "block": "f2315b3e-dd21-451f-9785-610bfc8839d9", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/TFFs/Sys-TFF/Alhambra-II/01-manual-testing.ice b/examples/TESTs/TFFs/Sys-TFF/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index 5e2935a..0000000 --- a/examples/TESTs/TFFs/Sys-TFF/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,5965 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 1152, - "y": -48 - } - }, - { - "id": "b46bc573-7654-4dfa-8d34-c7aa25d56a9a", - "type": "basic.output", - "data": { - "name": "LED", - "virtual": false, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "LED3", - "value": "42" - }, - { - "index": "2", - "name": "LED2", - "value": "43" - }, - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ] - }, - "position": { - "x": 1560, - "y": -24 - } - }, - { - "id": "ab1a740a-96f7-4afe-8f8b-4a27c72a95bf", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED6", - "value": "38" - } - ], - "virtual": false - }, - "position": { - "x": 1176, - "y": 152 - } - }, - { - "id": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1016, - "y": -56 - } - }, - { - "id": "316f3cbe-bf07-4559-bea0-13524693f02d", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 1016, - "y": 152 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "738af5f6-9513-4dbd-a66e-c67d6727ddb4", - "type": "basic.info", - "data": { - "info": "# Sys-TFF: Manual testing", - "readonly": true - }, - "position": { - "x": 960, - "y": -144 - }, - "size": { - "width": 440, - "height": 48 - } - }, - { - "id": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "type": "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2", - "position": { - "x": 1376, - "y": 8 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "467b3cb0-8094-4cc7-b803-e9f44c15669b", - "type": "basic.info", - "data": { - "info": "LEDOscope", - "readonly": true - }, - "position": { - "x": 1400, - "y": 128 - }, - "size": { - "width": 184, - "height": 56 - } - }, - { - "id": "2be568a2-2d65-41e4-9f43-d6358867cc27", - "type": "075e5bf5940c561925b6d1c85be0693d36b801be", - "position": { - "x": 1016, - "y": 48 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "2be568a2-2d65-41e4-9f43-d6358867cc27", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "port": "in" - } - }, - { - "source": { - "block": "316f3cbe-bf07-4559-bea0-13524693f02d", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "ab1a740a-96f7-4afe-8f8b-4a27c72a95bf", - "port": "in" - } - }, - { - "source": { - "block": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "port": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31" - }, - "target": { - "block": "b46bc573-7654-4dfa-8d34-c7aa25d56a9a", - "port": "in" - }, - "vertices": [], - "size": 4 - }, - { - "source": { - "block": "2be568a2-2d65-41e4-9f43-d6358867cc27", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "5a40f736-3a27-447a-a81d-2a4835aeaa07", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "port": "constant-out" - }, - "target": { - "block": "2be568a2-2d65-41e4-9f43-d6358867cc27", - "port": "915bebf3-8f1a-4547-8056-fe3e75c77022" - } - } - ] - } - }, - "dependencies": { - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "c2cf3dc743e8e6bcf2a82ac9781eb9129e1ff0b2": { - "package": { - "name": "Ledoscope-zero-4-bits", - "version": "0.1", - "description": "Ledoscope. Capture the input signal during the first 4 cycles after circuit initialization", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22456.071%22%20height=%22447.291%22%20viewBox=%220%200%20120.66882%20118.34562%22%20id=%22svg5%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939%22/%3E%3Cmarker%20id=%22TriangleOutM-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5%22%3E%3Cpath%20id=%22a-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4%22%3E%3Cpath%20id=%22a-9-3%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407%22/%3E%3Cpath%20id=%22path1409%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324%22/%3E%3Cpath%20id=%22path1326%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330%22/%3E%3Cpath%20id=%22path1332%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-35%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-62%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-91%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-27%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-93%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-06%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-26%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-92%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-28%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-31%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-63%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-61%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-47%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-5%22/%3E%3Cmarker%20id=%22TriangleOutM-9-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-43%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-1%22%3E%3Cpath%20id=%22a-9-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-0%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-68%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-9%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-7%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-75-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-3-0%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-56-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-2-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-1-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-2-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-7-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-0-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-9-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-6-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-06-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-26-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-8-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-9-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-20-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-2-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-3-7%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-7-3%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-5-6%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-9-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-2-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-2-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-8-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-9-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-6-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-1-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-2-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-9-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-1-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-4-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-7-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-8-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-4-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-0-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-3-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-6-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-1-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-0-1%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-6-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path937-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path939-2-8%22/%3E%3Cmarker%20id=%22TriangleOutM-9-0-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-1-6-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2-1-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-7-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0-5-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-93-4-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-6-7-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-6-5-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-2-6-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-6-9-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1-3-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-8-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-9-5-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2-2-5%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-2-4-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-3-7-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7-4-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-5-4-7%22%3E%3Cpath%20id=%22a-9-3-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-0-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-7-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-8-4%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-8-8%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-2-8-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-4-9%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-3-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-1-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-4-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-9-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-2-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-0-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919-6-1%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path971-8-8%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path1177-9-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-36-2-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-6-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-7-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-4-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-53-9-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-5-8%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1405-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1407-0%22/%3E%3Cpath%20id=%22path1409-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-71-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-1-8%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-9-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-7-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-67-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-3-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-753-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-56-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-2-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-91-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-27-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-0-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1880-5-3-4%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-60-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1762-5-6-9%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-6-2-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path1744-2-6-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-367-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-53-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-562-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-9-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-12-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-7-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-0-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-9-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-3-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-6-9%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-0-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-62-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-6-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-8-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-92-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-0-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-23-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-75-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9-0%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-2-8%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1696-5%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1322-0%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1324-6%22/%3E%3Cpath%20id=%22path1326-4%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1328-6%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1330-2%22/%3E%3Cpath%20id=%22path1332-5%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1334-8%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-0-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-9-2%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-36-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-0-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-62-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-61-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-8-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-79-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-20-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-23-2%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-7-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-5-9%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-22-8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-89-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-73-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-6-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-1-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-29-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-3-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-1-4%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-94-0%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path943-7-3%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-8-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-57-4-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-0-5-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-8-0-6%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-1-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-9-6-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-97-1-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-8-0-8%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-25-6-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-3-3-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-4-2-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-9-0-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-0-6-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-2-1-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-0-5-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-1-5-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-9-4-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-6-7-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-2-6-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1-5-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-2-6-5%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-0-9-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1218-3-9%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path956-7-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path958-4-7%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45130-2%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path45132-8%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3629%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3631%22/%3E%3Cpath%20id=%22path3633%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3635%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3637%22/%3E%3Cpath%20id=%22path3639%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3641%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3643%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path3645%22/%3E%3C/defs%3E%3Cg%20id=%22layer3%22%20transform=%22translate(-44.793%20-73.32)%22%3E%3Crect%20id=%22rect43961%22%20width=%22118.552%22%20height=%22118.346%22%20x=%2245.851%22%20y=%2273.321%22%20ry=%2216.729%22%20fill=%22#4d4d4d%22%20fill-opacity=%22.996%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M45.851%2090.05h118.552%22%20id=%22path2010%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20106.986h118.552%22%20id=%22path2010-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20123.922h118.552%22%20id=%22path2010-7%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20140.858h118.552%22%20id=%22path2010-6-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20157.588h118.552%22%20id=%22path2010-7-3%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M45.851%20174.524h118.552%22%20id=%22path2010-6-5-5%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M62.787%2073.32v118.346%22%20id=%22path43134%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M79.787%2073.32v118.346%22%20id=%22path43134-6%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M96.782%2073.32v118.346%22%20id=%22path43134-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M113.782%2073.32v118.346%22%20id=%22path43134-6-9%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M130.447%2073.32v118.346%22%20id=%22path43134-2-1%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cpath%20d=%22M147.446%2073.32v118.346%22%20id=%22path43134-6-9-2%22%20fill=%22#0f870f%22%20stroke=%22#0f870f%22%20stroke-width=%222.117%22/%3E%3Cg%20id=%22g45958%22%20transform=%22translate(167.715%20-52.083)%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20id=%22path992-2-6%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20id=%22text4204%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%20id=%22tspan4206%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20id=%22rect8310%22%20width=%2235.828%22%20height=%2219.351%22%20x=%22122.955%22%20y=%2283.193%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22125.809%22%20y=%2297.236%22%20id=%22text2010%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20id=%22tspan2008%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22125.809%22%20y=%2297.236%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M45.851%20126.27s16.32-31.832%2029.588-31.958c13.267-.127%2026.381%2027.575%2030.5%2033.772%204.183%206.292%2016.737%2029.946%2027.68%2029.803%2010.941-.143%2019.086-11.807%2023.165-18.829%204.079-7.021%207.62-12.675%207.62-12.675%22%20id=%22path13397%22%20fill=%22none%22%20fill-opacity=%22.996%22%20stroke=%22#00eb00%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22/%3E%3Cg%20id=%22g992%22%20transform=%22matrix(.79321%200%200%20.79321%2065.901%20114.262)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-7%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-0%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-0-4%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cstyle%20id=%22style2-9-9-8%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E", - "otid": 1635577307605 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 1080, - "y": -376 - } - }, - { - "id": "074d39f1-a861-4c73-98a6-a52aac986467", - "type": "basic.output", - "data": { - "name": "busy" - }, - "position": { - "x": 1232, - "y": -376 - } - }, - { - "id": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": -264 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 32, - "y": -192 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 184, - "y": -192 - } - }, - { - "id": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1240, - "y": -184 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -152 - } - }, - { - "id": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -152 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "ch", - "clock": false - }, - "position": { - "x": 32, - "y": -16 - } - }, - { - "id": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "ch" - }, - "position": { - "x": 184, - "y": -16 - } - }, - { - "id": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 864, - "y": 32 - } - }, - { - "id": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": 96 - } - }, - { - "id": "e1f231a2-e03b-4276-8374-6151905252c3", - "type": "basic.output", - "data": { - "name": "done" - }, - "position": { - "x": 1360, - "y": 96 - } - }, - { - "id": "ab49132d-7899-47ba-960d-bc1235a57263", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 352, - "y": 168 - } - }, - { - "id": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 896, - "y": 200 - } - }, - { - "id": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "busy" - }, - "position": { - "x": 608, - "y": 264 - } - }, - { - "id": "290285d5-147e-451c-8806-a26aec212457", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 712, - "y": -168 - } - }, - { - "id": "20160272-ea80-499a-82d6-55fbd816e3d0", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 536, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "type": "14472837a03154bb4dcc011a34ab0a805d22c383", - "position": { - "x": 712, - "y": -72 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b1e82000-23e3-472b-bd8b-5e1ef24cffe1", - "type": "basic.info", - "data": { - "info": "4-bits Shift register", - "readonly": true - }, - "position": { - "x": 1056, - "y": -56 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "05ba8fa5-114d-4873-896a-94526357b205", - "type": "basic.info", - "data": { - "info": "The input channel is captured \non the register. One bit per \nsystem clock", - "readonly": true - }, - "position": { - "x": 1032, - "y": -264 - }, - "size": { - "width": 288, - "height": 72 - } - }, - { - "id": "42aa1d31-bebe-41da-89b3-31cec00d372a", - "type": "basic.info", - "data": { - "info": "RS FlipFlop initialized to 1", - "readonly": true - }, - "position": { - "x": 656, - "y": -216 - }, - "size": { - "width": 296, - "height": 48 - } - }, - { - "id": "68363500-5c1d-4779-95a5-a28f880b8328", - "type": "basic.info", - "data": { - "info": "while 1, the shift register \nis capturing", - "readonly": true - }, - "position": { - "x": 936, - "y": -8 - }, - "size": { - "width": 240, - "height": 64 - } - }, - { - "id": "86e28e5b-fdd8-42bf-b38c-8fbd4caca8fa", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 520, - "y": 128 - }, - "size": { - "width": 152, - "height": 48 - } - }, - { - "id": "ee6d5b74-7964-4544-9546-90bd6d6a2af5", - "type": "basic.info", - "data": { - "info": "After 4 cycles the Flip-Flop is \nreset and it stops capturing \nbits", - "readonly": true - }, - "position": { - "x": 712, - "y": 104 - }, - "size": { - "width": 272, - "height": 80 - } - }, - { - "id": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "type": "cd552e95a5679e530fdfb7382f73aae4256883ab", - "position": { - "x": 1040, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8eb3d43-5978-4805-bec5-7107d23ddc20", - "type": "basic.info", - "data": { - "info": "As the 2-bits system counter is counting \nall the time, the done signal is only \ngenerated when the counter reaches the maximum \nvalue and the Ledoscope is on (busy)", - "readonly": true - }, - "position": { - "x": 1008, - "y": 200 - }, - "size": { - "width": 384, - "height": 88 - } - }, - { - "id": "92903a65-f30e-4394-83f0-37d610255f4a", - "type": "9f13a520cfe07377560d0d305753b83672f5ffb9", - "position": { - "x": 520, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a6a9ec33-e0e1-4ea0-a7c3-59dca5c0aeb4", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "ab49132d-7899-47ba-960d-bc1235a57263", - "port": "outlabel" - }, - "target": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "2afdca5a-5cfe-4bf2-95ae-15f584b9c0ef", - "port": "outlabel" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - }, - "vertices": [ - { - "x": 1000, - "y": -208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "9c6ac485-2f5c-4a48-a0a9-8cdb1109233f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "061891df-c6b5-43e0-9edb-2c85f8848d69", - "port": "inlabel" - } - }, - { - "source": { - "block": "a6ea0642-5f9c-488e-b2d5-fe4bb22289d2", - "port": "outlabel" - }, - "target": { - "block": "074d39f1-a861-4c73-98a6-a52aac986467", - "port": "in" - } - }, - { - "source": { - "block": "ac13fb59-df64-4cc6-9a0c-da9f46a6d2a0", - "port": "outlabel" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "fe5ac951-2e1e-4873-b6ea-6a4a397d23d8", - "port": "outlabel" - }, - "target": { - "block": "e1f231a2-e03b-4276-8374-6151905252c3", - "port": "in" - } - }, - { - "source": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "7be7783a-c3b1-4b69-9f51-9adcdee50d0f", - "port": "inlabel" - } - }, - { - "source": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [] - }, - { - "source": { - "block": "290285d5-147e-451c-8806-a26aec212457", - "port": "constant-out" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" - }, - "vertices": [] - }, - { - "source": { - "block": "20160272-ea80-499a-82d6-55fbd816e3d0", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "6605fb99-d388-48e3-a722-e86ffab0d2a5", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - }, - "vertices": [] - }, - { - "source": { - "block": "d229f0df-2989-48fc-8c74-b351a63c7a0c", - "port": "e81229a5-0943-49ed-bc5d-c728ee8f9649" - }, - "target": { - "block": "5d13c0fd-f2cd-410a-a3ee-fddf5a2eeb31", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "92903a65-f30e-4394-83f0-37d610255f4a", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "e4150d6f-6424-4356-b1c2-1ba032c37009", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "14472837a03154bb4dcc011a34ab0a805d22c383": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -40 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -40 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1496, - "y": 40 - } - }, - { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": 40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1008, - "y": 136 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "set", - "clock": false - }, - "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 - } - }, - { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 216 - } - }, - { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", - "data": { - "name": "reset", - "clock": false - }, - "position": { - "x": 224, - "y": 216 - } - }, - { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1304, - "y": 232 - } - }, - { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 640, - "y": 248 - } - }, - { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 816, - "y": 344 - } - }, - { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 344 - } - }, - { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 1176, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority for the set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" - } - }, - { - "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" - }, - "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 456, - "y": 360 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1096, - "y": 392 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 136, - "y": 416 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": 416 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "cd552e95a5679e530fdfb7382f73aae4256883ab": { - "package": { - "name": "SReg-right-x4", - "version": "0.2", - "description": "SReg-right-x4: 4 bits Shift register (to the right)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.38%205.385h10.645M25.882%203.789c-.832%200-1.506.662-1.507%201.481%200%20.819.675%201.482%201.507%201.481h41.82c.832.001%201.507-.662%201.508-1.48%200-.82-.676-1.483-1.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M72.334%205.385l-6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(.70563%200%200%20.69474%2015.592%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "c209661f-3404-49cd-acad-78175a91c225", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -480 - } - }, - { - "id": "7914e55f-0139-4eab-a116-905a515d6709", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -416 - } - }, - { - "id": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1536, - "y": -400 - } - }, - { - "id": "9457a0fc-2048-4faa-a02a-5de074975e73", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": -384 - } - }, - { - "id": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1200, - "y": -352 - } - }, - { - "id": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": -352 - } - }, - { - "id": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 560, - "y": -352 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -312, - "y": -320 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -160, - "y": -320 - } - }, - { - "id": "f7695d00-3aee-48b0-a295-5103d8705106", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -312 - } - }, - { - "id": "6dbf2c32-dadc-4128-a174-12ed49135760", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -296 - } - }, - { - "id": "891056ef-6d03-4fbe-baef-0a10f3886018", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1208, - "y": -288 - } - }, - { - "id": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 872, - "y": -288 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -288 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "sin", - "clock": false - }, - "position": { - "x": -320, - "y": -224 - } - }, - { - "id": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -224 - } - }, - { - "id": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 1136, - "y": -216 - } - }, - { - "id": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "sin", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -216 - } - }, - { - "id": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "d0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1352, - "y": -176 - } - }, - { - "id": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "type": "basic.output", - "data": { - "name": "sout" - }, - "position": { - "x": 1512, - "y": -176 - } - }, - { - "id": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 592, - "y": -136 - } - }, - { - "id": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 864, - "y": -136 - } - }, - { - "id": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 0, - "y": -136 - } - }, - { - "id": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 288, - "y": -136 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false - }, - "position": { - "x": -320, - "y": -120 - } - }, - { - "id": "c582594c-782f-4857-82e7-b2aa58fa2170", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "shift", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -152, - "y": -120 - } - }, - { - "id": "739e23da-78f7-4658-be30-7fc7096a452b", - "type": "basic.output", - "data": { - "name": "nc" - }, - "position": { - "x": 1512, - "y": -80 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": -304, - "y": -360 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 728, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 1000, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "0014c351-ed6c-4fce-9135-728b08e032bc", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 432, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "type": "22cb989122c63147390b94df200e6d0f31e2d967", - "position": { - "x": 144, - "y": -232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "bbef4747-e9be-41ac-803d-9f23664c874c", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1352, - "y": -432 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0a9f1d38-136c-4c0a-b06a-c4375e70e3cd", - "port": "inlabel" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c582594c-782f-4857-82e7-b2aa58fa2170", - "port": "inlabel" - } - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "bd10bf06-1e85-4b2a-991c-419adf9dc56c", - "port": "outlabel" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "d63177f4-4cad-4031-8b4f-24156841d6c2", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9c59ccae-beec-4cbf-b1c3-45c8181e5f12", - "port": "inlabel" - } - }, - { - "source": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "badcab96-0f86-4cf0-9c52-8d52adc27f6b", - "port": "inlabel" - } - }, - { - "source": { - "block": "c7531c3a-ce35-4a10-80cd-671ac315662d", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 1320, - "y": -336 - } - ] - }, - { - "source": { - "block": "891056ef-6d03-4fbe-baef-0a10f3886018", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "38ce990f-da2d-4465-89e5-1b978a8d2312", - "port": "outlabel" - }, - "target": { - "block": "ede295dd-3d5d-4e9c-9bcb-3727dcd2e7b8", - "port": "in" - } - }, - { - "source": { - "block": "7da497e4-40c7-42e3-be2b-628b1525d19b", - "port": "outlabel" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "f7695d00-3aee-48b0-a295-5103d8705106", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ec246d1a-ba21-476c-b909-9c80f1e2f5a8", - "port": "inlabel" - } - }, - { - "source": { - "block": "7914e55f-0139-4eab-a116-905a515d6709", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } - }, - { - "source": { - "block": "6dbf2c32-dadc-4128-a174-12ed49135760", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" - }, - "vertices": [] - }, - { - "source": { - "block": "c708f06e-82b2-480a-8a4e-ff5f23780024", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - }, - "vertices": [] - }, - { - "source": { - "block": "4b3c8d78-7e59-46c8-82eb-285e12ddb9be", - "port": "outlabel" - }, - "target": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0d72cdf7-490a-4a28-b0c6-165ee1218b65", - "port": "outlabel" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9457a0fc-2048-4faa-a02a-5de074975e73", - "port": "inlabel" - } - }, - { - "source": { - "block": "c209661f-3404-49cd-acad-78175a91c225", - "port": "outlabel" - }, - "target": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "f8fa0719-fd24-4122-9f13-f4f97bb9a268", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "6dd74c29-c4e8-4257-a334-c2ed642f7eca", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "4dc20ea3-079e-447c-bd23-6742021ff48c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0014c351-ed6c-4fce-9135-728b08e032bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "bbef4747-e9be-41ac-803d-9f23664c874c", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "e81229a5-0943-49ed-bc5d-c728ee8f9649", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "22cb989122c63147390b94df200e6d0f31e2d967": { - "package": { - "name": "1-bit-reg", - "version": "0.1", - "description": "Reg: 1-Bit register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 440 - } - }, - { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 224, - "y": 440 - } - }, - { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 640, - "y": 512 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 80, - "y": 552 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 232, - "y": 552 - } - }, - { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 552 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 1296, - "y": 592 - } - }, - { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1152, - "y": 592 - } - }, - { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 928, - "y": 600 - } - }, - { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" - }, - "position": { - "x": 456, - "y": 616 - } - }, - { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" - }, - "position": { - "x": 232, - "y": 632 - } - }, - { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 632 - } - }, - { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": 688 - } - }, - { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 784, - "y": 504 - } - }, - { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "D Flip-flip\n(System)", - "readonly": true - }, - "position": { - "x": 776, - "y": 680 - }, - "size": { - "width": 120, - "height": 48 - } - }, - { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 784, - "y": 600 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } - }, - { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" - }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" - } - }, - { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] - }, - { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 144, - "y": 432 - } - }, - { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 432 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 432 - } - }, - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 416, - "y": 496 - } - }, - { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 496 - } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 280, - "y": 504 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 144, - "y": 504 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 416, - "y": 568 - } - }, - { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" - } - }, - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - } - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9f13a520cfe07377560d0d305753b83672f5ffb9": { - "package": { - "name": "syscounter-2bits", - "version": "0.1", - "description": "2-bits Syscounter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22362.437%22%20height=%22252.011%22%20viewBox=%220%200%2095.894714%2066.677932%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-31.056%20-74.665)%22%3E%3Cpath%20d=%22M38.2%20119.749V88.17%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2280.008%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2287.691%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2287.691%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M81.129%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%2277.332%22%20x=%2246.594%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22109.013%22%20x=%2252.338%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.013%22%20x=%2252.338%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M47.715%20102.326h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(1.009%2044.618)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(21.645%2053.687)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 64, - "y": -200 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 216, - "y": -200 - } - }, - { - "id": "260e86a2-5bb2-467c-8e22-89b78112b481", - "type": "basic.output", - "data": { - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 984, - "y": -192 - } - }, - { - "id": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 816, - "y": -192 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 984, - "y": -104 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 816, - "y": -104 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 408, - "y": -40 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 24 - } - }, - { - "id": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 688, - "y": 56 - } - }, - { - "id": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 128, - "y": 56 - } - }, - { - "id": "53b94482-9f8d-4645-99db-09b2855dc955", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 272, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "type": "82f50105a13be9a20b420ba04132110bf5d86d86", - "position": { - "x": 544, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [ - { - "x": 384, - "y": 40 - } - ] - }, - { - "source": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "size": 2 - }, - "target": { - "block": "94fe5c09-9647-4a44-bc59-4d639686b0fa", - "port": "inlabel" - }, - "vertices": [], - "size": 2 - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "d87d085f-ae4c-4857-bbdc-dd20cb5dbe7d", - "port": "outlabel" - }, - "target": { - "block": "260e86a2-5bb2-467c-8e22-89b78112b481", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "5c9cdbb7-20bb-41e8-9484-b7327330d63c", - "port": "outlabel" - }, - "target": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "53b94482-9f8d-4645-99db-09b2855dc955", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" - }, - "target": { - "block": "96b7f507-2a03-4f0a-bcd7-b8ad76472ae7", - "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 272, - "y": -152 - } - }, - { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 - }, - { - "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" - }, - "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 296, - "y": -72 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 288, - "y": -272 - } - }, - { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" - }, - "size": 2 - }, - { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" - }, - "size": 2 - }, - { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 952, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 672, - "y": -400 - } - }, - { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -56, - "y": -344 - } - }, - { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 800, - "y": -272 - } - }, - { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": -64, - "y": -144 - } - }, - { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] - }, - { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 136, - "y": 184 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 552, - "y": 240 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 112, - "y": 144 - } - }, - { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 584, - "y": 200 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 112, - "y": 256 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "82f50105a13be9a20b420ba04132110bf5d86d86": { - "package": { - "name": "DFF-x02", - "version": "0.1", - "description": "DFF-02: Two D flip-flops in paralell", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -112 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 64 - } - }, - { - "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 - }, - "position": { - "x": 1024, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 176, - "y": 216 - } - }, - { - "id": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 344, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 848, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 672, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", - "port": "out" - }, - "target": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "cbbe232b-4cc4-44f6-be5a-3c7321bbf80b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [ - { - "x": 488, - "y": 312 - } - ] - }, - { - "source": { - "block": "099a83fc-0278-4806-ac19-94b763e7c8bf", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "58b81a65-1db8-4488-9a70-e98d16f17cca", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 168 - } - ] - }, - { - "source": { - "block": "917d0212-de3b-4bf7-bc7b-2cd1783117d4", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "075e5bf5940c561925b6d1c85be0693d36b801be": { - "package": { - "name": "Sys-TFF-Verilog", - "version": "0.3", - "description": "Sys-TFF: System TFF: It toogles its output on every system cycle. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22353.148%22%20height=%22323.478%22%20viewBox=%220%200%2093.437099%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-21.028%20-56.576)%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M99.958%2067.809l8.692-8.353-1.016%2027.43L80.77%2085.87l8.24-8.127s-6.321-6.66-17.497-6.773c-11.175-.113-17.948%206.208-17.948%206.208l.113-9.256-6.998-3.048s10.95-8.579%2025.51-7.902c14.562.678%2027.77%2010.837%2027.77%2010.837zM40.91%20130.93l-8.692%208.354%201.016-27.43L60.1%20112.87l-8.24%208.127s6.32%206.66%2017.496%206.773c11.175.113%2017.948-6.208%2017.948-6.208l-.113%209.256%206.999%203.047s-10.95%208.58-25.511%207.902c-14.562-.677-27.769-10.836-27.769-10.836z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Crect%20width=%2239.326%22%20height=%2221.24%22%20x=%2248.935%22%20y=%2289.006%22%20ry=%223.619%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.279%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2252.067%22%20y=%22104.421%22%20font-weight=%22400%22%20font-size=%2217.049%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.426%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2252.067%22%20y=%22104.421%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 296, - "y": 64 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 912, - "y": 64 - } - }, - { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 600, - "y": -128 - } - }, - { - "id": "3ef828d8-7345-42a7-b486-23bbf87c85b5", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\n//-- TFF\nalways @(posedge clk)\n\n //-- Toggle the Output\n //-- on every clock cycle\n qi <= ~qi;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 480, - "y": -16 - }, - "size": { - "width": 328, - "height": 216 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "3ef828d8-7345-42a7-b486-23bbf87c85b5", - "port": "clk" - } - }, - { - "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" - }, - "target": { - "block": "3ef828d8-7345-42a7-b486-23bbf87c85b5", - "port": "INI" - } - }, - { - "source": { - "block": "3ef828d8-7345-42a7-b486-23bbf87c85b5", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/TFFs/TFF-rst/Alhambra-II/01-manual-testing.ice b/examples/TESTs/TFFs/TFF-rst/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index f388ed5..0000000 --- a/examples/TESTs/TFFs/TFF-rst/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,10473 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "c6ab4939-6e8d-4086-93fa-ca8988bce80e", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW2", - "value": "33" - } - ], - "clock": false - }, - "position": { - "x": 824, - "y": 24 - } - }, - { - "id": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 1168, - "y": 64 - } - }, - { - "id": "113e7b43-c7a3-4664-996a-5922c355a862", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "clock": false - }, - "position": { - "x": 672, - "y": 168 - } - }, - { - "id": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1016, - "y": -56 - } - }, - { - "id": "738af5f6-9513-4dbd-a66e-c67d6727ddb4", - "type": "basic.info", - "data": { - "info": "# TFF-rst: Manual testing", - "readonly": true - }, - "position": { - "x": 744, - "y": -184 - }, - "size": { - "width": 440, - "height": 48 - } - }, - { - "id": "0110aee4-9d05-4196-8182-6361d6f68278", - "type": "f343ddae2d2d482122701507323b17ee02880898", - "position": { - "x": 816, - "y": 152 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "69178383-dc62-4aac-b339-00024d188e17", - "type": "basic.info", - "data": { - "info": "TFF-rst", - "readonly": true - }, - "position": { - "x": 1048, - "y": 160 - }, - "size": { - "width": 120, - "height": 40 - } - }, - { - "id": "94b7d07a-f25c-4b8e-b5e0-316875b7d2d5", - "type": "f90575c5acd46e4a6cba45b9c334f4a32274e5d6", - "position": { - "x": 1016, - "y": 48 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "94b7d07a-f25c-4b8e-b5e0-316875b7d2d5", - "port": "c76a9bde-4366-4461-a459-41494a4184ca" - }, - "target": { - "block": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "port": "in" - } - }, - { - "source": { - "block": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "port": "constant-out" - }, - "target": { - "block": "94b7d07a-f25c-4b8e-b5e0-316875b7d2d5", - "port": "915bebf3-8f1a-4547-8056-fe3e75c77022" - } - }, - { - "source": { - "block": "113e7b43-c7a3-4664-996a-5922c355a862", - "port": "out" - }, - "target": { - "block": "0110aee4-9d05-4196-8182-6361d6f68278", - "port": "21bc142d-a93a-430d-b37a-326435def9f9" - } - }, - { - "source": { - "block": "0110aee4-9d05-4196-8182-6361d6f68278", - "port": "997db8c4-b772-49d8-83e7-4427aff720e6" - }, - "target": { - "block": "94b7d07a-f25c-4b8e-b5e0-316875b7d2d5", - "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" - } - }, - { - "source": { - "block": "c6ab4939-6e8d-4086-93fa-ca8988bce80e", - "port": "out" - }, - "target": { - "block": "94b7d07a-f25c-4b8e-b5e0-316875b7d2d5", - "port": "21326921-3052-4ec8-8344-fc8210c08678" - }, - "vertices": [ - { - "x": 944, - "y": 80 - } - ] - } - ] - } - }, - "dependencies": { - "f343ddae2d2d482122701507323b17ee02880898": { - "package": { - "name": "Button-tic", - "version": "0.6", - "description": "Button-tic: Configurable button that emits a tic when it is pressed", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -32 - } - }, - { - "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 280, - "y": -32 - } - }, - { - "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 728, - "y": -16 - } - }, - { - "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 312, - "y": 104 - } - }, - { - "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 744, - "y": 136 - } - }, - { - "id": "997db8c4-b772-49d8-83e7-4427aff720e6", - "type": "basic.output", - "data": { - "name": "Press" - }, - "position": { - "x": 1024, - "y": 168 - } - }, - { - "id": "21bc142d-a93a-430d-b37a-326435def9f9", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 304, - "y": 200 - } - }, - { - "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 448, - "y": 56 - } - }, - { - "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 560, - "y": 56 - } - }, - { - "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 136, - "y": -56 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", - "type": "basic.info", - "data": { - "info": "Button state signal", - "readonly": true - }, - "position": { - "x": 720, - "y": -32 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", - "type": "basic.info", - "data": { - "info": "Tic: button pressed", - "readonly": true - }, - "position": { - "x": 1024, - "y": 152 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", - "type": "basic.info", - "data": { - "info": "Rising edge detector", - "readonly": true - }, - "position": { - "x": 872, - "y": 248 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", - "type": "basic.info", - "data": { - "info": "Pull up on/off", - "readonly": true - }, - "position": { - "x": 448, - "y": 16 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", - "type": "basic.info", - "data": { - "info": "Not on/off", - "readonly": true - }, - "position": { - "x": 576, - "y": 16 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "type": "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb", - "position": { - "x": 888, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "type": "0b641dd31ecc9ec9194efd886de27cadd758656b", - "position": { - "x": 496, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "port": "out" - }, - "target": { - "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "port": "outlabel" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" - }, - "vertices": [ - { - "x": 424, - "y": 160 - } - ] - }, - { - "source": { - "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "port": "outlabel" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "21bc142d-a93a-430d-b37a-326435def9f9", - "port": "out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "port": "in" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - }, - "vertices": [] - }, - { - "source": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "997db8c4-b772-49d8-83e7-4427aff720e6", - "port": "in" - } - }, - { - "source": { - "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" - } - }, - { - "source": { - "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" - } - } - ] - } - } - }, - "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb": { - "package": { - "name": "Rising-edge-detector", - "version": "0.3", - "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 840, - "y": 400 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 176, - "y": -16 - }, - "size": { - "width": 568, - "height": 80 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 160, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 168, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 328, - "y": 456 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", - "readonly": true - }, - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 344, - "height": 96 - } - }, - { - "id": "c3990bfd-57a6-4602-ab46-800486326dd6", - "type": "basic.info", - "data": { - "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", - "readonly": true - }, - "position": { - "x": 528, - "y": 504 - }, - "size": { - "width": 416, - "height": 88 - } - }, - { - "id": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "70cfa680-3def-482c-b194-054c1f522357", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 552, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 696, - "y": 400 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "0b641dd31ecc9ec9194efd886de27cadd758656b": { - "package": { - "name": "Button", - "version": "0.4", - "description": "Configurable button (pull-up on/off. Not on/off)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", - "otid": 1615538095529 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 232 - } - }, - { - "id": "c2136078-81d0-4137-8583-c122b93cbdb0", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 232 - } - }, - { - "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 472, - "y": 368 - } - }, - { - "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": 368 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 1200, - "y": 440 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 152, - "y": 472 - } - }, - { - "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 336, - "y": 360 - } - }, - { - "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 760, - "y": 352 - } - }, - { - "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", - "position": { - "x": 336, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", - "type": "basic.info", - "data": { - "info": "Internal pull-up \n* 0: OFF\n* 1: ON", - "readonly": true - }, - "position": { - "x": 320, - "y": 560 - }, - "size": { - "width": 176, - "height": 72 - } - }, - { - "id": "2349cf1c-768c-483c-bdf3-852e36755326", - "type": "basic.info", - "data": { - "info": "Synchronization stage", - "readonly": true - }, - "position": { - "x": 552, - "y": 536 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", - "type": "basic.info", - "data": { - "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", - "readonly": true - }, - "position": { - "x": 752, - "y": 536 - }, - "size": { - "width": 192, - "height": 88 - } - }, - { - "id": "9207da36-adfa-43d6-a633-ccaa601b9293", - "type": "basic.info", - "data": { - "info": "Debouncing stage", - "readonly": true - }, - "position": { - "x": 1008, - "y": 528 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "2de6000f-b5a2-4589-8fb3-d04179982959", - "type": "f718a5d8b0501d5cbb96b48c918db07a415187be", - "position": { - "x": 1024, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "type": "dc93d663ad1f02da00a0889f408a1f59b739c755", - "position": { - "x": 600, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "type": "ad96dc706d08e8529f19944fe45991970dda6d11", - "position": { - "x": 760, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "port": "out" - }, - "target": { - "block": "c2136078-81d0-4137-8583-c122b93cbdb0", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "port": "outlabel" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" - } - }, - { - "source": { - "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "port": "outlabel" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" - } - }, - { - "source": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" - }, - "vertices": [] - }, - { - "source": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "port": "constant-out" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" - }, - "vertices": [] - }, - { - "source": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" - }, - "vertices": [] - }, - { - "source": { - "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "port": "constant-out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" - } - }, - { - "source": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" - } - } - ] - } - } - }, - "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { - "package": { - "name": "Pull-upx1", - "version": "1.0.2", - "description": "FPGA internal pull-up configuration on the input port", - "author": "Juan González", - "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 72, - "y": 256 - } - }, - { - "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 256 - } - }, - { - "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "type": "basic.constant", - "data": { - "name": "on", - "value": "1", - "local": false - }, - "position": { - "x": 408, - "y": -8 - } - }, - { - "id": "2b245a71-2d80-466b-955f-e3d61839fe25", - "type": "basic.code", - "data": { - "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", - "params": [ - { - "name": "ON" - } - ], - "ports": { - "in": [ - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 256, - "y": 104 - }, - "size": { - "width": 392, - "height": 368 - } - }, - { - "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", - "type": "basic.info", - "data": { - "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", - "readonly": true - }, - "position": { - "x": 144, - "y": -48 - }, - "size": { - "width": 264, - "height": 104 - } - }, - { - "id": "5a96e53f-d2ff-4058-bbed-779876848487", - "type": "basic.info", - "data": { - "info": "Only an FPGA pin can \nbe connected here!!!", - "readonly": true - }, - "position": { - "x": 56, - "y": 200 - }, - "size": { - "width": 192, - "height": 56 - } - }, - { - "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", - "type": "basic.info", - "data": { - "info": "The pull-up is connected \nby default", - "readonly": true - }, - "position": { - "x": 512, - "y": 0 - }, - "size": { - "width": 208, - "height": 56 - } - } - ], - "wires": [ - { - "source": { - "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "port": "out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "i" - } - }, - { - "source": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "o" - }, - "target": { - "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "port": "in" - } - }, - { - "source": { - "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "port": "constant-out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "ON" - } - } - ] - } - } - }, - "f718a5d8b0501d5cbb96b48c918db07a415187be": { - "package": { - "name": "Debouncer-x01", - "version": "1.2.0", - "description": "Remove the rebound on a mechanical switch", - "author": "Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -376, - "y": -656 - } - }, - { - "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -656 - } - }, - { - "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 952, - "y": -600 - } - }, - { - "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out" - }, - "position": { - "x": 816, - "y": -600 - } - }, - { - "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -376, - "y": -584 - } - }, - { - "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -584 - } - }, - { - "id": "5d12a177-7618-4517-9067-3012f7cb42ce", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 552, - "y": -440 - } - }, - { - "id": "2f1050dd-a720-4ede-890e-612ce370ba61", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 840, - "y": -352 - } - }, - { - "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -352 - } - }, - { - "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -288 - } - }, - { - "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -160 - } - }, - { - "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", - "position": { - "x": 704, - "y": -368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", - "type": "basic.info", - "data": { - "info": "Edge detector", - "readonly": true - }, - "position": { - "x": 248, - "y": -104 - }, - "size": { - "width": 128, - "height": 40 - } - }, - { - "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", - "type": "basic.info", - "data": { - "info": "Whenever there is a change in \nthe input, the counter is started", - "readonly": true - }, - "position": { - "x": 376, - "y": -120 - }, - "size": { - "width": 288, - "height": 56 - } - }, - { - "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", - "type": "basic.info", - "data": { - "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", - "readonly": true - }, - "position": { - "x": 720, - "y": -456 - }, - "size": { - "width": 304, - "height": 72 - } - }, - { - "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", - "type": "basic.info", - "data": { - "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", - "readonly": true - }, - "position": { - "x": 432, - "y": -640 - }, - "size": { - "width": 360, - "height": 120 - } - }, - { - "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", - "type": "basic.info", - "data": { - "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", - "readonly": true - }, - "position": { - "x": -8, - "y": -648 - }, - "size": { - "width": 312, - "height": 128 - } - }, - { - "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", - "type": "basic.info", - "data": { - "info": "Stable output", - "readonly": true - }, - "position": { - "x": 880, - "y": -280 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", - "type": "basic.info", - "data": { - "info": "Counter", - "readonly": true - }, - "position": { - "x": 536, - "y": -232 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "type": "413e4acc2a97fd7f77adb67728d1ae7b4d24f155", - "position": { - "x": 520, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "af203e4e-327b-44bb-9195-e059b3b635c8", - "type": "3324889d602b184a4c2012938d6c0c3749a5c7e9", - "position": { - "x": 248, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "port": "out" - }, - "target": { - "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "port": "inlabel" - } - }, - { - "source": { - "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "5d12a177-7618-4517-9067-3012f7cb42ce", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "port": "outlabel" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "port": "out" - }, - "target": { - "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "port": "inlabel" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - } - }, - { - "source": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "2f1050dd-a720-4ede-890e-612ce370ba61", - "port": "inlabel" - } - }, - { - "source": { - "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "port": "outlabel" - }, - "target": { - "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "port": "in" - } - }, - { - "source": { - "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" - }, - "vertices": [] - }, - { - "source": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" - } - } - ] - } - } - }, - "93adf61bc489d9a96a344d3f2600237e9e19c607": { - "package": { - "name": "Reg-1bit", - "version": "0.1", - "description": "1bit register (implemented in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": 104 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 216, - "y": 192 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 728, - "y": 192 - } - }, - { - "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 240, - "y": 320 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 472, - "y": 56 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 272, - "height": 104 - } - }, - { - "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, - "position": { - "x": 480, - "y": 48 - }, - "size": { - "width": 136, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - }, - { - "source": { - "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "load" - } - } - ] - } - } - }, - "413e4acc2a97fd7f77adb67728d1ae7b4d24f155": { - "package": { - "name": "syscounter-rst-16bits", - "version": "0.1", - "description": "16-bits Syscounter with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -120 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -120 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -32 - } - }, - { - "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "type": "basic.outputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "blockColor": "fuchsia", - "size": 16 - }, - "position": { - "x": 1040, - "y": -32 - } - }, - { - "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "type": "basic.output", - "data": { - "name": "q", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 1216, - "y": -32 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 1208, - "y": 56 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 1048, - "y": 56 - } - }, - { - "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 216, - "y": 56 - } - }, - { - "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "type": "basic.inputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "pins": [ - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 704, - "y": 56 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": 144 - } - }, - { - "id": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "type": "bc711b66856fc03718ca2669a0c67f34806c8a9d", - "position": { - "x": 544, - "y": 40 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", - "position": { - "x": 720, - "y": 160 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "size": 16 - }, - "target": { - "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "port": "inlabel" - }, - "size": 16 - }, - { - "source": { - "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "port": "outlabel" - }, - "target": { - "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "port": "in", - "size": 16 - }, - "size": 16 - }, - { - "source": { - "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "port": "out" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876" - }, - "target": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" - }, - "vertices": [], - "size": 16 - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170" - }, - "vertices": [ - { - "x": 840, - "y": 264 - } - ], - "size": 16 - } - ] - } - } - }, - "bc711b66856fc03718ca2669a0c67f34806c8a9d": { - "package": { - "name": "DFF-rst-x16", - "version": "0.1", - "description": "DFF-rst-x16: 16 D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "05abbe4c-682c-4e96-9360-fa14c9626570", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -448 - } - }, - { - "id": "81f40927-8dde-4218-9855-3b92f1892e56", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -376 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -632, - "y": -264 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -264 - } - }, - { - "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -216 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -624, - "y": -192 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -192 - } - }, - { - "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -144 - } - }, - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -8 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 72 - } - }, - { - "id": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 568, - "y": 104 - } - }, - { - "id": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -576, - "y": 136 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 248 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 312 - } - }, - { - "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": 352 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "03291097-00e3-48db-9044-3c73ed88eeb8", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 40, - "y": 104 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "type": "852bc8c84d29887beb3432bd25e5e7b6419b7f06", - "position": { - "x": -400, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "type": "401a2859b9822a66cc19b8c5277d4c310a836edd", - "position": { - "x": 384, - "y": 72 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": -152 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 64, - "y": -360 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 0, - "y": 112 - } - ] - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": -8, - "y": 376 - } - ] - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "05abbe4c-682c-4e96-9360-fa14c9626570", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "81f40927-8dde-4218-9855-3b92f1892e56", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 8, - "y": -328 - } - ] - }, - { - "source": { - "block": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "port": "out" - }, - "target": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "df399ca5-160e-470b-90e2-3c3b488cda5e" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -272, - "y": 368 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "b69090a8-121e-4bcb-8e4b-55faee72dc70" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -160, - "y": -16 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "8bbb6d1c-9f19-4d90-937c-492fec692420" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -184, - "y": -184 - } - ], - "size": 4 - }, - { - "source": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "7f009181-43fb-4806-a540-c2049656ce40" - }, - "size": 4 - }, - { - "source": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "d250cf47-8011-489b-8ddf-cc19f9e3f937" - }, - "size": 4 - }, - { - "source": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "b875d929-cdf0-4d61-bf25-af544dcb5ff8" - }, - "vertices": [ - { - "x": 208, - "y": 24 - } - ], - "size": 4 - }, - { - "source": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df" - }, - "vertices": [ - { - "x": 280, - "y": -128 - } - ], - "size": 4 - } - ] - } - } - }, - "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { - "package": { - "name": "DFF-rst-x04", - "version": "0.1", - "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -336 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -168, - "y": -280 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -496, - "y": -256 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -256 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -200 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -488, - "y": -184 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -184 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": -8 - } - }, - { - "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1032, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -336, - "y": 224 - } - }, - { - "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 520, - "y": 264 - } - }, - { - "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": 192 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 848, - "y": 152 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 672, - "y": 264 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 520, - "y": 64 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ef954a48-69f2-4704-9719-698826029980", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 272, - "y": -128 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": -16, - "y": -296 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 128 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [ - { - "x": -48, - "y": 312 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 768, - "y": 72 - } - ] - }, - { - "source": { - "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "port": "out" - }, - "target": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { - "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", - "data": { - "name": "3" - }, - "position": { - "x": 576, - "y": 80 - } - }, - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", - "data": { - "name": "2" - }, - "position": { - "x": 600, - "y": 144 - } - }, - { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 184 - } - }, - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 592, - "y": 240 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 568, - "y": 296 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" - } - }, - { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 200, - "y": -264 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 352, - "y": -264 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 584, - "y": -128 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 856, - "y": -56 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 200, - "y": -56 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 200, - "y": 80 - } - }, - { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 712, - "y": -160 - } - }, - { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", - "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true - }, - "position": { - "x": 184, - "y": -120 - }, - "size": { - "width": 272, - "height": 48 - } - }, - { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 360, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 536, - "y": -40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 216, - "y": 56 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": -304 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 720, - "y": -200 - }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 712, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "852bc8c84d29887beb3432bd25e5e7b6419b7f06": { - "package": { - "name": "Bus16-Split-quarter", - "version": "0.1", - "description": "Bus16-Split-quarter: Split the 16-bits bus into four buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "type": "basic.output", - "data": { - "name": "3", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 64 - } - }, - { - "id": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "type": "basic.output", - "data": { - "name": "2", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 640, - "y": 208 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 224 - } - }, - { - "id": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 312 - } - }, - { - "id": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 552, - "y": 400 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[15:12];\nassign o2 = i[11:8];\nassign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "401a2859b9822a66cc19b8c5277d4c310a836edd": { - "package": { - "name": "Bus16-Join-quarter", - "version": "0.1", - "description": "Bus16-Join-quarter: Join the four same buses into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "type": "basic.input", - "data": { - "name": "3", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 104 - } - }, - { - "id": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "type": "basic.input", - "data": { - "name": "2", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 184 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 720, - "y": 224 - } - }, - { - "id": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 248 - } - }, - { - "id": "7f009181-43fb-4806-a540-c2049656ce40", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 312 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "7f009181-43fb-4806-a540-c2049656ce40", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - }, - { - "source": { - "block": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 224, - "y": 232 - } - ], - "size": 4 - }, - { - "source": { - "block": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 240, - "y": 168 - } - ], - "size": 4 - } - ] - } - } - }, - "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { - "package": { - "name": "Inc1-16bits", - "version": "0.1", - "description": "Inc1-16bit: Increment a 16-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "12fb4602-d67f-4129-a777-f04945adf29d", - "type": "26569688c377bf52132e5f1de5a15da7143d9388", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - }, - { - "source": { - "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "port": "out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" - }, - "size": 16 - }, - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" - }, - "target": { - "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "26569688c377bf52132e5f1de5a15da7143d9388": { - "package": { - "name": "AdderK-16bits", - "version": "0.1", - "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -96 - } - }, - { - "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 232, - "y": -56 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 232, - "y": -272 - } - }, - { - "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "type": "651fa32fcf52b534a766fdb2ba638a010338f268", - "position": { - "x": 232, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "d54af040-d87a-431d-a15f-d5deb4795af5" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" - }, - "size": 16 - }, - { - "source": { - "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "port": "out" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" - }, - "size": 16 - }, - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" - }, - "target": { - "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "651fa32fcf52b534a766fdb2ba638a010338f268": { - "package": { - "name": "16-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 16-bits generic constant", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "d54af040-d87a-431d-a15f-d5deb4795af5", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "d54af040-d87a-431d-a15f-d5deb4795af5", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "bc66d79524a86b172c0ff190e607bca7c0694b8b": { - "package": { - "name": "Adder-16bits", - "version": "0.1", - "description": "Adder-16bits: Adder of two operands of 16 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -664 - } - }, - { - "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -608 - } - }, - { - "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -592 - } - }, - { - "id": "4743defe-36c0-40a2-aaf0-188272583034", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -472 - } - }, - { - "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -424 - } - }, - { - "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -408 - } - }, - { - "id": "7114cf32-af2c-438f-b816-1043a8bd819d", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -424 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -608 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "type": "c3c498191b14e9288a85fa2871b3966665f75475", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "7114cf32-af2c-438f-b816-1043a8bd819d", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "63477487-9493-4058-a7e1-9bab443ec466", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4743defe-36c0-40a2-aaf0-188272583034", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "3867504b-f331-4e0e-b923-acc86cb4255c", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "port": "out" - }, - "target": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "port": "out" - }, - "target": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" - }, - "size": 8 - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" - }, - "size": 8 - } - ] - } - } - }, - "306ca367fbbc5181b3c709d73f447e0710871a1d": { - "package": { - "name": "Bus16-Split-half", - "version": "0.1", - "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "type": "basic.output", - "data": { - "name": "1", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 176 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 208 - } - }, - { - "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "type": "basic.output", - "data": { - "name": "0", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { - "package": { - "name": "Adder-8bits", - "version": "0.1", - "description": "Adder-8bits: Adder of two operands of 8 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -688 - } - }, - { - "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -616 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -440 - } - }, - { - "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "63477487-9493-4058-a7e1-9bab443ec466", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -320, - "y": -384 - } - }, - { - "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -368 - } - }, - { - "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -168, - "y": -384 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -152, - "y": -632 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "63477487-9493-4058-a7e1-9bab443ec466", - "port": "out" - }, - "target": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "port": "out" - }, - "target": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 104 - } - }, - { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 208 - } - }, - { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 232 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 8 - } - ] - } - } - }, - "25966b9480fc28011aea0e17452c30d5ff9d76e8": { - "package": { - "name": "Adder-4bits", - "version": "0.1", - "description": "Adder-4bits: Adder of two operands of 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 168 - } - }, - { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "type": "basic.output", - "data": { - "name": "", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 640, - "y": 200 - } - }, - { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 224 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { - "package": { - "name": "AdderC-4bits", - "version": "0.1", - "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -296, - "y": -168 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - } - ] - } - } - }, - "c3c498191b14e9288a85fa2871b3966665f75475": { - "package": { - "name": "AdderC-8bits", - "version": "0.1", - "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -648 - } - }, - { - "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -408, - "y": -584 - } - }, - { - "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "deeppink", - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 832, - "y": -480 - } - }, - { - "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 248, - "y": -456 - } - }, - { - "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -448 - } - }, - { - "id": "3867504b-f331-4e0e-b923-acc86cb4255c", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -400, - "y": -392 - } - }, - { - "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -376 - } - }, - { - "id": "383985cb-fd11-48ff-972a-cee8b631bd65", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 248, - "y": -376 - } - }, - { - "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 840, - "y": -336 - } - }, - { - "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 120, - "y": -304 - } - }, - { - "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -392, - "y": -168 - } - }, - { - "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -248, - "y": -392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -240, - "y": -584 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 688, - "y": -336 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "840ba8a1-693f-4531-a947-adcaeac4e854", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 272, - "y": -256 - } - ], - "size": 4 - }, - { - "source": { - "block": "383985cb-fd11-48ff-972a-cee8b631bd65", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 384, - "y": -400 - } - ], - "size": 4 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "port": "out" - }, - "target": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "3867504b-f331-4e0e-b923-acc86cb4255c", - "port": "out" - }, - "target": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - }, - "vertices": [ - { - "x": 624, - "y": -392 - } - ] - } - ] - } - } - }, - "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { - "package": { - "name": "Bus16-Join-half", - "version": "0.1", - "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "type": "basic.input", - "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 168 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 632, - "y": 200 - } - }, - { - "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "type": "basic.input", - "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 264 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 8 - }, - { - "source": { - "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 8 - } - ] - } - } - }, - "3324889d602b184a4c2012938d6c0c3749a5c7e9": { - "package": { - "name": "Edges-detector", - "version": "0.2", - "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 88, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 88, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 616, - "y": 352 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 104, - "y": -40 - }, - "size": { - "width": 648, - "height": 96 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 96, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 104, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 160, - "y": 352 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", - "readonly": true - }, - "position": { - "x": 504, - "y": 264 - }, - "size": { - "width": 400, - "height": 72 - } - }, - { - "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 480, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", - "type": "basic.info", - "data": { - "info": "In any other case the output is 0", - "readonly": true - }, - "position": { - "x": 520, - "y": 432 - }, - "size": { - "width": 296, - "height": 40 - } - }, - { - "id": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - }, - { - "source": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [ - { - "x": 264, - "y": 368 - } - ] - } - ] - } - } - }, - "dc93d663ad1f02da00a0889f408a1f59b739c755": { - "package": { - "name": "Sync-x01", - "version": "0.2", - "description": "Sync 1-bit input with the system clock domain", - "author": "Juan Gonzalez-González (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -256, - "y": -56 - } - }, - { - "id": "e226f910-14af-473d-956b-03559f466726", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -104, - "y": -56 - } - }, - { - "id": "7f538425-03ff-409e-81c2-d2714dfb036f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 128, - "y": 32 - } - }, - { - "id": "868cf45b-3801-40c1-9a04-498087cf183e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": -56, - "y": 72 - } - }, - { - "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 424, - "y": 128 - } - }, - { - "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -64, - "y": 160 - } - }, - { - "id": "530188ca-389b-48f1-8fc5-793e57545112", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 104, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 280, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "port": "out" - }, - "target": { - "block": "e226f910-14af-473d-956b-03559f466726", - "port": "inlabel" - } - }, - { - "source": { - "block": "868cf45b-3801-40c1-9a04-498087cf183e", - "port": "outlabel" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f538425-03ff-409e-81c2-d2714dfb036f", - "port": "outlabel" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "port": "out" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "port": "in" - } - } - ] - } - } - }, - "ad96dc706d08e8529f19944fe45991970dda6d11": { - "package": { - "name": "not-wire-x01", - "version": "0.3", - "description": "Select positive or negative logic for the input (0=positive, 1=negative)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1607779171609 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 304 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 376, - "y": 320 - } - }, - { - "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 160 - } - }, - { - "id": "019e81db-5707-409c-b159-b4cb29813cc4", - "type": "basic.info", - "data": { - "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", - "readonly": true - }, - "position": { - "x": 632, - "y": 392 - }, - "size": { - "width": 336, - "height": 96 - } - }, - { - "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", - "type": "basic.info", - "data": { - "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", - "readonly": true - }, - "position": { - "x": 728, - "y": 8 - }, - "size": { - "width": 296, - "height": 144 - } - }, - { - "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 672, - "y": 304 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 520, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "port": "constant-out" - }, - "target": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "f90575c5acd46e4a6cba45b9c334f4a32274e5d6": { - "package": { - "name": "TFF-rst-verilog", - "version": "0.3", - "description": "TFF-rst-verilog: TFF with toggle input and reset: It toogles on every system cycle if the input is active. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 96, - "y": -88 - } - }, - { - "id": "21326921-3052-4ec8-8344-fc8210c08678", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 88, - "y": 32 - } - }, - { - "id": "c76a9bde-4366-4461-a459-41494a4184ca", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 688, - "y": 32 - } - }, - { - "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "type": "basic.input", - "data": { - "name": "t", - "clock": false - }, - "position": { - "x": 88, - "y": 152 - } - }, - { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 392, - "y": -224 - } - }, - { - "id": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "t" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- The priority is for\n //-- the reset input\n if (rst == 1'b1)\n qi <= INI;\n \n //-- If reset is not active,\n //-- check the toogle input\n else if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 264, - "y": -112 - }, - "size": { - "width": 344, - "height": 352 - } - } - ], - "wires": [ - { - "source": { - "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "port": "out" - }, - "target": { - "block": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "port": "t" - } - }, - { - "source": { - "block": "21326921-3052-4ec8-8344-fc8210c08678", - "port": "out" - }, - "target": { - "block": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "port": "rst" - } - }, - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "port": "clk" - } - }, - { - "source": { - "block": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "port": "q" - }, - "target": { - "block": "c76a9bde-4366-4461-a459-41494a4184ca", - "port": "in" - } - }, - { - "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" - }, - "target": { - "block": "05eb4900-5dc9-485f-b4ed-1a1058cdb982", - "port": "INI" - } - } - ] - } - } - } - } -} \ No newline at end of file diff --git a/examples/TESTs/TFFs/TFF/Alhambra-II/01-manual-testing.ice b/examples/TESTs/TFFs/TFF/Alhambra-II/01-manual-testing.ice deleted file mode 100644 index 516bf51..0000000 --- a/examples/TESTs/TFFs/TFF/Alhambra-II/01-manual-testing.ice +++ /dev/null @@ -1,10396 +0,0 @@ -{ - "version": "1.2", - "package": { - "name": "", - "version": "", - "description": "", - "author": "", - "image": "" - }, - "design": { - "board": "alhambra-ii", - "graph": { - "blocks": [ - { - "id": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "type": "basic.output", - "data": { - "name": "", - "pins": [ - { - "index": "0", - "name": "LED7", - "value": "37" - } - ], - "virtual": false - }, - "position": { - "x": 1168, - "y": 48 - } - }, - { - "id": "113e7b43-c7a3-4664-996a-5922c355a862", - "type": "basic.input", - "data": { - "name": "", - "virtual": false, - "pins": [ - { - "index": "0", - "name": "SW1", - "value": "34" - } - ], - "clock": false - }, - "position": { - "x": 664, - "y": 64 - } - }, - { - "id": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1016, - "y": -56 - } - }, - { - "id": "738af5f6-9513-4dbd-a66e-c67d6727ddb4", - "type": "basic.info", - "data": { - "info": "# TFF: Manual testing", - "readonly": true - }, - "position": { - "x": 720, - "y": -192 - }, - "size": { - "width": 440, - "height": 48 - } - }, - { - "id": "0110aee4-9d05-4196-8182-6361d6f68278", - "type": "f343ddae2d2d482122701507323b17ee02880898", - "position": { - "x": 808, - "y": 48 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "896aaf78-c3e6-4ac5-95b9-d820f90f59ae", - "type": "6ac7ddf463b070e3a2e40ffee0481f3a5a643dd4", - "position": { - "x": 1016, - "y": 48 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "896aaf78-c3e6-4ac5-95b9-d820f90f59ae", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "8dacf7cb-befc-41b1-b732-3f9ba40fed0a", - "port": "in" - } - }, - { - "source": { - "block": "9dbc8dd6-1d9a-4e4c-97bf-2e5b6f65a168", - "port": "constant-out" - }, - "target": { - "block": "896aaf78-c3e6-4ac5-95b9-d820f90f59ae", - "port": "915bebf3-8f1a-4547-8056-fe3e75c77022" - } - }, - { - "source": { - "block": "113e7b43-c7a3-4664-996a-5922c355a862", - "port": "out" - }, - "target": { - "block": "0110aee4-9d05-4196-8182-6361d6f68278", - "port": "21bc142d-a93a-430d-b37a-326435def9f9" - } - }, - { - "source": { - "block": "0110aee4-9d05-4196-8182-6361d6f68278", - "port": "997db8c4-b772-49d8-83e7-4427aff720e6" - }, - "target": { - "block": "896aaf78-c3e6-4ac5-95b9-d820f90f59ae", - "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" - } - } - ] - } - }, - "dependencies": { - "f343ddae2d2d482122701507323b17ee02880898": { - "package": { - "name": "Button-tic", - "version": "0.6", - "description": "Button-tic: Configurable button that emits a tic when it is pressed", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": -32 - } - }, - { - "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 280, - "y": -32 - } - }, - { - "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 728, - "y": -16 - } - }, - { - "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 312, - "y": 104 - } - }, - { - "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 744, - "y": 136 - } - }, - { - "id": "997db8c4-b772-49d8-83e7-4427aff720e6", - "type": "basic.output", - "data": { - "name": "Press" - }, - "position": { - "x": 1024, - "y": 168 - } - }, - { - "id": "21bc142d-a93a-430d-b37a-326435def9f9", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 304, - "y": 200 - } - }, - { - "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 448, - "y": 56 - } - }, - { - "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 560, - "y": 56 - } - }, - { - "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 136, - "y": -56 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", - "type": "basic.info", - "data": { - "info": "Button state signal", - "readonly": true - }, - "position": { - "x": 720, - "y": -32 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", - "type": "basic.info", - "data": { - "info": "Tic: button pressed", - "readonly": true - }, - "position": { - "x": 1024, - "y": 152 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", - "type": "basic.info", - "data": { - "info": "Rising edge detector", - "readonly": true - }, - "position": { - "x": 872, - "y": 248 - }, - "size": { - "width": 184, - "height": 40 - } - }, - { - "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", - "type": "basic.info", - "data": { - "info": "Pull up on/off", - "readonly": true - }, - "position": { - "x": 448, - "y": 16 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", - "type": "basic.info", - "data": { - "info": "Not on/off", - "readonly": true - }, - "position": { - "x": 576, - "y": 16 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "type": "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb", - "position": { - "x": 888, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "type": "0b641dd31ecc9ec9194efd886de27cadd758656b", - "position": { - "x": 496, - "y": 184 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", - "port": "out" - }, - "target": { - "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", - "port": "outlabel" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" - }, - "vertices": [ - { - "x": 424, - "y": 160 - } - ] - }, - { - "source": { - "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", - "port": "outlabel" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "21bc142d-a93a-430d-b37a-326435def9f9", - "port": "out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "port": "in" - } - }, - { - "source": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - }, - "vertices": [] - }, - { - "source": { - "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "997db8c4-b772-49d8-83e7-4427aff720e6", - "port": "in" - } - }, - { - "source": { - "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" - } - }, - { - "source": { - "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", - "port": "constant-out" - }, - "target": { - "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", - "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" - } - } - ] - } - } - }, - "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb": { - "package": { - "name": "Rising-edge-detector", - "version": "0.3", - "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 840, - "y": 400 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 176, - "y": -16 - }, - "size": { - "width": 568, - "height": 80 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 160, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 168, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 328, - "y": 456 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", - "readonly": true - }, - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 344, - "height": 96 - } - }, - { - "id": "c3990bfd-57a6-4602-ab46-800486326dd6", - "type": "basic.info", - "data": { - "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", - "readonly": true - }, - "position": { - "x": 528, - "y": 504 - }, - "size": { - "width": 416, - "height": 88 - } - }, - { - "id": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "70cfa680-3def-482c-b194-054c1f522357", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 552, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 696, - "y": 400 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "70cfa680-3def-482c-b194-054c1f522357", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 208, - "y": 160 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 816, - "y": 224 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 208, - "y": 304 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 - } - }, - { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", - "data": { - "info": "Parameter: Initial value", - "readonly": true - }, - "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 - } - }, - { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 - } - }, - { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", - "data": { - "info": "Input data", - "readonly": true - }, - "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 - } - }, - { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", - "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { - "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 72 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 - }, - "size": { - "width": 80, - "height": 40 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { - "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 56 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 600, - "y": 96 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 96, - "y": 128 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 304, - "height": 152 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "0b641dd31ecc9ec9194efd886de27cadd758656b": { - "package": { - "name": "Button", - "version": "0.4", - "description": "Configurable button (pull-up on/off. Not on/off)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", - "otid": 1615538095529 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 128, - "y": 232 - } - }, - { - "id": "c2136078-81d0-4137-8583-c122b93cbdb0", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 232 - } - }, - { - "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 472, - "y": 368 - } - }, - { - "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 880, - "y": 368 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 1200, - "y": 440 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 152, - "y": 472 - } - }, - { - "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "type": "basic.constant", - "data": { - "name": "pup", - "value": "0", - "local": false - }, - "position": { - "x": 336, - "y": 360 - } - }, - { - "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 760, - "y": 352 - } - }, - { - "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", - "position": { - "x": 336, - "y": 472 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", - "type": "basic.info", - "data": { - "info": "Internal pull-up \n* 0: OFF\n* 1: ON", - "readonly": true - }, - "position": { - "x": 320, - "y": 560 - }, - "size": { - "width": 176, - "height": 72 - } - }, - { - "id": "2349cf1c-768c-483c-bdf3-852e36755326", - "type": "basic.info", - "data": { - "info": "Synchronization stage", - "readonly": true - }, - "position": { - "x": 552, - "y": 536 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", - "type": "basic.info", - "data": { - "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", - "readonly": true - }, - "position": { - "x": 752, - "y": 536 - }, - "size": { - "width": 192, - "height": 88 - } - }, - { - "id": "9207da36-adfa-43d6-a633-ccaa601b9293", - "type": "basic.info", - "data": { - "info": "Debouncing stage", - "readonly": true - }, - "position": { - "x": 1008, - "y": 528 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "2de6000f-b5a2-4589-8fb3-d04179982959", - "type": "f718a5d8b0501d5cbb96b48c918db07a415187be", - "position": { - "x": 1024, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "type": "dc93d663ad1f02da00a0889f408a1f59b739c755", - "position": { - "x": 600, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "type": "ad96dc706d08e8529f19944fe45991970dda6d11", - "position": { - "x": 760, - "y": 456 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", - "port": "out" - }, - "target": { - "block": "c2136078-81d0-4137-8583-c122b93cbdb0", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", - "port": "outlabel" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" - } - }, - { - "source": { - "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", - "port": "outlabel" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" - } - }, - { - "source": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" - }, - "target": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" - }, - "vertices": [] - }, - { - "source": { - "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", - "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", - "port": "constant-out" - }, - "target": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" - }, - "vertices": [] - }, - { - "source": { - "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" - }, - "vertices": [] - }, - { - "source": { - "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", - "port": "constant-out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" - } - }, - { - "source": { - "block": "2de6000f-b5a2-4589-8fb3-d04179982959", - "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", - "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" - } - } - ] - } - } - }, - "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { - "package": { - "name": "Pull-upx1", - "version": "1.0.2", - "description": "FPGA internal pull-up configuration on the input port", - "author": "Juan González", - "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "type": "basic.input", - "data": { - "name": "pin", - "clock": false - }, - "position": { - "x": 72, - "y": 256 - } - }, - { - "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 704, - "y": 256 - } - }, - { - "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "type": "basic.constant", - "data": { - "name": "on", - "value": "1", - "local": false - }, - "position": { - "x": 408, - "y": -8 - } - }, - { - "id": "2b245a71-2d80-466b-955f-e3d61839fe25", - "type": "basic.code", - "data": { - "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", - "params": [ - { - "name": "ON" - } - ], - "ports": { - "in": [ - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 256, - "y": 104 - }, - "size": { - "width": 392, - "height": 368 - } - }, - { - "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", - "type": "basic.info", - "data": { - "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", - "readonly": true - }, - "position": { - "x": 144, - "y": -48 - }, - "size": { - "width": 264, - "height": 104 - } - }, - { - "id": "5a96e53f-d2ff-4058-bbed-779876848487", - "type": "basic.info", - "data": { - "info": "Only an FPGA pin can \nbe connected here!!!", - "readonly": true - }, - "position": { - "x": 56, - "y": 200 - }, - "size": { - "width": 192, - "height": 56 - } - }, - { - "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", - "type": "basic.info", - "data": { - "info": "The pull-up is connected \nby default", - "readonly": true - }, - "position": { - "x": 512, - "y": 0 - }, - "size": { - "width": 208, - "height": 56 - } - } - ], - "wires": [ - { - "source": { - "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", - "port": "out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "i" - } - }, - { - "source": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "o" - }, - "target": { - "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", - "port": "in" - } - }, - { - "source": { - "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", - "port": "constant-out" - }, - "target": { - "block": "2b245a71-2d80-466b-955f-e3d61839fe25", - "port": "ON" - } - } - ] - } - } - }, - "f718a5d8b0501d5cbb96b48c918db07a415187be": { - "package": { - "name": "Debouncer-x01", - "version": "1.2.0", - "description": "Remove the rebound on a mechanical switch", - "author": "Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -376, - "y": -656 - } - }, - { - "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -656 - } - }, - { - "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 952, - "y": -600 - } - }, - { - "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out" - }, - "position": { - "x": 816, - "y": -600 - } - }, - { - "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -376, - "y": -584 - } - }, - { - "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -224, - "y": -584 - } - }, - { - "id": "5d12a177-7618-4517-9067-3012f7cb42ce", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 552, - "y": -440 - } - }, - { - "id": "2f1050dd-a720-4ede-890e-612ce370ba61", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "out", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 840, - "y": -352 - } - }, - { - "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 536, - "y": -352 - } - }, - { - "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -288 - } - }, - { - "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "in", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 120, - "y": -160 - } - }, - { - "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", - "position": { - "x": 704, - "y": -368 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", - "type": "basic.info", - "data": { - "info": "Edge detector", - "readonly": true - }, - "position": { - "x": 248, - "y": -104 - }, - "size": { - "width": 128, - "height": 40 - } - }, - { - "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", - "type": "basic.info", - "data": { - "info": "Whenever there is a change in \nthe input, the counter is started", - "readonly": true - }, - "position": { - "x": 376, - "y": -120 - }, - "size": { - "width": 288, - "height": 56 - } - }, - { - "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", - "type": "basic.info", - "data": { - "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", - "readonly": true - }, - "position": { - "x": 720, - "y": -456 - }, - "size": { - "width": 304, - "height": 72 - } - }, - { - "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", - "type": "basic.info", - "data": { - "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", - "readonly": true - }, - "position": { - "x": 432, - "y": -640 - }, - "size": { - "width": 360, - "height": 120 - } - }, - { - "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", - "type": "basic.info", - "data": { - "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", - "readonly": true - }, - "position": { - "x": -8, - "y": -648 - }, - "size": { - "width": 312, - "height": 128 - } - }, - { - "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", - "type": "basic.info", - "data": { - "info": "Stable output", - "readonly": true - }, - "position": { - "x": 880, - "y": -280 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", - "type": "basic.info", - "data": { - "info": "Counter", - "readonly": true - }, - "position": { - "x": 536, - "y": -232 - }, - "size": { - "width": 96, - "height": 40 - } - }, - { - "id": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "type": "413e4acc2a97fd7f77adb67728d1ae7b4d24f155", - "position": { - "x": 520, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "af203e4e-327b-44bb-9195-e059b3b635c8", - "type": "3324889d602b184a4c2012938d6c0c3749a5c7e9", - "position": { - "x": 248, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", - "port": "out" - }, - "target": { - "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", - "port": "inlabel" - } - }, - { - "source": { - "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - }, - { - "source": { - "block": "5d12a177-7618-4517-9067-3012f7cb42ce", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", - "port": "outlabel" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", - "port": "out" - }, - "target": { - "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", - "port": "inlabel" - } - }, - { - "source": { - "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", - "port": "outlabel" - }, - "target": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" - } - }, - { - "source": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "2f1050dd-a720-4ede-890e-612ce370ba61", - "port": "inlabel" - } - }, - { - "source": { - "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", - "port": "outlabel" - }, - "target": { - "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", - "port": "in" - } - }, - { - "source": { - "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", - "port": "outlabel" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" - }, - "target": { - "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", - "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" - }, - "vertices": [] - }, - { - "source": { - "block": "af203e4e-327b-44bb-9195-e059b3b635c8", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" - }, - "target": { - "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", - "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" - } - } - ] - } - } - }, - "93adf61bc489d9a96a344d3f2600237e9e19c607": { - "package": { - "name": "Reg-1bit", - "version": "0.1", - "description": "1bit register (implemented in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": 104 - } - }, - { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 216, - "y": 192 - } - }, - { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 728, - "y": 192 - } - }, - { - "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 240, - "y": 320 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 472, - "y": 56 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 272, - "height": 104 - } - }, - { - "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, - "position": { - "x": 480, - "y": 48 - }, - "size": { - "width": 136, - "height": 32 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - }, - { - "source": { - "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "load" - } - } - ] - } - } - }, - "413e4acc2a97fd7f77adb67728d1ae7b4d24f155": { - "package": { - "name": "syscounter-rst-16bits", - "version": "0.1", - "description": "16-bits Syscounter with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618592156430 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 216, - "y": -120 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 368, - "y": -120 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": -32 - } - }, - { - "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "type": "basic.outputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "blockColor": "fuchsia", - "size": 16 - }, - "position": { - "x": 1040, - "y": -32 - } - }, - { - "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "type": "basic.output", - "data": { - "name": "q", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 1216, - "y": -32 - } - }, - { - "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "type": "basic.output", - "data": { - "name": "max" - }, - "position": { - "x": 1208, - "y": 56 - } - }, - { - "id": "06c393de-8af4-4b53-852c-b0e0160b5314", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c" - }, - "position": { - "x": 1048, - "y": 56 - } - }, - { - "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 216, - "y": 56 - } - }, - { - "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "type": "basic.inputLabel", - "data": { - "name": "q", - "range": "[15:0]", - "pins": [ - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 704, - "y": 56 - } - }, - { - "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "c", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 856, - "y": 144 - } - }, - { - "id": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "type": "bc711b66856fc03718ca2669a0c67f34806c8a9d", - "position": { - "x": 544, - "y": 40 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", - "position": { - "x": 720, - "y": 160 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "06c393de-8af4-4b53-852c-b0e0160b5314", - "port": "outlabel" - }, - "target": { - "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", - "port": "in" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "size": 16 - }, - "target": { - "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", - "port": "inlabel" - }, - "size": 16 - }, - { - "source": { - "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", - "port": "outlabel" - }, - "target": { - "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", - "port": "in", - "size": 16 - }, - "size": 16 - }, - { - "source": { - "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", - "port": "out" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "710d9d0b-6550-4778-b5b4-c13ff8974876" - }, - "target": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" - }, - "vertices": [], - "size": 16 - }, - { - "source": { - "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", - "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" - }, - "target": { - "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", - "port": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170" - }, - "vertices": [ - { - "x": 840, - "y": 264 - } - ], - "size": 16 - } - ] - } - } - }, - "bc711b66856fc03718ca2669a0c67f34806c8a9d": { - "package": { - "name": "DFF-rst-x16", - "version": "0.1", - "description": "DFF-rst-x16: 16 D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "05abbe4c-682c-4e96-9360-fa14c9626570", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -448 - } - }, - { - "id": "81f40927-8dde-4218-9855-3b92f1892e56", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -136, - "y": -376 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -632, - "y": -264 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -264 - } - }, - { - "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -216 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -624, - "y": -192 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -480, - "y": -192 - } - }, - { - "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -144 - } - }, - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -112, - "y": -8 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 72 - } - }, - { - "id": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 568, - "y": 104 - } - }, - { - "id": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -576, - "y": 136 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 248 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -128, - "y": 312 - } - }, - { - "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": 352 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "03291097-00e3-48db-9044-3c73ed88eeb8", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 40, - "y": 104 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "type": "852bc8c84d29887beb3432bd25e5e7b6419b7f06", - "position": { - "x": -400, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "type": "401a2859b9822a66cc19b8c5277d4c310a836edd", - "position": { - "x": 384, - "y": 72 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 48, - "y": -152 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", - "position": { - "x": 64, - "y": -360 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 0, - "y": 112 - } - ] - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": -8, - "y": 376 - } - ] - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", - "port": "outlabel" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - } - }, - { - "source": { - "block": "05abbe4c-682c-4e96-9360-fa14c9626570", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } - }, - { - "source": { - "block": "81f40927-8dde-4218-9855-3b92f1892e56", - "port": "outlabel" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" - }, - "vertices": [ - { - "x": 8, - "y": -328 - } - ] - }, - { - "source": { - "block": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", - "port": "out" - }, - "target": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "710d9d0b-6550-4778-b5b4-c13ff8974876", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "df399ca5-160e-470b-90e2-3c3b488cda5e" - }, - "target": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -272, - "y": 368 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "b69090a8-121e-4bcb-8e4b-55faee72dc70" - }, - "target": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9" - }, - "target": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -160, - "y": -16 - } - ], - "size": 4 - }, - { - "source": { - "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", - "port": "8bbb6d1c-9f19-4d90-937c-492fec692420" - }, - "target": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" - }, - "vertices": [ - { - "x": -184, - "y": -184 - } - ], - "size": 4 - }, - { - "source": { - "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "7f009181-43fb-4806-a540-c2049656ce40" - }, - "size": 4 - }, - { - "source": { - "block": "03291097-00e3-48db-9044-3c73ed88eeb8", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "d250cf47-8011-489b-8ddf-cc19f9e3f937" - }, - "size": 4 - }, - { - "source": { - "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "b875d929-cdf0-4d61-bf25-af544dcb5ff8" - }, - "vertices": [ - { - "x": 208, - "y": 24 - } - ], - "size": 4 - }, - { - "source": { - "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", - "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" - }, - "target": { - "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", - "port": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df" - }, - "vertices": [ - { - "x": 280, - "y": -128 - } - ], - "size": 4 - } - ] - } - } - }, - "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { - "package": { - "name": "DFF-rst-x04", - "version": "0.1", - "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -160, - "y": -336 - } - }, - { - "id": "35ac40b6-de26-493f-b22e-d15895042a60", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -168, - "y": -280 - } - }, - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -496, - "y": -256 - } - }, - { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -256 - } - }, - { - "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -200 - } - }, - { - "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": -488, - "y": -184 - } - }, - { - "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -344, - "y": -184 - } - }, - { - "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 112, - "y": -112 - } - }, - { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": -8 - } - }, - { - "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1032, - "y": 184 - } - }, - { - "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 528, - "y": 208 - } - }, - { - "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -336, - "y": 224 - } - }, - { - "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 520, - "y": 264 - } - }, - { - "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": 192 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 848, - "y": 152 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 672, - "y": 264 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 520, - "y": 64 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ef954a48-69f2-4704-9719-698826029980", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 272, - "y": -128 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": -16, - "y": -296 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", - "port": "out" - }, - "target": { - "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", - "port": "inlabel" - } - }, - { - "source": { - "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", - "port": "outlabel" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", - "port": "outlabel" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", - "port": "outlabel" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } - }, - { - "source": { - "block": "35ac40b6-de26-493f-b22e-d15895042a60", - "port": "outlabel" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 712, - "y": 128 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - }, - "vertices": [ - { - "x": -48, - "y": 312 - } - ] - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "ef954a48-69f2-4704-9719-698826029980", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 768, - "y": 72 - } - ] - }, - { - "source": { - "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", - "port": "out" - }, - "target": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } - }, - { - "source": { - "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { - "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", - "data": { - "name": "3" - }, - "position": { - "x": 576, - "y": 80 - } - }, - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", - "data": { - "name": "2" - }, - "position": { - "x": 600, - "y": 144 - } - }, - { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 184 - } - }, - { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 592, - "y": 240 - } - }, - { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" - }, - "position": { - "x": 568, - "y": 296 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" - } - }, - { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "84f0a15761ee8b753f67079819a7614923939472": { - "package": { - "name": "Bus4-Join-all", - "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false - }, - "position": { - "x": 80, - "y": 136 - } - }, - { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false - }, - "position": { - "x": 80, - "y": 208 - } - }, - { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } - }, - { - "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 200, - "y": -264 - } - }, - { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 352, - "y": -264 - } - }, - { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 584, - "y": -128 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 856, - "y": -56 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 200, - "y": -56 - } - }, - { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, - "position": { - "x": 200, - "y": 80 - } - }, - { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 712, - "y": -160 - } - }, - { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", - "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true - }, - "position": { - "x": 184, - "y": -120 - }, - "size": { - "width": 272, - "height": 48 - } - }, - { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 360, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 536, - "y": -40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 216, - "y": 56 - }, - "size": { - "width": 104, - "height": 40 - } - }, - { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": -304 - }, - "size": { - "width": 144, - "height": 40 - } - }, - { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 720, - "y": -200 - }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 712, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" - }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - } - ] - } - } - }, - "852bc8c84d29887beb3432bd25e5e7b6419b7f06": { - "package": { - "name": "Bus16-Split-quarter", - "version": "0.1", - "description": "Bus16-Split-quarter: Split the 16-bits bus into four buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "type": "basic.output", - "data": { - "name": "3", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 64 - } - }, - { - "id": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "type": "basic.output", - "data": { - "name": "2", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 640, - "y": 208 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 224 - } - }, - { - "id": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 608, - "y": 312 - } - }, - { - "id": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 552, - "y": 400 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o3 = i[15:12];\nassign o2 = i[11:8];\nassign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "df399ca5-160e-470b-90e2-3c3b488cda5e", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "b69090a8-121e-4bcb-8e4b-55faee72dc70", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" - }, - "target": { - "block": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" - }, - "target": { - "block": "8bbb6d1c-9f19-4d90-937c-492fec692420", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "401a2859b9822a66cc19b8c5277d4c310a836edd": { - "package": { - "name": "Bus16-Join-quarter", - "version": "0.1", - "description": "Bus16-Join-quarter: Join the four same buses into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "type": "basic.input", - "data": { - "name": "3", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 104 - } - }, - { - "id": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "type": "basic.input", - "data": { - "name": "2", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 184 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 720, - "y": 224 - } - }, - { - "id": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 248 - } - }, - { - "id": "7f009181-43fb-4806-a540-c2049656ce40", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 104, - "y": 312 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i3", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i2", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 344, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "7f009181-43fb-4806-a540-c2049656ce40", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - }, - { - "source": { - "block": "d250cf47-8011-489b-8ddf-cc19f9e3f937", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 224, - "y": 232 - } - ], - "size": 4 - }, - { - "source": { - "block": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - }, - "vertices": [ - { - "x": 240, - "y": 168 - } - ], - "size": 4 - } - ] - } - } - }, - "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { - "package": { - "name": "Inc1-16bits", - "version": "0.1", - "description": "Inc1-16bit: Increment a 16-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -104 - } - }, - { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true - }, - "position": { - "x": 456, - "y": -256 - } - }, - { - "id": "12fb4602-d67f-4129-a777-f04945adf29d", - "type": "26569688c377bf52132e5f1de5a15da7143d9388", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" - } - }, - { - "source": { - "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", - "port": "out" - }, - "target": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" - }, - "size": 16 - }, - { - "source": { - "block": "12fb4602-d67f-4129-a777-f04945adf29d", - "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" - }, - "target": { - "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "26569688c377bf52132e5f1de5a15da7143d9388": { - "package": { - "name": "AdderK-16bits", - "version": "0.1", - "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 624, - "y": -168 - } - }, - { - "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 624, - "y": -96 - } - }, - { - "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 232, - "y": -56 - } - }, - { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 232, - "y": -272 - } - }, - { - "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "type": "651fa32fcf52b534a766fdb2ba638a010338f268", - "position": { - "x": 232, - "y": -168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" - }, - "target": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", - "port": "d54af040-d87a-431d-a15f-d5deb4795af5" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" - }, - "size": 16 - }, - { - "source": { - "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", - "port": "out" - }, - "target": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" - }, - "size": 16 - }, - { - "source": { - "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", - "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" - }, - "target": { - "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "651fa32fcf52b534a766fdb2ba638a010338f268": { - "package": { - "name": "16-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 16-bits generic constant", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "d54af040-d87a-431d-a15f-d5deb4795af5", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "d54af040-d87a-431d-a15f-d5deb4795af5", - "port": "in" - }, - "size": 16 - } - ] - } - } - }, - "bc66d79524a86b172c0ff190e607bca7c0694b8b": { - "package": { - "name": "Adder-16bits", - "version": "0.1", - "description": "Adder-16bits: Adder of two operands of 16 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -664 - } - }, - { - "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -608 - } - }, - { - "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -592 - } - }, - { - "id": "4743defe-36c0-40a2-aaf0-188272583034", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "type": "basic.output", - "data": { - "name": "s", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -472 - } - }, - { - "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[7:0]", - "blockColor": "deeppink", - "size": 8 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": -320, - "y": -424 - } - }, - { - "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -408 - } - }, - { - "id": "7114cf32-af2c-438f-b816-1043a8bd819d", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[7:0]", - "blockColor": "fuchsia", - "size": 8 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -424 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", - "position": { - "x": -168, - "y": -608 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "type": "c3c498191b14e9288a85fa2871b3966665f75475", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "size": 8 - }, - "target": { - "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "size": 8 - }, - "target": { - "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", - "port": "inlabel" - }, - "size": 8 - }, - { - "source": { - "block": "7114cf32-af2c-438f-b816-1043a8bd819d", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "63477487-9493-4058-a7e1-9bab443ec466", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", - "port": "outlabel" - }, - "target": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "4743defe-36c0-40a2-aaf0-188272583034", - "port": "outlabel" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "3867504b-f331-4e0e-b923-acc86cb4255c", - "size": 8 - }, - "size": 8 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", - "port": "out" - }, - "target": { - "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", - "port": "out" - }, - "target": { - "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", - "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" - }, - "size": 16 - }, - { - "source": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" - }, - "target": { - "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", - "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" - }, - "size": 8 - }, - { - "source": { - "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", - "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" - }, - "target": { - "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", - "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" - }, - "size": 8 - } - ] - } - } - }, - "306ca367fbbc5181b3c709d73f447e0710871a1d": { - "package": { - "name": "Bus16-Split-half", - "version": "0.1", - "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "type": "basic.output", - "data": { - "name": "1", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 176 - } - }, - { - "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "type": "basic.input", - "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 96, - "y": 208 - } - }, - { - "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "type": "basic.output", - "data": { - "name": "0", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 608, - "y": 272 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", - "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { - "package": { - "name": "Adder-8bits", - "version": "0.1", - "description": "Adder-8bits: Adder of two operands of 8 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -688 - } - }, - { - "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 352, - "y": -664 - } - }, - { - "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia", - "blockColor": "deeppink" - }, - "position": { - "x": 16, - "y": -616 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 960, - "y": -608 - } - }, - { - "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 352, - "y": -584 - } - }, - { - "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 960, - "y": -544 - } - }, - { - "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 184, - "y": -472 - } - }, - { - "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -440 - } - }, - { - "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 184, - "y": -408 - } - }, - { - "id": "63477487-9493-4058-a7e1-9bab443ec466", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -320, - "y": -384 - } - }, - { - "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -368 - } - }, - { - "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -168, - "y": -384 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -152, - "y": -632 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", - "position": { - "x": 352, - "y": -456 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 760, - "y": -544 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 520, - "y": -600 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", - "port": "outlabel" - }, - "target": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", - "port": "outlabel" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "63477487-9493-4058-a7e1-9bab443ec466", - "port": "out" - }, - "target": { - "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", - "port": "out" - }, - "target": { - "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", - "data": { - "name": "1", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 104 - } - }, - { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 208 - } - }, - { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 584, - "y": 232 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 272, - "y": 176 - }, - "size": { - "width": 240, - "height": 120 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 8 - } - ] - } - } - }, - "25966b9480fc28011aea0e17452c30d5ff9d76e8": { - "package": { - "name": "Adder-4bits", - "version": "0.1", - "description": "Adder-4bits: Adder of two operands of 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 616, - "y": -176 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -152 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 616, - "y": -96 - } - }, - { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 304, - "y": -64 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] - }, - { - "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false - }, - "position": { - "x": 136, - "y": -192 - } - }, - { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -192 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 1112, - "y": -176 - } - }, - { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 504, - "y": -144 - } - }, - { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false - }, - "position": { - "x": 136, - "y": -120 - } - }, - { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 272, - "y": -120 - } - }, - { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": -96 - } - }, - { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } - }, - { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": -40 - } - }, - { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 480, - "y": 24 - } - }, - { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 992, - "y": 56 - } - }, - { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" - }, - "position": { - "x": 480, - "y": 88 - } - }, - { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 648, - "y": 120 - } - }, - { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 632, - "y": -192 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 960, - "y": -176 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" - } - }, - { - "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" - }, - "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" - } - }, - { - "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" - }, - "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } - }, - { - "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" - }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" - }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" - } - }, - { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { - "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 48 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 120, - "y": 104 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "873425949b2a80f1a7f66f320796bcd068a59889": { - "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 40 - } - }, - { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 608, - "y": 72 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 112, - "y": 96 - } - }, - { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 312, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } - }, - { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } - }, - { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 168 - } - }, - { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "type": "basic.output", - "data": { - "name": "", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 640, - "y": 200 - } - }, - { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 128, - "y": 224 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 4 - }, - { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { - "package": { - "name": "AdderC-4bits", - "version": "0.1", - "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -744 - } - }, - { - "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a3", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 576, - "y": -736 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 920, - "y": -696 - } - }, - { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -688 - } - }, - { - "id": "05fb13c5-879a-422f-84a8-56d1db8816da", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 576, - "y": -672 - } - }, - { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" - }, - "position": { - "x": 8, - "y": -632 - } - }, - { - "id": "c2d36218-be99-4dd1-bf69-7690c667255b", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -632 - } - }, - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" - }, - "position": { - "x": 456, - "y": -584 - } - }, - { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 8, - "y": -576 - } - }, - { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 456, - "y": -528 - } - }, - { - "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -448 - } - }, - { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 328, - "y": -440 - } - }, - { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -8, - "y": -384 - } - }, - { - "id": "d192d0af-e7be-4be6-925a-50227d80784c", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": -312, - "y": -384 - } - }, - { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" - }, - "position": { - "x": 328, - "y": -376 - } - }, - { - "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "type": "basic.output", - "data": { - "name": "s", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 1064, - "y": -368 - } - }, - { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": -16, - "y": -320 - } - }, - { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -296 - } - }, - { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": -32, - "y": -264 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 184, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -296, - "y": -168 - } - }, - { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 608, - "y": -544 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -168, - "y": -416 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "57e59301-2919-4f06-ba95-54ce5d99d774", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": -152, - "y": -664 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "11342001-e6e0-443b-af87-0e5d7ada0227", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": -400 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "72c87c65-b34b-480f-8cde-cd97c0914014", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 744, - "y": -688 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" - } - }, - { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "33072210-9ba0-4659-8339-95952b939e6e" - }, - "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } - }, - { - "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", - "port": "inlabel" - } - }, - { - "source": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" - }, - "target": { - "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", - "port": "inlabel" - }, - "vertices": [ - { - "x": -24, - "y": -696 - } - ] - }, - { - "source": { - "block": "05fb13c5-879a-422f-84a8-56d1db8816da", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", - "port": "outlabel" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - } - }, - { - "source": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - }, - "vertices": [ - { - "x": 824, - "y": -424 - } - ] - }, - { - "source": { - "block": "d192d0af-e7be-4be6-925a-50227d80784c", - "port": "out" - }, - "target": { - "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "c2d36218-be99-4dd1-bf69-7690c667255b", - "port": "out" - }, - "target": { - "block": "57e59301-2919-4f06-ba95-54ce5d99d774", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" - }, - "target": { - "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", - "port": "in" - }, - "size": 4 - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - }, - "vertices": [ - { - "x": 728, - "y": -552 - } - ] - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "72c87c65-b34b-480f-8cde-cd97c0914014", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "11342001-e6e0-443b-af87-0e5d7ada0227", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - } - ] - } - } - }, - "c3c498191b14e9288a85fa2871b3966665f75475": { - "package": { - "name": "AdderC-8bits", - "version": "0.1", - "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "type": "basic.inputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -648 - } - }, - { - "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -408, - "y": -584 - } - }, - { - "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "type": "basic.inputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "deeppink", - "blockColor": "deeppink" - }, - "position": { - "x": -64, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" - }, - "position": { - "x": 832, - "y": -480 - } - }, - { - "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "type": "basic.outputLabel", - "data": { - "name": "a1", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 248, - "y": -456 - } - }, - { - "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "type": "basic.inputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -448 - } - }, - { - "id": "3867504b-f331-4e0e-b923-acc86cb4255c", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -400, - "y": -392 - } - }, - { - "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "type": "basic.inputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": -80, - "y": -376 - } - }, - { - "id": "383985cb-fd11-48ff-972a-cee8b631bd65", - "type": "basic.outputLabel", - "data": { - "name": "b1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 248, - "y": -376 - } - }, - { - "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "type": "basic.output", - "data": { - "name": "s", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 840, - "y": -336 - } - }, - { - "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "type": "basic.outputLabel", - "data": { - "name": "a0", - "range": "[3:0]", - "blockColor": "deeppink", - "size": 4 - }, - "position": { - "x": 120, - "y": -304 - } - }, - { - "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "type": "basic.outputLabel", - "data": { - "name": "b0", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 - }, - "position": { - "x": 120, - "y": -232 - } - }, - { - "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": -392, - "y": -168 - } - }, - { - "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -248, - "y": -392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": -240, - "y": -584 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 688, - "y": -336 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "840ba8a1-693f-4531-a947-adcaeac4e854", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 320, - "y": -248 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", - "position": { - "x": 464, - "y": -392 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", - "port": "outlabel" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 272, - "y": -256 - } - ], - "size": 4 - }, - { - "source": { - "block": "383985cb-fd11-48ff-972a-cee8b631bd65", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "d192d0af-e7be-4be6-925a-50227d80784c", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", - "port": "outlabel" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "c2d36218-be99-4dd1-bf69-7690c667255b", - "size": 4 - }, - "vertices": [ - { - "x": 384, - "y": -400 - } - ], - "size": 4 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", - "port": "out" - }, - "target": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" - } - }, - { - "source": { - "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", - "port": "out" - }, - "target": { - "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "3867504b-f331-4e0e-b923-acc86cb4255c", - "port": "out" - }, - "target": { - "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "840ba8a1-693f-4531-a947-adcaeac4e854", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" - }, - "target": { - "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "size": 4 - }, - { - "source": { - "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - }, - "vertices": [ - { - "x": 624, - "y": -392 - } - ] - } - ] - } - } - }, - "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { - "package": { - "name": "Bus16-Join-half", - "version": "0.1", - "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "type": "basic.input", - "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 168 - } - }, - { - "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[15:0]", - "size": 16 - }, - "position": { - "x": 632, - "y": 200 - } - }, - { - "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "type": "basic.input", - "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": 120, - "y": 264 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o", - "range": "[15:0]", - "size": 16 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", - "port": "in" - }, - "size": 16 - }, - { - "source": { - "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 8 - }, - { - "source": { - "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 8 - } - ] - } - } - }, - "3324889d602b184a4c2012938d6c0c3749a5c7e9": { - "package": { - "name": "Edges-detector", - "version": "0.2", - "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 88, - "y": 152 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 88, - "y": 280 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 616, - "y": 352 - } - }, - { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", - "readonly": true - }, - "position": { - "x": 104, - "y": -40 - }, - "size": { - "width": 648, - "height": 96 - } - }, - { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 96, - "y": 256 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 104, - "y": 120 - }, - "size": { - "width": 96, - "height": 48 - } - }, - { - "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", - "type": "basic.info", - "data": { - "info": "Current signal \nstate", - "readonly": true - }, - "position": { - "x": 160, - "y": 352 - }, - "size": { - "width": 168, - "height": 48 - } - }, - { - "id": "ab801839-c115-4e44-adb7-349586890b97", - "type": "basic.info", - "data": { - "info": "Signal state in the previous \nclock cycle", - "readonly": true - }, - "position": { - "x": 328, - "y": 200 - }, - "size": { - "width": 248, - "height": 48 - } - }, - { - "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", - "type": "basic.info", - "data": { - "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", - "readonly": true - }, - "position": { - "x": 504, - "y": 264 - }, - "size": { - "width": 400, - "height": 72 - } - }, - { - "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 480, - "y": 352 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", - "type": "basic.info", - "data": { - "info": "In any other case the output is 0", - "readonly": true - }, - "position": { - "x": 520, - "y": 432 - }, - "size": { - "width": 296, - "height": 40 - } - }, - { - "id": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 320, - "y": 264 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" - }, - "target": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } - }, - { - "source": { - "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" - }, - "target": { - "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [ - { - "x": 264, - "y": 368 - } - ] - } - ] - } - } - }, - "dc93d663ad1f02da00a0889f408a1f59b739c755": { - "package": { - "name": "Sync-x01", - "version": "0.2", - "description": "Sync 1-bit input with the system clock domain", - "author": "Juan Gonzalez-González (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -256, - "y": -56 - } - }, - { - "id": "e226f910-14af-473d-956b-03559f466726", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": -104, - "y": -56 - } - }, - { - "id": "7f538425-03ff-409e-81c2-d2714dfb036f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 128, - "y": 32 - } - }, - { - "id": "868cf45b-3801-40c1-9a04-498087cf183e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": -56, - "y": 72 - } - }, - { - "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 424, - "y": 128 - } - }, - { - "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": -64, - "y": 160 - } - }, - { - "id": "530188ca-389b-48f1-8fc5-793e57545112", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 104, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 280, - "y": 128 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", - "port": "out" - }, - "target": { - "block": "e226f910-14af-473d-956b-03559f466726", - "port": "inlabel" - } - }, - { - "source": { - "block": "868cf45b-3801-40c1-9a04-498087cf183e", - "port": "outlabel" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "7f538425-03ff-409e-81c2-d2714dfb036f", - "port": "outlabel" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", - "port": "out" - }, - "target": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "530188ca-389b-48f1-8fc5-793e57545112", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", - "port": "in" - } - } - ] - } - } - }, - "ad96dc706d08e8529f19944fe45991970dda6d11": { - "package": { - "name": "not-wire-x01", - "version": "0.3", - "description": "Select positive or negative logic for the input (0=positive, 1=negative)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1607779171609 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 824, - "y": 304 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 376, - "y": 320 - } - }, - { - "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "type": "basic.constant", - "data": { - "name": "not", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 160 - } - }, - { - "id": "019e81db-5707-409c-b159-b4cb29813cc4", - "type": "basic.info", - "data": { - "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", - "readonly": true - }, - "position": { - "x": 632, - "y": 392 - }, - "size": { - "width": 336, - "height": 96 - } - }, - { - "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", - "type": "basic.info", - "data": { - "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", - "readonly": true - }, - "position": { - "x": 728, - "y": 8 - }, - "size": { - "width": 296, - "height": 144 - } - }, - { - "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 672, - "y": 304 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", - "position": { - "x": 520, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", - "port": "constant-out" - }, - "target": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 960, - "y": 248 - } - }, - { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 728, - "y": 128 - } - }, - { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } - }, - "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } - }, - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "6ac7ddf463b070e3a2e40ffee0481f3a5a643dd4": { - "package": { - "name": "TFF-verilog", - "version": "0.4", - "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 328, - "y": -80 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 904, - "y": -8 - } - }, - { - "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 320, - "y": 64 - } - }, - { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 624, - "y": -248 - } - }, - { - "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "t" - } - ], - "out": [ - { - "name": "q" - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" - }, - "position": { - "x": 496, - "y": -120 - }, - "size": { - "width": 352, - "height": 288 - } - } - ], - "wires": [ - { - "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" - }, - "target": { - "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", - "port": "INI" - } - }, - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", - "port": "clk" - } - }, - { - "source": { - "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "port": "out" - }, - "target": { - "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", - "port": "t" - } - }, - { - "source": { - "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", - "port": "q" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - } - ] - } - } - } - } -} \ No newline at end of file