From d7753457d769c5bfde0f794a2014301bec5331a8 Mon Sep 17 00:00:00 2001 From: GHA Date: Wed, 16 Oct 2024 12:16:19 +0000 Subject: [PATCH] update 392a0c7aca0e56d644e7f22d972ee7dd8ce2c237 --- .nojekyll | 0 articles/10/index.html | 198 +++ articles/13/index.html | 186 +++ articles/15/index.html | 199 +++ articles/2/index.html | 212 +++ articles/26/index.html | 202 +++ articles/31/index.html | 206 +++ articles/33/index.html | 197 +++ articles/4/index.html | 190 +++ articles/7/index.html | 189 +++ articles/9/index.html | 189 +++ articles/index.html | 508 +++++++ articles/index.xml | 110 ++ cores/21/index.html | 211 +++ cores/24/index.html | 205 +++ cores/36/index.html | 212 +++ cores/index.html | 280 ++++ cores/index.xml | 47 + ...4c0d783408f3c34ea51aabdadcd1da566e657b.css | 1 + favicon.ico | Bin 0 -> 198 bytes img/favicon/android-chrome-192x192.png | Bin 0 -> 6607 bytes img/favicon/android-chrome-512x512.png | Bin 0 -> 17214 bytes img/favicon/apple-touch-icon.png | Bin 0 -> 6810 bytes img/favicon/browserconfig.xml | 9 + img/favicon/favicon-16x16.png | Bin 0 -> 1005 bytes img/favicon/favicon-32x32.png | Bin 0 -> 1697 bytes img/favicon/favicon.ico | Bin 0 -> 15086 bytes img/favicon/mstile-144x144.png | Bin 0 -> 4970 bytes img/favicon/mstile-150x150.png | Bin 0 -> 5004 bytes img/favicon/mstile-310x150.png | Bin 0 -> 5490 bytes img/favicon/mstile-310x310.png | Bin 0 -> 10189 bytes img/favicon/mstile-70x70.png | Bin 0 -> 3592 bytes img/favicon/safari-pinned-tab.svg | 66 + img/favicon/site.webmanifest | 19 + img/logo.png | Bin 0 -> 8111 bytes img/logo.svg | 156 ++ index.html | 1351 +++++++++++++++++ index.xml | 335 ++++ past/11/index.html | 212 +++ past/14/index.html | 210 +++ past/17/index.html | 198 +++ past/19/index.html | 189 +++ past/22/index.html | 197 +++ past/3/index.html | 213 +++ past/32/index.html | 210 +++ past/35/index.html | 207 +++ past/6/index.html | 214 +++ past/8/index.html | 196 +++ past/index.html | 538 +++++++ past/index.xml | 117 ++ show/16/index.html | 198 +++ show/30/index.html | 209 +++ show/5/index.html | 202 +++ show/index.html | 280 ++++ show/index.xml | 42 + sitemap.xml | 760 ++++++++++ tags/altera/index.html | 193 +++ tags/altera/index.xml | 23 + tags/assertions/index.html | 235 +++ tags/assertions/index.xml | 35 + tags/btor2/index.html | 184 +++ tags/btor2/index.xml | 25 + tags/cfu/index.html | 193 +++ tags/cfu/index.xml | 24 + tags/chipalliance/index.html | 193 +++ tags/chipalliance/index.xml | 24 + tags/co-simulation/index.html | 193 +++ tags/co-simulation/index.xml | 23 + tags/cocotb/index.html | 487 ++++++ tags/cocotb/index.xml | 95 ++ tags/components/index.html | 184 +++ tags/components/index.xml | 23 + tags/container/index.html | 193 +++ tags/container/index.xml | 23 + tags/cpu/index.html | 193 +++ tags/cpu/index.xml | 24 + tags/cryptography/index.html | 193 +++ tags/cryptography/index.xml | 25 + tags/custom-function/index.html | 193 +++ tags/custom-function/index.xml | 24 + tags/debian/index.html | 193 +++ tags/debian/index.xml | 26 + tags/dfu-util/index.html | 193 +++ tags/dfu-util/index.xml | 23 + tags/docker/index.html | 235 +++ tags/docker/index.xml | 35 + tags/eccprog/index.html | 193 +++ tags/eccprog/index.xml | 23 + tags/eda-playground/index.html | 184 +++ tags/eda-playground/index.xml | 24 + tags/eda/index.html | 193 +++ tags/eda/index.xml | 23 + tags/edif/index.html | 184 +++ tags/edif/index.xml | 25 + tags/examples/index.html | 193 +++ tags/examples/index.xml | 24 + tags/exercises/index.html | 193 +++ tags/exercises/index.xml | 23 + tags/firrtl/index.html | 184 +++ tags/firrtl/index.xml | 25 + tags/fomu/index.html | 193 +++ tags/fomu/index.xml | 24 + tags/formal-verification/index.html | 193 +++ tags/formal-verification/index.xml | 24 + tags/foss/index.html | 184 +++ tags/foss/index.xml | 26 + tags/fpga/index.html | 301 ++++ tags/fpga/index.xml | 54 + tags/fritzing/index.html | 193 +++ tags/fritzing/index.xml | 23 + tags/functional-coverage/index.html | 193 +++ tags/functional-coverage/index.xml | 24 + tags/gdb/index.html | 193 +++ tags/gdb/index.xml | 24 + tags/ghdl-yosys-plugin/index.html | 259 ++++ tags/ghdl-yosys-plugin/index.xml | 43 + tags/ghdl/index.html | 637 ++++++++ tags/ghdl/index.xml | 140 ++ tags/gitlab/index.html | 184 +++ tags/gitlab/index.xml | 24 + tags/graphviz/index.html | 193 +++ tags/graphviz/index.xml | 23 + tags/gtkwave/index.html | 235 +++ tags/gtkwave/index.xml | 35 + tags/ice40/index.html | 184 +++ tags/ice40/index.xml | 23 + tags/icesprog/index.html | 193 +++ tags/icesprog/index.xml | 23 + tags/icestorm/index.html | 235 +++ tags/icestorm/index.xml | 35 + tags/ieee/index.html | 184 +++ tags/ieee/index.xml | 23 + tags/index.html | 940 ++++++++++++ tags/index.xml | 1022 +++++++++++++ tags/interchange/index.html | 193 +++ tags/interchange/index.xml | 24 + tags/iverilog/index.html | 235 +++ tags/iverilog/index.xml | 32 + tags/kicad/index.html | 193 +++ tags/kicad/index.xml | 23 + tags/latex/index.html | 184 +++ tags/latex/index.xml | 24 + tags/lattice/index.html | 184 +++ tags/lattice/index.xml | 23 + tags/learning/index.html | 226 +++ tags/learning/index.xml | 32 + tags/lpf/index.html | 193 +++ tags/lpf/index.xml | 23 + tags/lrm/index.html | 184 +++ tags/lrm/index.xml | 24 + tags/mentor/index.html | 184 +++ tags/mentor/index.xml | 23 + tags/methodology/index.html | 184 +++ tags/methodology/index.xml | 24 + tags/microwatt/index.html | 235 +++ tags/microwatt/index.xml | 38 + tags/modelsim/index.html | 193 +++ tags/modelsim/index.xml | 23 + tags/msys2/index.html | 193 +++ tags/msys2/index.xml | 23 + tags/neoled/index.html | 193 +++ tags/neoled/index.xml | 24 + tags/neorv32/index.html | 193 +++ tags/neorv32/index.xml | 24 + tags/nextpnr/index.html | 235 +++ tags/nextpnr/index.xml | 35 + tags/ngspice/index.html | 193 +++ tags/ngspice/index.xml | 23 + tags/ocd/index.html | 193 +++ tags/ocd/index.xml | 24 + tags/openfpgaloader/index.html | 193 +++ tags/openfpgaloader/index.xml | 23 + tags/openisa/index.html | 235 +++ tags/openisa/index.xml | 38 + tags/openocd/index.html | 193 +++ tags/openocd/index.xml | 23 + tags/osvb/index.html | 193 +++ tags/osvb/index.xml | 23 + tags/osvvm/index.html | 511 +++++++ tags/osvvm/index.xml | 105 ++ tags/package/index.html | 193 +++ tags/package/index.xml | 23 + tags/pcf/index.html | 193 +++ tags/pcf/index.xml | 23 + tags/podman/index.html | 193 +++ tags/podman/index.xml | 26 + tags/power/index.html | 235 +++ tags/power/index.xml | 38 + tags/prjtrellis/index.html | 235 +++ tags/prjtrellis/index.xml | 35 + tags/programming/index.html | 226 +++ tags/programming/index.xml | 32 + tags/psl/index.html | 235 +++ tags/psl/index.xml | 35 + tags/pwm/index.html | 193 +++ tags/pwm/index.xml | 24 + tags/python/index.html | 193 +++ tags/python/index.xml | 23 + tags/rapidwright/index.html | 193 +++ tags/rapidwright/index.xml | 24 + tags/renode/index.html | 193 +++ tags/renode/index.xml | 23 + tags/riscv/index.html | 193 +++ tags/riscv/index.xml | 24 + tags/riviera/index.html | 184 +++ tags/riviera/index.xml | 24 + tags/schema/index.html | 193 +++ tags/schema/index.xml | 24 + tags/sdc/index.html | 193 +++ tags/sdc/index.xml | 23 + tags/simulation/index.html | 418 +++++ tags/simulation/index.xml | 86 ++ tags/smt2/index.html | 184 +++ tags/smt2/index.xml | 25 + tags/soc/index.html | 193 +++ tags/soc/index.xml | 24 + tags/spi/index.html | 193 +++ tags/spi/index.xml | 24 + tags/stream/index.html | 193 +++ tags/stream/index.xml | 24 + tags/study/index.html | 403 +++++ tags/study/index.xml | 77 + tags/svunit/index.html | 193 +++ tags/svunit/index.xml | 23 + tags/symbiyosys/index.html | 235 +++ tags/symbiyosys/index.xml | 36 + tags/synthesis/index.html | 460 ++++++ tags/synthesis/index.xml | 98 ++ tags/teaching/index.html | 193 +++ tags/teaching/index.xml | 23 + tags/testing/index.html | 193 +++ tags/testing/index.xml | 23 + tags/trng/index.html | 193 +++ tags/trng/index.xml | 24 + tags/twi/index.html | 193 +++ tags/twi/index.xml | 24 + tags/ucf/index.html | 193 +++ tags/ucf/index.xml | 23 + tags/unification/index.html | 184 +++ tags/unification/index.xml | 24 + tags/uvm/index.html | 403 +++++ tags/uvm/index.xml | 77 + tags/uvvm/index.html | 511 +++++++ tags/uvvm/index.xml | 105 ++ tags/vasg/index.html | 184 +++ tags/vasg/index.xml | 24 + tags/verification/index.html | 694 +++++++++ tags/verification/index.xml | 154 ++ tags/verilator/index.html | 235 +++ tags/verilator/index.xml | 32 + tags/verilog/index.html | 226 +++ tags/verilog/index.xml | 35 + tags/vhdl-2019/index.html | 217 +++ tags/vhdl-2019/index.xml | 33 + tags/vhdl/index.html | 409 +++++ tags/vhdl/index.xml | 86 ++ tags/vhdlwhiz/index.html | 184 +++ tags/vhdlwhiz/index.xml | 23 + tags/videos/index.html | 193 +++ tags/videos/index.xml | 23 + tags/vunit/index.html | 487 ++++++ tags/vunit/index.xml | 95 ++ tags/wilson/index.html | 193 +++ tags/wilson/index.xml | 24 + tags/windows/index.html | 193 +++ tags/windows/index.xml | 23 + tags/wishbone/index.html | 193 +++ tags/wishbone/index.xml | 24 + tags/workshop/index.html | 226 +++ tags/workshop/index.xml | 36 + tags/xdc/index.html | 193 +++ tags/xdc/index.xml | 23 + tags/xilinx/index.html | 193 +++ tags/xilinx/index.xml | 24 + tags/yices2/index.html | 193 +++ tags/yices2/index.xml | 23 + tags/yosys/index.html | 436 ++++++ tags/yosys/index.xml | 86 ++ tags/youtube/index.html | 193 +++ tags/youtube/index.xml | 23 + tags/z3/index.html | 193 +++ tags/z3/index.xml | 26 + tools/18/index.html | 198 +++ tools/20/index.html | 201 +++ tools/21/index.html | 211 +++ tools/23/index.html | 212 +++ tools/27/index.html | 201 +++ tools/index.html | 364 +++++ tools/index.xml | 65 + welcome/index.html | 187 +++ 290 files changed, 43155 insertions(+) create mode 100644 .nojekyll create mode 100644 articles/10/index.html create mode 100644 articles/13/index.html create mode 100644 articles/15/index.html create mode 100644 articles/2/index.html create mode 100644 articles/26/index.html create mode 100644 articles/31/index.html create mode 100644 articles/33/index.html create mode 100644 articles/4/index.html create mode 100644 articles/7/index.html create mode 100644 articles/9/index.html create mode 100644 articles/index.html create mode 100644 articles/index.xml create mode 100644 cores/21/index.html create mode 100644 cores/24/index.html create mode 100644 cores/36/index.html create mode 100644 cores/index.html create mode 100644 cores/index.xml create mode 100644 css/site.min.16a629ea56c3ee808789953755273e5473351c8e106c78b293ea8d3c52b863f34b141720880a8d90e1f3ae7e144c0d783408f3c34ea51aabdadcd1da566e657b.css create mode 100644 favicon.ico create mode 100644 img/favicon/android-chrome-192x192.png create mode 100644 img/favicon/android-chrome-512x512.png create mode 100644 img/favicon/apple-touch-icon.png create mode 100644 img/favicon/browserconfig.xml create mode 100644 img/favicon/favicon-16x16.png create mode 100644 img/favicon/favicon-32x32.png create mode 100644 img/favicon/favicon.ico create mode 100644 img/favicon/mstile-144x144.png create mode 100644 img/favicon/mstile-150x150.png create mode 100644 img/favicon/mstile-310x150.png create mode 100644 img/favicon/mstile-310x310.png create mode 100644 img/favicon/mstile-70x70.png create mode 100644 img/favicon/safari-pinned-tab.svg create mode 100644 img/favicon/site.webmanifest create mode 100644 img/logo.png create mode 100644 img/logo.svg create mode 100644 index.html create mode 100644 index.xml create mode 100644 past/11/index.html create mode 100644 past/14/index.html create mode 100644 past/17/index.html create mode 100644 past/19/index.html create mode 100644 past/22/index.html create mode 100644 past/3/index.html create mode 100644 past/32/index.html create mode 100644 past/35/index.html create mode 100644 past/6/index.html create mode 100644 past/8/index.html create mode 100644 past/index.html create mode 100644 past/index.xml create mode 100644 show/16/index.html create mode 100644 show/30/index.html create mode 100644 show/5/index.html create mode 100644 show/index.html create mode 100644 show/index.xml create mode 100644 sitemap.xml create mode 100644 tags/altera/index.html create mode 100644 tags/altera/index.xml create mode 100644 tags/assertions/index.html create mode 100644 tags/assertions/index.xml create mode 100644 tags/btor2/index.html create mode 100644 tags/btor2/index.xml create mode 100644 tags/cfu/index.html create mode 100644 tags/cfu/index.xml create mode 100644 tags/chipalliance/index.html create mode 100644 tags/chipalliance/index.xml create mode 100644 tags/co-simulation/index.html create mode 100644 tags/co-simulation/index.xml create mode 100644 tags/cocotb/index.html create mode 100644 tags/cocotb/index.xml create mode 100644 tags/components/index.html create mode 100644 tags/components/index.xml create mode 100644 tags/container/index.html create mode 100644 tags/container/index.xml create mode 100644 tags/cpu/index.html create mode 100644 tags/cpu/index.xml create mode 100644 tags/cryptography/index.html create mode 100644 tags/cryptography/index.xml create mode 100644 tags/custom-function/index.html create mode 100644 tags/custom-function/index.xml create mode 100644 tags/debian/index.html create mode 100644 tags/debian/index.xml create mode 100644 tags/dfu-util/index.html create mode 100644 tags/dfu-util/index.xml create mode 100644 tags/docker/index.html create mode 100644 tags/docker/index.xml create mode 100644 tags/eccprog/index.html create mode 100644 tags/eccprog/index.xml create mode 100644 tags/eda-playground/index.html create mode 100644 tags/eda-playground/index.xml create mode 100644 tags/eda/index.html create mode 100644 tags/eda/index.xml create mode 100644 tags/edif/index.html create mode 100644 tags/edif/index.xml create mode 100644 tags/examples/index.html create mode 100644 tags/examples/index.xml create mode 100644 tags/exercises/index.html create mode 100644 tags/exercises/index.xml create mode 100644 tags/firrtl/index.html create mode 100644 tags/firrtl/index.xml create mode 100644 tags/fomu/index.html create mode 100644 tags/fomu/index.xml create mode 100644 tags/formal-verification/index.html create mode 100644 tags/formal-verification/index.xml create mode 100644 tags/foss/index.html create mode 100644 tags/foss/index.xml create mode 100644 tags/fpga/index.html create mode 100644 tags/fpga/index.xml create mode 100644 tags/fritzing/index.html create mode 100644 tags/fritzing/index.xml create mode 100644 tags/functional-coverage/index.html create mode 100644 tags/functional-coverage/index.xml create mode 100644 tags/gdb/index.html create mode 100644 tags/gdb/index.xml create mode 100644 tags/ghdl-yosys-plugin/index.html create mode 100644 tags/ghdl-yosys-plugin/index.xml create mode 100644 tags/ghdl/index.html create mode 100644 tags/ghdl/index.xml create mode 100644 tags/gitlab/index.html create mode 100644 tags/gitlab/index.xml create mode 100644 tags/graphviz/index.html create mode 100644 tags/graphviz/index.xml create mode 100644 tags/gtkwave/index.html create mode 100644 tags/gtkwave/index.xml create mode 100644 tags/ice40/index.html create mode 100644 tags/ice40/index.xml create mode 100644 tags/icesprog/index.html create mode 100644 tags/icesprog/index.xml create mode 100644 tags/icestorm/index.html create mode 100644 tags/icestorm/index.xml create mode 100644 tags/ieee/index.html create mode 100644 tags/ieee/index.xml create mode 100644 tags/index.html create mode 100644 tags/index.xml create mode 100644 tags/interchange/index.html create mode 100644 tags/interchange/index.xml create mode 100644 tags/iverilog/index.html create mode 100644 tags/iverilog/index.xml create mode 100644 tags/kicad/index.html create mode 100644 tags/kicad/index.xml create mode 100644 tags/latex/index.html create mode 100644 tags/latex/index.xml create mode 100644 tags/lattice/index.html create mode 100644 tags/lattice/index.xml create mode 100644 tags/learning/index.html create mode 100644 tags/learning/index.xml create mode 100644 tags/lpf/index.html create mode 100644 tags/lpf/index.xml create mode 100644 tags/lrm/index.html create mode 100644 tags/lrm/index.xml create mode 100644 tags/mentor/index.html create mode 100644 tags/mentor/index.xml create mode 100644 tags/methodology/index.html create mode 100644 tags/methodology/index.xml create mode 100644 tags/microwatt/index.html create mode 100644 tags/microwatt/index.xml create mode 100644 tags/modelsim/index.html create mode 100644 tags/modelsim/index.xml create mode 100644 tags/msys2/index.html create mode 100644 tags/msys2/index.xml create mode 100644 tags/neoled/index.html create mode 100644 tags/neoled/index.xml create mode 100644 tags/neorv32/index.html create mode 100644 tags/neorv32/index.xml create mode 100644 tags/nextpnr/index.html create mode 100644 tags/nextpnr/index.xml create mode 100644 tags/ngspice/index.html create mode 100644 tags/ngspice/index.xml create mode 100644 tags/ocd/index.html create mode 100644 tags/ocd/index.xml create mode 100644 tags/openfpgaloader/index.html create mode 100644 tags/openfpgaloader/index.xml create mode 100644 tags/openisa/index.html create mode 100644 tags/openisa/index.xml create mode 100644 tags/openocd/index.html create mode 100644 tags/openocd/index.xml create mode 100644 tags/osvb/index.html create mode 100644 tags/osvb/index.xml create mode 100644 tags/osvvm/index.html create mode 100644 tags/osvvm/index.xml create mode 100644 tags/package/index.html create mode 100644 tags/package/index.xml create mode 100644 tags/pcf/index.html create mode 100644 tags/pcf/index.xml create mode 100644 tags/podman/index.html create mode 100644 tags/podman/index.xml create mode 100644 tags/power/index.html create mode 100644 tags/power/index.xml create mode 100644 tags/prjtrellis/index.html create mode 100644 tags/prjtrellis/index.xml create mode 100644 tags/programming/index.html create mode 100644 tags/programming/index.xml create mode 100644 tags/psl/index.html create mode 100644 tags/psl/index.xml create mode 100644 tags/pwm/index.html create mode 100644 tags/pwm/index.xml create mode 100644 tags/python/index.html create mode 100644 tags/python/index.xml create mode 100644 tags/rapidwright/index.html create mode 100644 tags/rapidwright/index.xml create mode 100644 tags/renode/index.html create mode 100644 tags/renode/index.xml create mode 100644 tags/riscv/index.html create mode 100644 tags/riscv/index.xml create mode 100644 tags/riviera/index.html create mode 100644 tags/riviera/index.xml create mode 100644 tags/schema/index.html create mode 100644 tags/schema/index.xml create mode 100644 tags/sdc/index.html create mode 100644 tags/sdc/index.xml create mode 100644 tags/simulation/index.html create mode 100644 tags/simulation/index.xml create mode 100644 tags/smt2/index.html create mode 100644 tags/smt2/index.xml create mode 100644 tags/soc/index.html create mode 100644 tags/soc/index.xml create mode 100644 tags/spi/index.html create mode 100644 tags/spi/index.xml create mode 100644 tags/stream/index.html create mode 100644 tags/stream/index.xml create mode 100644 tags/study/index.html create mode 100644 tags/study/index.xml create mode 100644 tags/svunit/index.html create mode 100644 tags/svunit/index.xml create mode 100644 tags/symbiyosys/index.html create mode 100644 tags/symbiyosys/index.xml create mode 100644 tags/synthesis/index.html create mode 100644 tags/synthesis/index.xml create mode 100644 tags/teaching/index.html create mode 100644 tags/teaching/index.xml create mode 100644 tags/testing/index.html create mode 100644 tags/testing/index.xml create mode 100644 tags/trng/index.html create mode 100644 tags/trng/index.xml create mode 100644 tags/twi/index.html create mode 100644 tags/twi/index.xml create mode 100644 tags/ucf/index.html create mode 100644 tags/ucf/index.xml create mode 100644 tags/unification/index.html create mode 100644 tags/unification/index.xml create mode 100644 tags/uvm/index.html create mode 100644 tags/uvm/index.xml create mode 100644 tags/uvvm/index.html create mode 100644 tags/uvvm/index.xml create mode 100644 tags/vasg/index.html create mode 100644 tags/vasg/index.xml create mode 100644 tags/verification/index.html create mode 100644 tags/verification/index.xml create mode 100644 tags/verilator/index.html create mode 100644 tags/verilator/index.xml create mode 100644 tags/verilog/index.html create mode 100644 tags/verilog/index.xml create mode 100644 tags/vhdl-2019/index.html create mode 100644 tags/vhdl-2019/index.xml create mode 100644 tags/vhdl/index.html create mode 100644 tags/vhdl/index.xml create mode 100644 tags/vhdlwhiz/index.html create mode 100644 tags/vhdlwhiz/index.xml create mode 100644 tags/videos/index.html create mode 100644 tags/videos/index.xml create mode 100644 tags/vunit/index.html create mode 100644 tags/vunit/index.xml create mode 100644 tags/wilson/index.html create mode 100644 tags/wilson/index.xml create mode 100644 tags/windows/index.html create mode 100644 tags/windows/index.xml create mode 100644 tags/wishbone/index.html create mode 100644 tags/wishbone/index.xml create mode 100644 tags/workshop/index.html create mode 100644 tags/workshop/index.xml create mode 100644 tags/xdc/index.html create mode 100644 tags/xdc/index.xml create mode 100644 tags/xilinx/index.html create mode 100644 tags/xilinx/index.xml create mode 100644 tags/yices2/index.html create mode 100644 tags/yices2/index.xml create mode 100644 tags/yosys/index.html create mode 100644 tags/yosys/index.xml create mode 100644 tags/youtube/index.html create mode 100644 tags/youtube/index.xml create mode 100644 tags/z3/index.html create mode 100644 tags/z3/index.xml create mode 100644 tools/18/index.html create mode 100644 tools/20/index.html create mode 100644 tools/21/index.html create mode 100644 tools/23/index.html create mode 100644 tools/27/index.html create mode 100644 tools/index.html create mode 100644 tools/index.xml create mode 100644 welcome/index.html diff --git a/.nojekyll b/.nojekyll new file mode 100644 index 00000000..e69de29b diff --git a/articles/10/index.html b/articles/10/index.html new file mode 100644 index 00000000..f0b58eed --- /dev/null +++ b/articles/10/index.html @@ -0,0 +1,198 @@ + + + + + + + + + + + + VHDL News + + | + + Learning FPGA programming, key points for a software developer + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + diff --git a/articles/13/index.html b/articles/13/index.html new file mode 100644 index 00000000..80c630ca --- /dev/null +++ b/articles/13/index.html @@ -0,0 +1,186 @@ + + + + + + + + + + + + VHDL News + + | + + Open Source Formal Verification in VHDL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+
+ + + Open Source Formal Verification in VHDL + + @Ahmad-Zaklouta +
+
+ + #13 + 1 + 0 + + · + 2020/09/07 + + +
+ +
+ + + +
+ +
+
+ + + + + + + diff --git a/articles/15/index.html b/articles/15/index.html new file mode 100644 index 00000000..c0c99ee6 --- /dev/null +++ b/articles/15/index.html @@ -0,0 +1,199 @@ + + + + + + + + + + + + VHDL News + + | + + SusanaCanel - Proyectos VHDL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+
+ + + SusanaCanel - Proyectos VHDL + + @umarcor +
+ + +
+ + + +
+

Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos.

+ +
+
+ + + + + + + diff --git a/articles/2/index.html b/articles/2/index.html new file mode 100644 index 00000000..64427063 --- /dev/null +++ b/articles/2/index.html @@ -0,0 +1,212 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ + + +
+ + + +
+
+

During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently:

+
    +
  • How is verification done?
  • +
  • What frameworks are used? Are they used together?
  • +
  • What are the dominant coding styles? Would people align to those if they knew?
  • +
+

Knowing these would help the development of VUnit [1]; where do we put our efforts? do we add functionality or reuse functionality from others? where does it make sense to create tighter integrations with other tools? can we avoid spending time on endless indentation and casing discussions? Just let a tool fix it and move on.

+

It’s not hard to find strong opinions in every possible direction, but we are looking for more solid facts. Facts can be found where data is, and one of the biggest pile of easy accessible data is GitHub. For that reason, we have mined GitHub for relevant information about HDL projects and processed that data to find the most interesting facts.

+

The full story and the code used to derive these facts are part of an open science project, also hosted on GitHub and you can read it as it evolves. As with any open-source project we encourage contributions and suggestions on other interesting facts that we should derive.

+

Lars Asplund https://www.linkedin.com/pulse/what-can-github-tell-us-hdl-industry-lars-asplund/

+
+ +
+
+ + + + + + + diff --git a/articles/26/index.html b/articles/26/index.html new file mode 100644 index 00000000..ff643072 --- /dev/null +++ b/articles/26/index.html @@ -0,0 +1,202 @@ + + + + + + + + + + + + VHDL News + + | + + Mixed HDL on Fomu, with GHDL and Yosys + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ + + +
+ + + +
+

Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink.

+

NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile.

+ +
+
+ + + + + + + diff --git a/articles/31/index.html b/articles/31/index.html new file mode 100644 index 00000000..99d1c6c2 --- /dev/null +++ b/articles/31/index.html @@ -0,0 +1,206 @@ + + + + + + + + + + + + VHDL News + + | + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ +
+ + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
+ +
+ + + +
+

@rodrigomelo9:

+
+

Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA

+
+
+

Links to slides (and coming soon the recording) of the mini crash course about VHDL:

+ +
+ +
+
+ + + + + + + diff --git a/articles/33/index.html b/articles/33/index.html new file mode 100644 index 00000000..9948da91 --- /dev/null +++ b/articles/33/index.html @@ -0,0 +1,197 @@ + + + + + + + + + + + + VHDL News + + | + + OSVVM & UVVM: Differences and Unification + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ +
+ + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
+ +
+ + + +
+
+

As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM.

+

At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM.

+

Let me explain why OSVVM is the right methodology to go forward with.

+

https://www.linkedin.com/posts/jimwilliamlewis_osvvm-uvvm-differences-and-unification-activity-6859020534492090368-5KIN

+
+ +
+
+ + + + + + + diff --git a/articles/4/index.html b/articles/4/index.html new file mode 100644 index 00000000..90d497cd --- /dev/null +++ b/articles/4/index.html @@ -0,0 +1,190 @@ + + + + + + + + + + + + VHDL News + + | + + Addressing VHDL Verification Challenges with OSVVM + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ +
+ + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
+ +
+ + + +
+

An introduction to the capabilities of OSVVM utility and verification component libraries.

+ +
+
+ + + + + + + diff --git a/articles/7/index.html b/articles/7/index.html new file mode 100644 index 00000000..4ce405d1 --- /dev/null +++ b/articles/7/index.html @@ -0,0 +1,189 @@ + + + + + + + + + + + + VHDL News + + | + + Create your own VVC for UVVM + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+
+ + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
+
+ + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
+ +
+ + + +
+ +
+
+ + + + + + + diff --git a/articles/9/index.html b/articles/9/index.html new file mode 100644 index 00000000..5e63bd55 --- /dev/null +++ b/articles/9/index.html @@ -0,0 +1,189 @@ + + + + + + + + + + + + VHDL News + + | + + What’s new in VHDL-2019 - VHDLwhiz + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+
+ + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
+
+ + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
+ +
+ + + +
+ +
+
+ + + + + + + diff --git a/articles/index.html b/articles/index.html new file mode 100644 index 00000000..50bc6a1c --- /dev/null +++ b/articles/index.html @@ -0,0 +1,508 @@ + + + + + + + + + + + + VHDL News + + | + + Articles + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ +

References to elaborated readings such as docs, papers, books, guides, wikis, etc.

+
+ + + +
+
+ + + OSVVM & UVVM: Differences and Unification + + @umarcor +
+
+ + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
+ +
+ + + + +
+
+ + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + + @umarcor +
+
+ + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
+ +
+ + + + +
+
+ + + Mixed HDL on Fomu, with GHDL and Yosys + + @umarcor +
+ + +
+ + + + +
+
+ + + SusanaCanel - Proyectos VHDL + + @umarcor +
+ + +
+ + + + +
+
+ + + Open Source Formal Verification in VHDL + + @Ahmad-Zaklouta +
+
+ + #13 + 1 + 0 + + · + 2020/09/07 + + +
+ +
+ + + + +
+
+ + + Learning FPGA programming, key points for a software developer + + @eine +
+
+ + #10 + 1 + 0 + + · + 2020/09/01 + + + · + tags: learning, fpga, programming + +
+ +
+ + + + +
+
+ + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
+
+ + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
+ +
+ + + + +
+
+ + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
+
+ + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
+ +
+ + + + +
+
+ + + Addressing VHDL Verification Challenges with OSVVM + + @tmeissner +
+
+ + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
+ +
+ + + + +
+
+ + + What Can GitHub Tell Us About the HDL Industry? + + @eine +
+ + +
+ + + + +
+
+ + + + diff --git a/articles/index.xml b/articles/index.xml new file mode 100644 index 00000000..a6769e9b --- /dev/null +++ b/articles/index.xml @@ -0,0 +1,110 @@ + + + + Articles on VHDL News + https://vhdl.github.io/news/articles/ + Recent content in Articles on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + Open Source Formal Verification in VHDL + https://vhdl.github.io/news/articles/13/ + Mon, 07 Sep 2020 09:57:20 +0000 + + https://vhdl.github.io/news/articles/13/ + + + + + Learning FPGA programming, key points for a software developer + https://vhdl.github.io/news/articles/10/ + Tue, 01 Sep 2020 16:45:34 +0000 + + https://vhdl.github.io/news/articles/10/ + dev.to/targeted Learning FPGA programming, key points for a software developer (part 1, the time) Learning FPGA programming, key points for a software developer (part 2, registered logic) Learning FPGA programming, key points for a software developer (part 3, code patterns and inferred behavior) + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + Create your own VVC for UVVM + https://vhdl.github.io/news/articles/7/ + Wed, 19 Aug 2020 12:13:59 +0000 + + https://vhdl.github.io/news/articles/7/ + + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/cores/21/index.html b/cores/21/index.html new file mode 100644 index 00000000..bb757017 --- /dev/null +++ b/cores/21/index.html @@ -0,0 +1,211 @@ + + + + + + + + + + + + VHDL News + + | + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ + + +
+ + + +
+
+

Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools

+

Speakers: Anton Blanchard, IBM & Tristan Gingold, CERN

+

Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux.

+

From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs.

+

This presentation will give an overview of the Microwatt core. It will also include an overview of GHDL and how it can be used for both simulation and synthesis of a medium complexity VHDL project.

+
+ +
+
+ + + + + + + diff --git a/cores/24/index.html b/cores/24/index.html new file mode 100644 index 00000000..b8bbd1d7 --- /dev/null +++ b/cores/24/index.html @@ -0,0 +1,205 @@ + + + + + + + + + + + + VHDL News + + | + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ + + +
+ + + +
+

Cryptography IP-cores & tests written in VHDL / Verilog.

+

The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes.

+

The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation.

+ +
+
+ + + + + + + diff --git a/cores/36/index.html b/cores/36/index.html new file mode 100644 index 00000000..a530ac0d --- /dev/null +++ b/cores/36/index.html @@ -0,0 +1,212 @@ + + + + + + + + + + + + VHDL News + + | + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + +
+ + + +
+ + + +
+

+
+

The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz.

+

Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. Whenever an unexpected situation occurs the application code is informed via precise and resumable hardware exceptions.

+

:interrobang: Want to know more? Check out the project’s rationale.

+

:books: For detailed information take a look at the NEORV32 documentation (online at GitHub-pages).

+

:label: The project’s change log is available in CHANGELOG.md. To see the changes between official releases visit the project’s release page.

+

:package: Exemplary setups targeting various FPGA boards and toolchains to get you started.

+

:kite: Supported by upstream Zephyr OS and FreeRTOS.

+

:bulb: Feel free to open a new issue or start a new discussion if you have questions, comments, ideas or if something is not working as expected. Or have a chat on our gitter channel. See how to contribute.

+

:rocket: Check out the quick links or directly jump to the User Guide to get started setting up your NEORV32 setup!

+
+ +
+
+ + + + + + + diff --git a/cores/index.html b/cores/index.html new file mode 100644 index 00000000..effa8241 --- /dev/null +++ b/cores/index.html @@ -0,0 +1,280 @@ + + + + + + + + + + + + VHDL News + + | + + Cores + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ +

Similar to opencores.org, freerangefactory.org/core or librecores.org, yet another attempt at building a registry of open source VHDL cores, simulation models and implementation constraints.

+
+ + + +
+
+ + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + + @umarcor +
+ + +
+ + + + +
+
+ + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + + @tmeissner +
+ + +
+ + + + +
+
+ + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + + @umarcor +
+ + +
+ + + + +
+
+ + + + diff --git a/cores/index.xml b/cores/index.xml new file mode 100644 index 00000000..5401443e --- /dev/null +++ b/cores/index.xml @@ -0,0 +1,47 @@ + + + + Cores on VHDL News + https://vhdl.github.io/news/cores/ + Recent content in Cores on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + \ No newline at end of file diff --git a/css/site.min.16a629ea56c3ee808789953755273e5473351c8e106c78b293ea8d3c52b863f34b141720880a8d90e1f3ae7e144c0d783408f3c34ea51aabdadcd1da566e657b.css b/css/site.min.16a629ea56c3ee808789953755273e5473351c8e106c78b293ea8d3c52b863f34b141720880a8d90e1f3ae7e144c0d783408f3c34ea51aabdadcd1da566e657b.css new file mode 100644 index 00000000..f8c493de --- /dev/null +++ b/css/site.min.16a629ea56c3ee808789953755273e5473351c8e106c78b293ea8d3c52b863f34b141720880a8d90e1f3ae7e144c0d783408f3c34ea51aabdadcd1da566e657b.css @@ -0,0 +1 @@ +/*!bulma.io v0.9.0 | MIT License | github.com/jgthms/bulma*/@keyframes spinAround{from{transform:rotate(0deg)}to{transform:rotate(359deg)}}.is-unselectable,.tabs,.pagination-previous,.pagination-next,.pagination-link,.pagination-ellipsis,.breadcrumb,.file,.button,.modal-close,.delete{-webkit-touch-callout:none;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}.navbar-link:not(.is-arrowless)::after,.select:not(.is-multiple):not(.is-loading)::after{border:3px solid transparent;border-radius:2px;border-right:0;border-top:0;content:" ";display:block;height:.625em;margin-top:-.4375em;pointer-events:none;position:absolute;top:50%;transform:rotate(-45deg);transform-origin:center;width:.625em}.tabs:not(:last-child),.pagination:not(:last-child),.message:not(:last-child),.level:not(:last-child),.breadcrumb:not(:last-child),.highlight:not(:last-child),.block:not(:last-child),.title:not(:last-child),.subtitle:not(:last-child),.table-container:not(:last-child),.table:not(:last-child),.progress:not(:last-child),.notification:not(:last-child),.content:not(:last-child),.box:not(:last-child){margin-bottom:1.5rem}.modal-close,.delete{-moz-appearance:none;-webkit-appearance:none;background-color:rgba(10,10,10,.2);border:none;border-radius:290486px;cursor:pointer;pointer-events:auto;display:inline-block;flex-grow:0;flex-shrink:0;font-size:0;height:20px;max-height:20px;max-width:20px;min-height:20px;min-width:20px;outline:none;position:relative;vertical-align:top;width:20px}.modal-close::before,.delete::before,.modal-close::after,.delete::after{background-color:#fff;content:"";display:block;left:50%;position:absolute;top:50%;transform:translateX(-50%)translateY(-50%)rotate(45deg);transform-origin:center center}.modal-close::before,.delete::before{height:2px;width:50%}.modal-close::after,.delete::after{height:50%;width:2px}.modal-close:hover,.delete:hover,.modal-close:focus,.delete:focus{background-color:rgba(10,10,10,.3)}.modal-close:active,.delete:active{background-color:rgba(10,10,10,.4)}.is-small.modal-close,.is-small.delete{height:16px;max-height:16px;max-width:16px;min-height:16px;min-width:16px;width:16px}.is-medium.modal-close,.is-medium.delete{height:24px;max-height:24px;max-width:24px;min-height:24px;min-width:24px;width:24px}.is-large.modal-close,.is-large.delete{height:32px;max-height:32px;max-width:32px;min-height:32px;min-width:32px;width:32px}.control.is-loading::after,.select.is-loading::after,.loader,.button.is-loading::after{animation:spinAround 500ms infinite linear;border:2px solid #dbdbdb;border-radius:290486px;border-right-color:transparent;border-top-color:transparent;content:"";display:block;height:1em;position:relative;width:1em}.hero-video,.is-overlay,.modal-background,.modal,.image.is-square img,.image.is-square .has-ratio,.image.is-1by1 img,.image.is-1by1 .has-ratio,.image.is-5by4 img,.image.is-5by4 .has-ratio,.image.is-4by3 img,.image.is-4by3 .has-ratio,.image.is-3by2 img,.image.is-3by2 .has-ratio,.image.is-5by3 img,.image.is-5by3 .has-ratio,.image.is-16by9 img,.image.is-16by9 .has-ratio,.image.is-2by1 img,.image.is-2by1 .has-ratio,.image.is-3by1 img,.image.is-3by1 .has-ratio,.image.is-4by5 img,.image.is-4by5 .has-ratio,.image.is-3by4 img,.image.is-3by4 .has-ratio,.image.is-2by3 img,.image.is-2by3 .has-ratio,.image.is-3by5 img,.image.is-3by5 .has-ratio,.image.is-9by16 img,.image.is-9by16 .has-ratio,.image.is-1by2 img,.image.is-1by2 .has-ratio,.image.is-1by3 img,.image.is-1by3 .has-ratio{bottom:0;left:0;position:absolute;right:0;top:0}.pagination-previous,.pagination-next,.pagination-link,.pagination-ellipsis,.file-cta,.file-name,.select select,.textarea,.input,.button{-moz-appearance:none;-webkit-appearance:none;align-items:center;border:1px solid transparent;border-radius:4px;box-shadow:none;display:inline-flex;font-size:1rem;height:2.5em;justify-content:flex-start;line-height:1.5;padding-bottom:calc(0.5em - 1px);padding-left:calc(0.75em - 1px);padding-right:calc(0.75em - 1px);padding-top:calc(0.5em - 1px);position:relative;vertical-align:top}.pagination-previous:focus,.pagination-next:focus,.pagination-link:focus,.pagination-ellipsis:focus,.file-cta:focus,.file-name:focus,.select select:focus,.textarea:focus,.input:focus,.button:focus,.is-focused.pagination-previous,.is-focused.pagination-next,.is-focused.pagination-link,.is-focused.pagination-ellipsis,.is-focused.file-cta,.is-focused.file-name,.select select.is-focused,.is-focused.textarea,.is-focused.input,.is-focused.button,.pagination-previous:active,.pagination-next:active,.pagination-link:active,.pagination-ellipsis:active,.file-cta:active,.file-name:active,.select select:active,.textarea:active,.input:active,.button:active,.is-active.pagination-previous,.is-active.pagination-next,.is-active.pagination-link,.is-active.pagination-ellipsis,.is-active.file-cta,.is-active.file-name,.select select.is-active,.is-active.textarea,.is-active.input,.is-active.button{outline:none}[disabled].pagination-previous,[disabled].pagination-next,[disabled].pagination-link,[disabled].pagination-ellipsis,[disabled].file-cta,[disabled].file-name,.select select[disabled],[disabled].textarea,[disabled].input,[disabled].button,fieldset[disabled] .pagination-previous,fieldset[disabled] .pagination-next,fieldset[disabled] .pagination-link,fieldset[disabled] .pagination-ellipsis,fieldset[disabled] .file-cta,fieldset[disabled] .file-name,fieldset[disabled] .select select,.select fieldset[disabled] select,fieldset[disabled] .textarea,fieldset[disabled] .input,fieldset[disabled] .button{cursor:not-allowed}/*!minireset.css v0.0.6 | MIT License | github.com/jgthms/minireset.css*/html,body,p,ol,ul,li,dl,dt,dd,blockquote,figure,fieldset,legend,textarea,pre,iframe,hr,h1,h2,h3,h4,h5,h6{margin:0;padding:0}h1,h2,h3,h4,h5,h6{font-size:100%;font-weight:400}ul{list-style:none}button,input,select,textarea{margin:0}html{box-sizing:border-box}*,*::before,*::after{box-sizing:inherit}img,video{height:auto;max-width:100%}iframe{border:0}table{border-collapse:collapse;border-spacing:0}td,th{padding:0}td:not([align]),th:not([align]){text-align:inherit}html{background-color:#f2f2f2;font-size:12px;-moz-osx-font-smoothing:grayscale;-webkit-font-smoothing:antialiased;min-width:300px;overflow-x:hidden;overflow-y:scroll;text-rendering:optimizeLegibility;text-size-adjust:100%}article,aside,figure,footer,header,hgroup,section{display:block}body,button,input,select,textarea{font-family:BlinkMacSystemFont,-apple-system,verdana,geneva,segoe ui,roboto,oxygen,ubuntu,cantarell,fira sans,droid sans,helvetica neue,helvetica,arial,sans-serif}code,pre{-moz-osx-font-smoothing:auto;-webkit-font-smoothing:auto;font-family:monospace}body{color:#4a4a4a;font-size:1em;font-weight:400;line-height:1.5}a{color:#3273dc;cursor:pointer;text-decoration:none}a strong{color:currentColor}a:hover{color:#363636}code{background-color:#f5f5f5;color:#f14668;font-size:.875em;font-weight:400;padding:.25em .5em}hr{background-color:#f5f5f5;border:none;display:block;height:2px;margin:1.5rem 0}img{height:auto;max-width:100%}input[type=checkbox],input[type=radio]{vertical-align:baseline}small{font-size:.875em}span{font-style:inherit;font-weight:inherit}strong{color:#363636;font-weight:700}fieldset{border:none}pre{-webkit-overflow-scrolling:touch;background-color:#f5f5f5;color:#4a4a4a;font-size:.875em;overflow-x:auto;padding:1.25rem 1.5rem;white-space:pre;word-wrap:normal}pre code{background-color:transparent;color:currentColor;font-size:1em;padding:0}table td,table th{vertical-align:top}table td:not([align]),table th:not([align]){text-align:inherit}table th{color:#363636}.box{background-color:#fff;border-radius:6px;box-shadow:0 .5em 1em -.125em rgba(10,10,10,.1),0 0 0 1px rgba(10,10,10,.02);color:#4a4a4a;display:block;padding:1.25rem}a.box:hover,a.box:focus{box-shadow:0 .5em 1em -.125em rgba(10,10,10,.1),0 0 0 1px #3273dc}a.box:active{box-shadow:inset 0 1px 2px rgba(10,10,10,.2),0 0 0 1px #3273dc}.button{background-color:#fff;border-color:#dbdbdb;border-width:1px;color:#363636;cursor:pointer;justify-content:center;padding-bottom:calc(0.5em - 1px);padding-left:1em;padding-right:1em;padding-top:calc(0.5em - 1px);text-align:center;white-space:nowrap}.button strong{color:inherit}.button .icon,.button .icon.is-small,.button .icon.is-medium,.button .icon.is-large{height:1.5em;width:1.5em}.button .icon:first-child:not(:last-child){margin-left:calc(-.5em - 1px);margin-right:.25em}.button .icon:last-child:not(:first-child){margin-left:.25em;margin-right:calc(-.5em - 1px)}.button .icon:first-child:last-child{margin-left:calc(-.5em - 1px);margin-right:calc(-.5em - 1px)}.button:hover,.button.is-hovered{border-color:#b5b5b5;color:#363636}.button:focus,.button.is-focused{border-color:#3273dc;color:#363636}.button:focus:not(:active),.button.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(50,115,220,.25)}.button:active,.button.is-active{border-color:#4a4a4a;color:#363636}.button.is-text{background-color:transparent;border-color:transparent;color:#4a4a4a;text-decoration:underline}.button.is-text:hover,.button.is-text.is-hovered,.button.is-text:focus,.button.is-text.is-focused{background-color:#f5f5f5;color:#363636}.button.is-text:active,.button.is-text.is-active{background-color:#e8e8e8;color:#363636}.button.is-text[disabled],fieldset[disabled] .button.is-text{background-color:transparent;border-color:transparent;box-shadow:none}.button.is-white{background-color:#fff;border-color:transparent;color:#0a0a0a}.button.is-white:hover,.button.is-white.is-hovered{background-color:#f9f9f9;border-color:transparent;color:#0a0a0a}.button.is-white:focus,.button.is-white.is-focused{border-color:transparent;color:#0a0a0a}.button.is-white:focus:not(:active),.button.is-white.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(255,255,255,.25)}.button.is-white:active,.button.is-white.is-active{background-color:#f2f2f2;border-color:transparent;color:#0a0a0a}.button.is-white[disabled],fieldset[disabled] .button.is-white{background-color:#fff;border-color:transparent;box-shadow:none}.button.is-white.is-inverted{background-color:#0a0a0a;color:#fff}.button.is-white.is-inverted:hover,.button.is-white.is-inverted.is-hovered{background-color:#000}.button.is-white.is-inverted[disabled],fieldset[disabled] .button.is-white.is-inverted{background-color:#0a0a0a;border-color:transparent;box-shadow:none;color:#fff}.button.is-white.is-loading::after{border-color:transparent transparent #0a0a0a #0a0a0a!important}.button.is-white.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-white.is-outlined:hover,.button.is-white.is-outlined.is-hovered,.button.is-white.is-outlined:focus,.button.is-white.is-outlined.is-focused{background-color:#fff;border-color:#fff;color:#0a0a0a}.button.is-white.is-outlined.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-white.is-outlined.is-loading:hover::after,.button.is-white.is-outlined.is-loading.is-hovered::after,.button.is-white.is-outlined.is-loading:focus::after,.button.is-white.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #0a0a0a #0a0a0a!important}.button.is-white.is-outlined[disabled],fieldset[disabled] .button.is-white.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-white.is-inverted.is-outlined{background-color:transparent;border-color:#0a0a0a;color:#0a0a0a}.button.is-white.is-inverted.is-outlined:hover,.button.is-white.is-inverted.is-outlined.is-hovered,.button.is-white.is-inverted.is-outlined:focus,.button.is-white.is-inverted.is-outlined.is-focused{background-color:#0a0a0a;color:#fff}.button.is-white.is-inverted.is-outlined.is-loading:hover::after,.button.is-white.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-white.is-inverted.is-outlined.is-loading:focus::after,.button.is-white.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-white.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-white.is-inverted.is-outlined{background-color:transparent;border-color:#0a0a0a;box-shadow:none;color:#0a0a0a}.button.is-black{background-color:#0a0a0a;border-color:transparent;color:#fff}.button.is-black:hover,.button.is-black.is-hovered{background-color:#040404;border-color:transparent;color:#fff}.button.is-black:focus,.button.is-black.is-focused{border-color:transparent;color:#fff}.button.is-black:focus:not(:active),.button.is-black.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(10,10,10,.25)}.button.is-black:active,.button.is-black.is-active{background-color:#000;border-color:transparent;color:#fff}.button.is-black[disabled],fieldset[disabled] .button.is-black{background-color:#0a0a0a;border-color:transparent;box-shadow:none}.button.is-black.is-inverted{background-color:#fff;color:#0a0a0a}.button.is-black.is-inverted:hover,.button.is-black.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-black.is-inverted[disabled],fieldset[disabled] .button.is-black.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#0a0a0a}.button.is-black.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-black.is-outlined{background-color:transparent;border-color:#0a0a0a;color:#0a0a0a}.button.is-black.is-outlined:hover,.button.is-black.is-outlined.is-hovered,.button.is-black.is-outlined:focus,.button.is-black.is-outlined.is-focused{background-color:#0a0a0a;border-color:#0a0a0a;color:#fff}.button.is-black.is-outlined.is-loading::after{border-color:transparent transparent #0a0a0a #0a0a0a!important}.button.is-black.is-outlined.is-loading:hover::after,.button.is-black.is-outlined.is-loading.is-hovered::after,.button.is-black.is-outlined.is-loading:focus::after,.button.is-black.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-black.is-outlined[disabled],fieldset[disabled] .button.is-black.is-outlined{background-color:transparent;border-color:#0a0a0a;box-shadow:none;color:#0a0a0a}.button.is-black.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-black.is-inverted.is-outlined:hover,.button.is-black.is-inverted.is-outlined.is-hovered,.button.is-black.is-inverted.is-outlined:focus,.button.is-black.is-inverted.is-outlined.is-focused{background-color:#fff;color:#0a0a0a}.button.is-black.is-inverted.is-outlined.is-loading:hover::after,.button.is-black.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-black.is-inverted.is-outlined.is-loading:focus::after,.button.is-black.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #0a0a0a #0a0a0a!important}.button.is-black.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-black.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-light{background-color:#f5f5f5;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-light:hover,.button.is-light.is-hovered{background-color:#eee;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-light:focus,.button.is-light.is-focused{border-color:transparent;color:rgba(0,0,0,.7)}.button.is-light:focus:not(:active),.button.is-light.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(245,245,245,.25)}.button.is-light:active,.button.is-light.is-active{background-color:#e8e8e8;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-light[disabled],fieldset[disabled] .button.is-light{background-color:#f5f5f5;border-color:transparent;box-shadow:none}.button.is-light.is-inverted{background-color:rgba(0,0,0,.7);color:#f5f5f5}.button.is-light.is-inverted:hover,.button.is-light.is-inverted.is-hovered{background-color:rgba(0,0,0,.7)}.button.is-light.is-inverted[disabled],fieldset[disabled] .button.is-light.is-inverted{background-color:rgba(0,0,0,.7);border-color:transparent;box-shadow:none;color:#f5f5f5}.button.is-light.is-loading::after{border-color:transparent transparent rgba(0,0,0,.7)rgba(0,0,0,.7)!important}.button.is-light.is-outlined{background-color:transparent;border-color:#f5f5f5;color:#f5f5f5}.button.is-light.is-outlined:hover,.button.is-light.is-outlined.is-hovered,.button.is-light.is-outlined:focus,.button.is-light.is-outlined.is-focused{background-color:#f5f5f5;border-color:#f5f5f5;color:rgba(0,0,0,.7)}.button.is-light.is-outlined.is-loading::after{border-color:transparent transparent #f5f5f5 #f5f5f5!important}.button.is-light.is-outlined.is-loading:hover::after,.button.is-light.is-outlined.is-loading.is-hovered::after,.button.is-light.is-outlined.is-loading:focus::after,.button.is-light.is-outlined.is-loading.is-focused::after{border-color:transparent transparent rgba(0,0,0,.7)rgba(0,0,0,.7)!important}.button.is-light.is-outlined[disabled],fieldset[disabled] .button.is-light.is-outlined{background-color:transparent;border-color:#f5f5f5;box-shadow:none;color:#f5f5f5}.button.is-light.is-inverted.is-outlined{background-color:transparent;border-color:rgba(0,0,0,.7);color:rgba(0,0,0,.7)}.button.is-light.is-inverted.is-outlined:hover,.button.is-light.is-inverted.is-outlined.is-hovered,.button.is-light.is-inverted.is-outlined:focus,.button.is-light.is-inverted.is-outlined.is-focused{background-color:rgba(0,0,0,.7);color:#f5f5f5}.button.is-light.is-inverted.is-outlined.is-loading:hover::after,.button.is-light.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-light.is-inverted.is-outlined.is-loading:focus::after,.button.is-light.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #f5f5f5 #f5f5f5!important}.button.is-light.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-light.is-inverted.is-outlined{background-color:transparent;border-color:rgba(0,0,0,.7);box-shadow:none;color:rgba(0,0,0,.7)}.button.is-dark{background-color:#363636;border-color:transparent;color:#fff}.button.is-dark:hover,.button.is-dark.is-hovered{background-color:#2f2f2f;border-color:transparent;color:#fff}.button.is-dark:focus,.button.is-dark.is-focused{border-color:transparent;color:#fff}.button.is-dark:focus:not(:active),.button.is-dark.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(54,54,54,.25)}.button.is-dark:active,.button.is-dark.is-active{background-color:#292929;border-color:transparent;color:#fff}.button.is-dark[disabled],fieldset[disabled] .button.is-dark{background-color:#363636;border-color:transparent;box-shadow:none}.button.is-dark.is-inverted{background-color:#fff;color:#363636}.button.is-dark.is-inverted:hover,.button.is-dark.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-dark.is-inverted[disabled],fieldset[disabled] .button.is-dark.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#363636}.button.is-dark.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-dark.is-outlined{background-color:transparent;border-color:#363636;color:#363636}.button.is-dark.is-outlined:hover,.button.is-dark.is-outlined.is-hovered,.button.is-dark.is-outlined:focus,.button.is-dark.is-outlined.is-focused{background-color:#363636;border-color:#363636;color:#fff}.button.is-dark.is-outlined.is-loading::after{border-color:transparent transparent #363636 #363636!important}.button.is-dark.is-outlined.is-loading:hover::after,.button.is-dark.is-outlined.is-loading.is-hovered::after,.button.is-dark.is-outlined.is-loading:focus::after,.button.is-dark.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-dark.is-outlined[disabled],fieldset[disabled] .button.is-dark.is-outlined{background-color:transparent;border-color:#363636;box-shadow:none;color:#363636}.button.is-dark.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-dark.is-inverted.is-outlined:hover,.button.is-dark.is-inverted.is-outlined.is-hovered,.button.is-dark.is-inverted.is-outlined:focus,.button.is-dark.is-inverted.is-outlined.is-focused{background-color:#fff;color:#363636}.button.is-dark.is-inverted.is-outlined.is-loading:hover::after,.button.is-dark.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-dark.is-inverted.is-outlined.is-loading:focus::after,.button.is-dark.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #363636 #363636!important}.button.is-dark.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-dark.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-primary{background-color:#00d1b2;border-color:transparent;color:#fff}.button.is-primary:hover,.button.is-primary.is-hovered{background-color:#00c4a7;border-color:transparent;color:#fff}.button.is-primary:focus,.button.is-primary.is-focused{border-color:transparent;color:#fff}.button.is-primary:focus:not(:active),.button.is-primary.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(0,209,178,.25)}.button.is-primary:active,.button.is-primary.is-active{background-color:#00b89c;border-color:transparent;color:#fff}.button.is-primary[disabled],fieldset[disabled] .button.is-primary{background-color:#00d1b2;border-color:transparent;box-shadow:none}.button.is-primary.is-inverted{background-color:#fff;color:#00d1b2}.button.is-primary.is-inverted:hover,.button.is-primary.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-primary.is-inverted[disabled],fieldset[disabled] .button.is-primary.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#00d1b2}.button.is-primary.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-primary.is-outlined{background-color:transparent;border-color:#00d1b2;color:#00d1b2}.button.is-primary.is-outlined:hover,.button.is-primary.is-outlined.is-hovered,.button.is-primary.is-outlined:focus,.button.is-primary.is-outlined.is-focused{background-color:#00d1b2;border-color:#00d1b2;color:#fff}.button.is-primary.is-outlined.is-loading::after{border-color:transparent transparent #00d1b2 #00d1b2!important}.button.is-primary.is-outlined.is-loading:hover::after,.button.is-primary.is-outlined.is-loading.is-hovered::after,.button.is-primary.is-outlined.is-loading:focus::after,.button.is-primary.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-primary.is-outlined[disabled],fieldset[disabled] .button.is-primary.is-outlined{background-color:transparent;border-color:#00d1b2;box-shadow:none;color:#00d1b2}.button.is-primary.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-primary.is-inverted.is-outlined:hover,.button.is-primary.is-inverted.is-outlined.is-hovered,.button.is-primary.is-inverted.is-outlined:focus,.button.is-primary.is-inverted.is-outlined.is-focused{background-color:#fff;color:#00d1b2}.button.is-primary.is-inverted.is-outlined.is-loading:hover::after,.button.is-primary.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-primary.is-inverted.is-outlined.is-loading:focus::after,.button.is-primary.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #00d1b2 #00d1b2!important}.button.is-primary.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-primary.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-primary.is-light{background-color:#ebfffc;color:#00947e}.button.is-primary.is-light:hover,.button.is-primary.is-light.is-hovered{background-color:#defffa;border-color:transparent;color:#00947e}.button.is-primary.is-light:active,.button.is-primary.is-light.is-active{background-color:#d1fff8;border-color:transparent;color:#00947e}.button.is-link{background-color:#3273dc;border-color:transparent;color:#fff}.button.is-link:hover,.button.is-link.is-hovered{background-color:#276cda;border-color:transparent;color:#fff}.button.is-link:focus,.button.is-link.is-focused{border-color:transparent;color:#fff}.button.is-link:focus:not(:active),.button.is-link.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(50,115,220,.25)}.button.is-link:active,.button.is-link.is-active{background-color:#2366d1;border-color:transparent;color:#fff}.button.is-link[disabled],fieldset[disabled] .button.is-link{background-color:#3273dc;border-color:transparent;box-shadow:none}.button.is-link.is-inverted{background-color:#fff;color:#3273dc}.button.is-link.is-inverted:hover,.button.is-link.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-link.is-inverted[disabled],fieldset[disabled] .button.is-link.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#3273dc}.button.is-link.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-link.is-outlined{background-color:transparent;border-color:#3273dc;color:#3273dc}.button.is-link.is-outlined:hover,.button.is-link.is-outlined.is-hovered,.button.is-link.is-outlined:focus,.button.is-link.is-outlined.is-focused{background-color:#3273dc;border-color:#3273dc;color:#fff}.button.is-link.is-outlined.is-loading::after{border-color:transparent transparent #3273dc #3273dc!important}.button.is-link.is-outlined.is-loading:hover::after,.button.is-link.is-outlined.is-loading.is-hovered::after,.button.is-link.is-outlined.is-loading:focus::after,.button.is-link.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-link.is-outlined[disabled],fieldset[disabled] .button.is-link.is-outlined{background-color:transparent;border-color:#3273dc;box-shadow:none;color:#3273dc}.button.is-link.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-link.is-inverted.is-outlined:hover,.button.is-link.is-inverted.is-outlined.is-hovered,.button.is-link.is-inverted.is-outlined:focus,.button.is-link.is-inverted.is-outlined.is-focused{background-color:#fff;color:#3273dc}.button.is-link.is-inverted.is-outlined.is-loading:hover::after,.button.is-link.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-link.is-inverted.is-outlined.is-loading:focus::after,.button.is-link.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #3273dc #3273dc!important}.button.is-link.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-link.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-link.is-light{background-color:#eef3fc;color:#2160c4}.button.is-link.is-light:hover,.button.is-link.is-light.is-hovered{background-color:#e3ecfa;border-color:transparent;color:#2160c4}.button.is-link.is-light:active,.button.is-link.is-light.is-active{background-color:#d8e4f8;border-color:transparent;color:#2160c4}.button.is-info{background-color:#3298dc;border-color:transparent;color:#fff}.button.is-info:hover,.button.is-info.is-hovered{background-color:#2793da;border-color:transparent;color:#fff}.button.is-info:focus,.button.is-info.is-focused{border-color:transparent;color:#fff}.button.is-info:focus:not(:active),.button.is-info.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(50,152,220,.25)}.button.is-info:active,.button.is-info.is-active{background-color:#238cd1;border-color:transparent;color:#fff}.button.is-info[disabled],fieldset[disabled] .button.is-info{background-color:#3298dc;border-color:transparent;box-shadow:none}.button.is-info.is-inverted{background-color:#fff;color:#3298dc}.button.is-info.is-inverted:hover,.button.is-info.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-info.is-inverted[disabled],fieldset[disabled] .button.is-info.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#3298dc}.button.is-info.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-info.is-outlined{background-color:transparent;border-color:#3298dc;color:#3298dc}.button.is-info.is-outlined:hover,.button.is-info.is-outlined.is-hovered,.button.is-info.is-outlined:focus,.button.is-info.is-outlined.is-focused{background-color:#3298dc;border-color:#3298dc;color:#fff}.button.is-info.is-outlined.is-loading::after{border-color:transparent transparent #3298dc #3298dc!important}.button.is-info.is-outlined.is-loading:hover::after,.button.is-info.is-outlined.is-loading.is-hovered::after,.button.is-info.is-outlined.is-loading:focus::after,.button.is-info.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-info.is-outlined[disabled],fieldset[disabled] .button.is-info.is-outlined{background-color:transparent;border-color:#3298dc;box-shadow:none;color:#3298dc}.button.is-info.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-info.is-inverted.is-outlined:hover,.button.is-info.is-inverted.is-outlined.is-hovered,.button.is-info.is-inverted.is-outlined:focus,.button.is-info.is-inverted.is-outlined.is-focused{background-color:#fff;color:#3298dc}.button.is-info.is-inverted.is-outlined.is-loading:hover::after,.button.is-info.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-info.is-inverted.is-outlined.is-loading:focus::after,.button.is-info.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #3298dc #3298dc!important}.button.is-info.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-info.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-info.is-light{background-color:#eef6fc;color:#1d72aa}.button.is-info.is-light:hover,.button.is-info.is-light.is-hovered{background-color:#e3f1fa;border-color:transparent;color:#1d72aa}.button.is-info.is-light:active,.button.is-info.is-light.is-active{background-color:#d8ebf8;border-color:transparent;color:#1d72aa}.button.is-success{background-color:#48c774;border-color:transparent;color:#fff}.button.is-success:hover,.button.is-success.is-hovered{background-color:#3ec46d;border-color:transparent;color:#fff}.button.is-success:focus,.button.is-success.is-focused{border-color:transparent;color:#fff}.button.is-success:focus:not(:active),.button.is-success.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(72,199,116,.25)}.button.is-success:active,.button.is-success.is-active{background-color:#3abb67;border-color:transparent;color:#fff}.button.is-success[disabled],fieldset[disabled] .button.is-success{background-color:#48c774;border-color:transparent;box-shadow:none}.button.is-success.is-inverted{background-color:#fff;color:#48c774}.button.is-success.is-inverted:hover,.button.is-success.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-success.is-inverted[disabled],fieldset[disabled] .button.is-success.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#48c774}.button.is-success.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-success.is-outlined{background-color:transparent;border-color:#48c774;color:#48c774}.button.is-success.is-outlined:hover,.button.is-success.is-outlined.is-hovered,.button.is-success.is-outlined:focus,.button.is-success.is-outlined.is-focused{background-color:#48c774;border-color:#48c774;color:#fff}.button.is-success.is-outlined.is-loading::after{border-color:transparent transparent #48c774 #48c774!important}.button.is-success.is-outlined.is-loading:hover::after,.button.is-success.is-outlined.is-loading.is-hovered::after,.button.is-success.is-outlined.is-loading:focus::after,.button.is-success.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-success.is-outlined[disabled],fieldset[disabled] .button.is-success.is-outlined{background-color:transparent;border-color:#48c774;box-shadow:none;color:#48c774}.button.is-success.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-success.is-inverted.is-outlined:hover,.button.is-success.is-inverted.is-outlined.is-hovered,.button.is-success.is-inverted.is-outlined:focus,.button.is-success.is-inverted.is-outlined.is-focused{background-color:#fff;color:#48c774}.button.is-success.is-inverted.is-outlined.is-loading:hover::after,.button.is-success.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-success.is-inverted.is-outlined.is-loading:focus::after,.button.is-success.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #48c774 #48c774!important}.button.is-success.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-success.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-success.is-light{background-color:#effaf3;color:#257942}.button.is-success.is-light:hover,.button.is-success.is-light.is-hovered{background-color:#e6f7ec;border-color:transparent;color:#257942}.button.is-success.is-light:active,.button.is-success.is-light.is-active{background-color:#dcf4e4;border-color:transparent;color:#257942}.button.is-warning{background-color:#ffdd57;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-warning:hover,.button.is-warning.is-hovered{background-color:#ffdb4a;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-warning:focus,.button.is-warning.is-focused{border-color:transparent;color:rgba(0,0,0,.7)}.button.is-warning:focus:not(:active),.button.is-warning.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(255,221,87,.25)}.button.is-warning:active,.button.is-warning.is-active{background-color:#ffd83d;border-color:transparent;color:rgba(0,0,0,.7)}.button.is-warning[disabled],fieldset[disabled] .button.is-warning{background-color:#ffdd57;border-color:transparent;box-shadow:none}.button.is-warning.is-inverted{background-color:rgba(0,0,0,.7);color:#ffdd57}.button.is-warning.is-inverted:hover,.button.is-warning.is-inverted.is-hovered{background-color:rgba(0,0,0,.7)}.button.is-warning.is-inverted[disabled],fieldset[disabled] .button.is-warning.is-inverted{background-color:rgba(0,0,0,.7);border-color:transparent;box-shadow:none;color:#ffdd57}.button.is-warning.is-loading::after{border-color:transparent transparent rgba(0,0,0,.7)rgba(0,0,0,.7)!important}.button.is-warning.is-outlined{background-color:transparent;border-color:#ffdd57;color:#ffdd57}.button.is-warning.is-outlined:hover,.button.is-warning.is-outlined.is-hovered,.button.is-warning.is-outlined:focus,.button.is-warning.is-outlined.is-focused{background-color:#ffdd57;border-color:#ffdd57;color:rgba(0,0,0,.7)}.button.is-warning.is-outlined.is-loading::after{border-color:transparent transparent #ffdd57 #ffdd57!important}.button.is-warning.is-outlined.is-loading:hover::after,.button.is-warning.is-outlined.is-loading.is-hovered::after,.button.is-warning.is-outlined.is-loading:focus::after,.button.is-warning.is-outlined.is-loading.is-focused::after{border-color:transparent transparent rgba(0,0,0,.7)rgba(0,0,0,.7)!important}.button.is-warning.is-outlined[disabled],fieldset[disabled] .button.is-warning.is-outlined{background-color:transparent;border-color:#ffdd57;box-shadow:none;color:#ffdd57}.button.is-warning.is-inverted.is-outlined{background-color:transparent;border-color:rgba(0,0,0,.7);color:rgba(0,0,0,.7)}.button.is-warning.is-inverted.is-outlined:hover,.button.is-warning.is-inverted.is-outlined.is-hovered,.button.is-warning.is-inverted.is-outlined:focus,.button.is-warning.is-inverted.is-outlined.is-focused{background-color:rgba(0,0,0,.7);color:#ffdd57}.button.is-warning.is-inverted.is-outlined.is-loading:hover::after,.button.is-warning.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-warning.is-inverted.is-outlined.is-loading:focus::after,.button.is-warning.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #ffdd57 #ffdd57!important}.button.is-warning.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-warning.is-inverted.is-outlined{background-color:transparent;border-color:rgba(0,0,0,.7);box-shadow:none;color:rgba(0,0,0,.7)}.button.is-warning.is-light{background-color:#fffbeb;color:#947600}.button.is-warning.is-light:hover,.button.is-warning.is-light.is-hovered{background-color:#fff8de;border-color:transparent;color:#947600}.button.is-warning.is-light:active,.button.is-warning.is-light.is-active{background-color:#fff6d1;border-color:transparent;color:#947600}.button.is-danger{background-color:#f14668;border-color:transparent;color:#fff}.button.is-danger:hover,.button.is-danger.is-hovered{background-color:#f03a5f;border-color:transparent;color:#fff}.button.is-danger:focus,.button.is-danger.is-focused{border-color:transparent;color:#fff}.button.is-danger:focus:not(:active),.button.is-danger.is-focused:not(:active){box-shadow:0 0 0 .125em rgba(241,70,104,.25)}.button.is-danger:active,.button.is-danger.is-active{background-color:#ef2e55;border-color:transparent;color:#fff}.button.is-danger[disabled],fieldset[disabled] .button.is-danger{background-color:#f14668;border-color:transparent;box-shadow:none}.button.is-danger.is-inverted{background-color:#fff;color:#f14668}.button.is-danger.is-inverted:hover,.button.is-danger.is-inverted.is-hovered{background-color:#f2f2f2}.button.is-danger.is-inverted[disabled],fieldset[disabled] .button.is-danger.is-inverted{background-color:#fff;border-color:transparent;box-shadow:none;color:#f14668}.button.is-danger.is-loading::after{border-color:transparent transparent #fff #fff!important}.button.is-danger.is-outlined{background-color:transparent;border-color:#f14668;color:#f14668}.button.is-danger.is-outlined:hover,.button.is-danger.is-outlined.is-hovered,.button.is-danger.is-outlined:focus,.button.is-danger.is-outlined.is-focused{background-color:#f14668;border-color:#f14668;color:#fff}.button.is-danger.is-outlined.is-loading::after{border-color:transparent transparent #f14668 #f14668!important}.button.is-danger.is-outlined.is-loading:hover::after,.button.is-danger.is-outlined.is-loading.is-hovered::after,.button.is-danger.is-outlined.is-loading:focus::after,.button.is-danger.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #fff #fff!important}.button.is-danger.is-outlined[disabled],fieldset[disabled] .button.is-danger.is-outlined{background-color:transparent;border-color:#f14668;box-shadow:none;color:#f14668}.button.is-danger.is-inverted.is-outlined{background-color:transparent;border-color:#fff;color:#fff}.button.is-danger.is-inverted.is-outlined:hover,.button.is-danger.is-inverted.is-outlined.is-hovered,.button.is-danger.is-inverted.is-outlined:focus,.button.is-danger.is-inverted.is-outlined.is-focused{background-color:#fff;color:#f14668}.button.is-danger.is-inverted.is-outlined.is-loading:hover::after,.button.is-danger.is-inverted.is-outlined.is-loading.is-hovered::after,.button.is-danger.is-inverted.is-outlined.is-loading:focus::after,.button.is-danger.is-inverted.is-outlined.is-loading.is-focused::after{border-color:transparent transparent #f14668 #f14668!important}.button.is-danger.is-inverted.is-outlined[disabled],fieldset[disabled] .button.is-danger.is-inverted.is-outlined{background-color:transparent;border-color:#fff;box-shadow:none;color:#fff}.button.is-danger.is-light{background-color:#feecf0;color:#cc0f35}.button.is-danger.is-light:hover,.button.is-danger.is-light.is-hovered{background-color:#fde0e6;border-color:transparent;color:#cc0f35}.button.is-danger.is-light:active,.button.is-danger.is-light.is-active{background-color:#fcd4dc;border-color:transparent;color:#cc0f35}.button.is-small{border-radius:2px;font-size:.75rem}.button.is-normal{font-size:1rem}.button.is-medium{font-size:1.25rem}.button.is-large{font-size:1.5rem}.button[disabled],fieldset[disabled] .button{background-color:#fff;border-color:#dbdbdb;box-shadow:none;opacity:.5}.button.is-fullwidth{display:flex;width:100%}.button.is-loading{color:transparent!important;pointer-events:none}.button.is-loading::after{position:absolute;left:calc(50% - (1em/2));top:calc(50% - (1em/2));position:absolute!important}.button.is-static{background-color:#f5f5f5;border-color:#dbdbdb;color:#7a7a7a;box-shadow:none;pointer-events:none}.button.is-rounded{border-radius:290486px;padding-left:calc(1em + 0.25em);padding-right:calc(1em + 0.25em)}.buttons{align-items:center;display:flex;flex-wrap:wrap;justify-content:flex-start}.buttons .button{margin-bottom:.5rem}.buttons .button:not(:last-child):not(.is-fullwidth){margin-right:.5rem}.buttons:last-child{margin-bottom:-.5rem}.buttons:not(:last-child){margin-bottom:1rem}.buttons.are-small .button:not(.is-normal):not(.is-medium):not(.is-large){border-radius:2px;font-size:.75rem}.buttons.are-medium .button:not(.is-small):not(.is-normal):not(.is-large){font-size:1.25rem}.buttons.are-large .button:not(.is-small):not(.is-normal):not(.is-medium){font-size:1.5rem}.buttons.has-addons .button:not(:first-child){border-bottom-left-radius:0;border-top-left-radius:0}.buttons.has-addons .button:not(:last-child){border-bottom-right-radius:0;border-top-right-radius:0;margin-right:-1px}.buttons.has-addons .button:last-child{margin-right:0}.buttons.has-addons .button:hover,.buttons.has-addons .button.is-hovered{z-index:2}.buttons.has-addons .button:focus,.buttons.has-addons .button.is-focused,.buttons.has-addons .button:active,.buttons.has-addons .button.is-active,.buttons.has-addons .button.is-selected{z-index:3}.buttons.has-addons .button:focus:hover,.buttons.has-addons .button.is-focused:hover,.buttons.has-addons .button:active:hover,.buttons.has-addons .button.is-active:hover,.buttons.has-addons .button.is-selected:hover{z-index:4}.buttons.has-addons .button.is-expanded{flex-grow:1;flex-shrink:1}.buttons.is-centered{justify-content:center}.buttons.is-centered:not(.has-addons) .button:not(.is-fullwidth){margin-left:.25rem;margin-right:.25rem}.buttons.is-right{justify-content:flex-end}.buttons.is-right:not(.has-addons) .button:not(.is-fullwidth){margin-left:.25rem;margin-right:.25rem}.container{flex-grow:1;margin:0 auto;position:relative;width:auto}.container.is-fluid{max-width:none;padding-left:32px;padding-right:32px;width:100%}@media screen and (min-width:1024px){.container{max-width:960px}}@media screen and (max-width:1215px){.container.is-widescreen{max-width:1152px}}@media screen and (max-width:1407px){.container.is-fullhd{max-width:1344px}}@media screen and (min-width:1216px){.container{max-width:1152px}}@media screen and (min-width:1408px){.container{max-width:1344px}}.content li+li{margin-top:.25em}.content p:not(:last-child),.content dl:not(:last-child),.content ol:not(:last-child),.content ul:not(:last-child),.content blockquote:not(:last-child),.content pre:not(:last-child),.content table:not(:last-child){margin-bottom:1em}.content h1,.content h2,.content h3,.content h4,.content h5,.content h6{color:#363636;font-weight:600;line-height:1.125}.content h1{font-size:2em;margin-bottom:.5em}.content h1:not(:first-child){margin-top:1em}.content h2{font-size:1.75em;margin-bottom:.5714em}.content h2:not(:first-child){margin-top:1.1428em}.content h3{font-size:1.5em;margin-bottom:.6666em}.content h3:not(:first-child){margin-top:1.3333em}.content h4{font-size:1.25em;margin-bottom:.8em}.content h5{font-size:1.125em;margin-bottom:.8888em}.content h6{font-size:1em;margin-bottom:1em}.content blockquote{background-color:#f5f5f5;border-left:5px solid #dbdbdb;padding:1.25em 1.5em}.content ol{list-style-position:outside;margin-left:2em;margin-top:1em}.content ol:not([type]){list-style-type:decimal}.content ol:not([type]).is-lower-alpha{list-style-type:lower-alpha}.content ol:not([type]).is-lower-roman{list-style-type:lower-roman}.content ol:not([type]).is-upper-alpha{list-style-type:upper-alpha}.content ol:not([type]).is-upper-roman{list-style-type:upper-roman}.content ul{list-style:disc outside;margin-left:2em;margin-top:1em}.content ul ul{list-style-type:circle;margin-top:.5em}.content ul ul ul{list-style-type:square}.content dd{margin-left:2em}.content figure{margin-left:2em;margin-right:2em;text-align:center}.content figure:not(:first-child){margin-top:2em}.content figure:not(:last-child){margin-bottom:2em}.content figure img{display:inline-block}.content figure figcaption{font-style:italic}.content pre{-webkit-overflow-scrolling:touch;overflow-x:auto;padding:1.25em 1.5em;white-space:pre;word-wrap:normal}.content sup,.content sub{font-size:75%}.content table{width:100%}.content table td,.content table th{border:1px solid #dbdbdb;border-width:0 0 1px;padding:.5em .75em;vertical-align:top}.content table th{color:#363636}.content table th:not([align]){text-align:inherit}.content table thead td,.content table thead th{border-width:0 0 2px;color:#363636}.content table tfoot td,.content table tfoot th{border-width:2px 0 0;color:#363636}.content table tbody tr:last-child td,.content table tbody tr:last-child th{border-bottom-width:0}.content .tabs li+li{margin-top:0}.content.is-small{font-size:.75rem}.content.is-medium{font-size:1.25rem}.content.is-large{font-size:1.5rem}.icon{align-items:center;display:inline-flex;justify-content:center;height:1.5rem;width:1.5rem}.icon.is-small{height:1rem;width:1rem}.icon.is-medium{height:2rem;width:2rem}.icon.is-large{height:3rem;width:3rem}.image{display:block;position:relative}.image img{display:block;height:auto;width:100%}.image img.is-rounded{border-radius:290486px}.image.is-fullwidth{width:100%}.image.is-square img,.image.is-square .has-ratio,.image.is-1by1 img,.image.is-1by1 .has-ratio,.image.is-5by4 img,.image.is-5by4 .has-ratio,.image.is-4by3 img,.image.is-4by3 .has-ratio,.image.is-3by2 img,.image.is-3by2 .has-ratio,.image.is-5by3 img,.image.is-5by3 .has-ratio,.image.is-16by9 img,.image.is-16by9 .has-ratio,.image.is-2by1 img,.image.is-2by1 .has-ratio,.image.is-3by1 img,.image.is-3by1 .has-ratio,.image.is-4by5 img,.image.is-4by5 .has-ratio,.image.is-3by4 img,.image.is-3by4 .has-ratio,.image.is-2by3 img,.image.is-2by3 .has-ratio,.image.is-3by5 img,.image.is-3by5 .has-ratio,.image.is-9by16 img,.image.is-9by16 .has-ratio,.image.is-1by2 img,.image.is-1by2 .has-ratio,.image.is-1by3 img,.image.is-1by3 .has-ratio{height:100%;width:100%}.image.is-square,.image.is-1by1{padding-top:100%}.image.is-5by4{padding-top:80%}.image.is-4by3{padding-top:75%}.image.is-3by2{padding-top:66.6666%}.image.is-5by3{padding-top:60%}.image.is-16by9{padding-top:56.25%}.image.is-2by1{padding-top:50%}.image.is-3by1{padding-top:33.3333%}.image.is-4by5{padding-top:125%}.image.is-3by4{padding-top:133.3333%}.image.is-2by3{padding-top:150%}.image.is-3by5{padding-top:166.6666%}.image.is-9by16{padding-top:177.7777%}.image.is-1by2{padding-top:200%}.image.is-1by3{padding-top:300%}.image.is-16x16{height:16px;width:16px}.image.is-24x24{height:24px;width:24px}.image.is-32x32{height:32px;width:32px}.image.is-48x48{height:48px;width:48px}.image.is-64x64{height:64px;width:64px}.image.is-96x96{height:96px;width:96px}.image.is-128x128{height:128px;width:128px}.notification{background-color:#f5f5f5;border-radius:4px;position:relative;padding:1.25rem 2.5rem 1.25rem 1.5rem}.notification a:not(.button):not(.dropdown-item){color:currentColor;text-decoration:underline}.notification strong{color:currentColor}.notification code,.notification pre{background:#fff}.notification pre code{background:0 0}.notification>.delete{right:.5rem;position:absolute;top:.5rem}.notification .title,.notification .subtitle,.notification .content{color:currentColor}.notification.is-white{background-color:#fff;color:#0a0a0a}.notification.is-black{background-color:#0a0a0a;color:#fff}.notification.is-light{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.notification.is-dark{background-color:#363636;color:#fff}.notification.is-primary{background-color:#00d1b2;color:#fff}.notification.is-primary.is-light{background-color:#ebfffc;color:#00947e}.notification.is-link{background-color:#3273dc;color:#fff}.notification.is-link.is-light{background-color:#eef3fc;color:#2160c4}.notification.is-info{background-color:#3298dc;color:#fff}.notification.is-info.is-light{background-color:#eef6fc;color:#1d72aa}.notification.is-success{background-color:#48c774;color:#fff}.notification.is-success.is-light{background-color:#effaf3;color:#257942}.notification.is-warning{background-color:#ffdd57;color:rgba(0,0,0,.7)}.notification.is-warning.is-light{background-color:#fffbeb;color:#947600}.notification.is-danger{background-color:#f14668;color:#fff}.notification.is-danger.is-light{background-color:#feecf0;color:#cc0f35}.progress{-moz-appearance:none;-webkit-appearance:none;border:none;border-radius:290486px;display:block;height:1rem;overflow:hidden;padding:0;width:100%}.progress::-webkit-progress-bar{background-color:#ededed}.progress::-webkit-progress-value{background-color:#4a4a4a}.progress::-moz-progress-bar{background-color:#4a4a4a}.progress::-ms-fill{background-color:#4a4a4a;border:none}.progress.is-white::-webkit-progress-value{background-color:#fff}.progress.is-white::-moz-progress-bar{background-color:#fff}.progress.is-white::-ms-fill{background-color:#fff}.progress.is-white:indeterminate{background-image:linear-gradient(to right,#fff 30%,#ededed 30%)}.progress.is-black::-webkit-progress-value{background-color:#0a0a0a}.progress.is-black::-moz-progress-bar{background-color:#0a0a0a}.progress.is-black::-ms-fill{background-color:#0a0a0a}.progress.is-black:indeterminate{background-image:linear-gradient(to right,#0a0a0a 30%,#ededed 30%)}.progress.is-light::-webkit-progress-value{background-color:#f5f5f5}.progress.is-light::-moz-progress-bar{background-color:#f5f5f5}.progress.is-light::-ms-fill{background-color:#f5f5f5}.progress.is-light:indeterminate{background-image:linear-gradient(to right,#f5f5f5 30%,#ededed 30%)}.progress.is-dark::-webkit-progress-value{background-color:#363636}.progress.is-dark::-moz-progress-bar{background-color:#363636}.progress.is-dark::-ms-fill{background-color:#363636}.progress.is-dark:indeterminate{background-image:linear-gradient(to right,#363636 30%,#ededed 30%)}.progress.is-primary::-webkit-progress-value{background-color:#00d1b2}.progress.is-primary::-moz-progress-bar{background-color:#00d1b2}.progress.is-primary::-ms-fill{background-color:#00d1b2}.progress.is-primary:indeterminate{background-image:linear-gradient(to right,#00d1b2 30%,#ededed 30%)}.progress.is-link::-webkit-progress-value{background-color:#3273dc}.progress.is-link::-moz-progress-bar{background-color:#3273dc}.progress.is-link::-ms-fill{background-color:#3273dc}.progress.is-link:indeterminate{background-image:linear-gradient(to right,#3273dc 30%,#ededed 30%)}.progress.is-info::-webkit-progress-value{background-color:#3298dc}.progress.is-info::-moz-progress-bar{background-color:#3298dc}.progress.is-info::-ms-fill{background-color:#3298dc}.progress.is-info:indeterminate{background-image:linear-gradient(to right,#3298dc 30%,#ededed 30%)}.progress.is-success::-webkit-progress-value{background-color:#48c774}.progress.is-success::-moz-progress-bar{background-color:#48c774}.progress.is-success::-ms-fill{background-color:#48c774}.progress.is-success:indeterminate{background-image:linear-gradient(to right,#48c774 30%,#ededed 30%)}.progress.is-warning::-webkit-progress-value{background-color:#ffdd57}.progress.is-warning::-moz-progress-bar{background-color:#ffdd57}.progress.is-warning::-ms-fill{background-color:#ffdd57}.progress.is-warning:indeterminate{background-image:linear-gradient(to right,#ffdd57 30%,#ededed 30%)}.progress.is-danger::-webkit-progress-value{background-color:#f14668}.progress.is-danger::-moz-progress-bar{background-color:#f14668}.progress.is-danger::-ms-fill{background-color:#f14668}.progress.is-danger:indeterminate{background-image:linear-gradient(to right,#f14668 30%,#ededed 30%)}.progress:indeterminate{animation-duration:1.5s;animation-iteration-count:infinite;animation-name:moveIndeterminate;animation-timing-function:linear;background-color:#ededed;background-image:linear-gradient(to right,#4a4a4a 30%,#ededed 30%);background-position:0 0;background-repeat:no-repeat;background-size:150% 150%}.progress:indeterminate::-webkit-progress-bar{background-color:transparent}.progress:indeterminate::-moz-progress-bar{background-color:transparent}.progress.is-small{height:.75rem}.progress.is-medium{height:1.25rem}.progress.is-large{height:1.5rem}@keyframes moveIndeterminate{from{background-position:200% 0}to{background-position:-200% 0}}.table{background-color:#fff;color:#363636}.table td,.table th{border:1px solid #dbdbdb;border-width:0 0 1px;padding:.5em .75em;vertical-align:top}.table td.is-white,.table th.is-white{background-color:#fff;border-color:#fff;color:#0a0a0a}.table td.is-black,.table th.is-black{background-color:#0a0a0a;border-color:#0a0a0a;color:#fff}.table td.is-light,.table th.is-light{background-color:#f5f5f5;border-color:#f5f5f5;color:rgba(0,0,0,.7)}.table td.is-dark,.table th.is-dark{background-color:#363636;border-color:#363636;color:#fff}.table td.is-primary,.table th.is-primary{background-color:#00d1b2;border-color:#00d1b2;color:#fff}.table td.is-link,.table th.is-link{background-color:#3273dc;border-color:#3273dc;color:#fff}.table td.is-info,.table th.is-info{background-color:#3298dc;border-color:#3298dc;color:#fff}.table td.is-success,.table th.is-success{background-color:#48c774;border-color:#48c774;color:#fff}.table td.is-warning,.table th.is-warning{background-color:#ffdd57;border-color:#ffdd57;color:rgba(0,0,0,.7)}.table td.is-danger,.table th.is-danger{background-color:#f14668;border-color:#f14668;color:#fff}.table td.is-narrow,.table th.is-narrow{white-space:nowrap;width:1%}.table td.is-selected,.table th.is-selected{background-color:#00d1b2;color:#fff}.table td.is-selected a,.table td.is-selected strong,.table th.is-selected a,.table th.is-selected strong{color:currentColor}.table td.is-vcentered,.table th.is-vcentered{vertical-align:middle}.table th{color:#363636}.table th:not([align]){text-align:inherit}.table tr.is-selected{background-color:#00d1b2;color:#fff}.table tr.is-selected a,.table tr.is-selected strong{color:currentColor}.table tr.is-selected td,.table tr.is-selected th{border-color:#fff;color:currentColor}.table thead{background-color:transparent}.table thead td,.table thead th{border-width:0 0 2px;color:#363636}.table tfoot{background-color:transparent}.table tfoot td,.table tfoot th{border-width:2px 0 0;color:#363636}.table tbody{background-color:transparent}.table tbody tr:last-child td,.table tbody tr:last-child th{border-bottom-width:0}.table.is-bordered td,.table.is-bordered th{border-width:1px}.table.is-bordered tr:last-child td,.table.is-bordered tr:last-child th{border-bottom-width:1px}.table.is-fullwidth{width:100%}.table.is-hoverable tbody tr:not(.is-selected):hover{background-color:#fafafa}.table.is-hoverable.is-striped tbody tr:not(.is-selected):hover{background-color:#fafafa}.table.is-hoverable.is-striped tbody tr:not(.is-selected):hover:nth-child(even){background-color:#f5f5f5}.table.is-narrow td,.table.is-narrow th{padding:.25em .5em}.table.is-striped tbody tr:not(.is-selected):nth-child(even){background-color:#fafafa}.table-container{-webkit-overflow-scrolling:touch;overflow:auto;overflow-y:hidden;max-width:100%}.tags{align-items:center;display:flex;flex-wrap:wrap;justify-content:flex-start}.tags .tag{margin-bottom:.5rem}.tags .tag:not(:last-child){margin-right:.5rem}.tags:last-child{margin-bottom:-.5rem}.tags:not(:last-child){margin-bottom:1rem}.tags.are-medium .tag:not(.is-normal):not(.is-large){font-size:1rem}.tags.are-large .tag:not(.is-normal):not(.is-medium){font-size:1.25rem}.tags.is-centered{justify-content:center}.tags.is-centered .tag{margin-right:.25rem;margin-left:.25rem}.tags.is-right{justify-content:flex-end}.tags.is-right .tag:not(:first-child){margin-left:.5rem}.tags.is-right .tag:not(:last-child){margin-right:0}.tags.has-addons .tag{margin-right:0}.tags.has-addons .tag:not(:first-child){margin-left:0;border-top-left-radius:0;border-bottom-left-radius:0}.tags.has-addons .tag:not(:last-child){border-top-right-radius:0;border-bottom-right-radius:0}.tag:not(body){align-items:center;background-color:#f5f5f5;border-radius:2px;color:#4a4a4a;display:inline-flex;font-size:.75rem;height:2em;justify-content:center;line-height:1.5;padding-left:.75em;padding-right:.75em;white-space:nowrap}.tag:not(body) .delete{margin-left:.25rem;margin-right:-.375rem}.tag:not(body).is-white{background-color:#fff;color:#0a0a0a}.tag:not(body).is-black{background-color:#0a0a0a;color:#fff}.tag:not(body).is-light{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.tag:not(body).is-dark{background-color:#363636;color:#fff}.tag:not(body).is-primary{background-color:#00d1b2;color:#fff}.tag:not(body).is-primary.is-light{background-color:#ebfffc;color:#00947e}.tag:not(body).is-link{background-color:#3273dc;color:#fff}.tag:not(body).is-link.is-light{background-color:#eef3fc;color:#2160c4}.tag:not(body).is-info{background-color:#3298dc;color:#fff}.tag:not(body).is-info.is-light{background-color:#eef6fc;color:#1d72aa}.tag:not(body).is-success{background-color:#48c774;color:#fff}.tag:not(body).is-success.is-light{background-color:#effaf3;color:#257942}.tag:not(body).is-warning{background-color:#ffdd57;color:rgba(0,0,0,.7)}.tag:not(body).is-warning.is-light{background-color:#fffbeb;color:#947600}.tag:not(body).is-danger{background-color:#f14668;color:#fff}.tag:not(body).is-danger.is-light{background-color:#feecf0;color:#cc0f35}.tag:not(body).is-normal{font-size:.75rem}.tag:not(body).is-medium{font-size:1rem}.tag:not(body).is-large{font-size:1.25rem}.tag:not(body) .icon:first-child:not(:last-child){margin-left:-.375em;margin-right:.1875em}.tag:not(body) .icon:last-child:not(:first-child){margin-left:.1875em;margin-right:-.375em}.tag:not(body) .icon:first-child:last-child{margin-left:-.375em;margin-right:-.375em}.tag:not(body).is-delete{margin-left:1px;padding:0;position:relative;width:2em}.tag:not(body).is-delete::before,.tag:not(body).is-delete::after{background-color:currentColor;content:"";display:block;left:50%;position:absolute;top:50%;transform:translateX(-50%)translateY(-50%)rotate(45deg);transform-origin:center center}.tag:not(body).is-delete::before{height:1px;width:50%}.tag:not(body).is-delete::after{height:50%;width:1px}.tag:not(body).is-delete:hover,.tag:not(body).is-delete:focus{background-color:#e8e8e8}.tag:not(body).is-delete:active{background-color:#dbdbdb}.tag:not(body).is-rounded{border-radius:290486px}a.tag:hover{text-decoration:underline}.title,.subtitle{word-break:break-word}.title em,.title span,.subtitle em,.subtitle span{font-weight:inherit}.title sub,.subtitle sub{font-size:.75em}.title sup,.subtitle sup{font-size:.75em}.title .tag,.subtitle .tag{vertical-align:middle}.title{color:#363636;font-size:2rem;font-weight:600;line-height:1.125}.title strong{color:inherit;font-weight:inherit}.title+.highlight{margin-top:-.75rem}.title:not(.is-spaced)+.subtitle{margin-top:-1.25rem}.title.is-1{font-size:3rem}.title.is-2{font-size:2.5rem}.title.is-3{font-size:2rem}.title.is-4{font-size:1.5rem}.title.is-5{font-size:1.25rem}.title.is-6{font-size:1rem}.title.is-7{font-size:.75rem}.subtitle{color:#4a4a4a;font-size:1.25rem;font-weight:400;line-height:1.25}.subtitle strong{color:#363636;font-weight:600}.subtitle:not(.is-spaced)+.title{margin-top:-1.25rem}.subtitle.is-1{font-size:3rem}.subtitle.is-2{font-size:2.5rem}.subtitle.is-3{font-size:2rem}.subtitle.is-4{font-size:1.5rem}.subtitle.is-5{font-size:1.25rem}.subtitle.is-6{font-size:1rem}.subtitle.is-7{font-size:.75rem}.heading{display:block;font-size:11px;letter-spacing:1px;margin-bottom:5px;text-transform:uppercase}.highlight{font-weight:400;max-width:100%;overflow:hidden;padding:0}.highlight pre{overflow:auto;max-width:100%}.number{align-items:center;background-color:#f5f5f5;border-radius:290486px;display:inline-flex;font-size:1.25rem;height:2em;justify-content:center;margin-right:1.5rem;min-width:2.5em;padding:.25rem .5rem;text-align:center;vertical-align:top}.select select,.textarea,.input{background-color:#fff;border-color:#dbdbdb;border-radius:4px;color:#363636}.select select::-moz-placeholder,.textarea::-moz-placeholder,.input::-moz-placeholder{color:rgba(54,54,54,.3)}.select select::-webkit-input-placeholder,.textarea::-webkit-input-placeholder,.input::-webkit-input-placeholder{color:rgba(54,54,54,.3)}.select select:-moz-placeholder,.textarea:-moz-placeholder,.input:-moz-placeholder{color:rgba(54,54,54,.3)}.select select:-ms-input-placeholder,.textarea:-ms-input-placeholder,.input:-ms-input-placeholder{color:rgba(54,54,54,.3)}.select select:hover,.textarea:hover,.input:hover,.select select.is-hovered,.is-hovered.textarea,.is-hovered.input{border-color:#b5b5b5}.select select:focus,.textarea:focus,.input:focus,.select select.is-focused,.is-focused.textarea,.is-focused.input,.select select:active,.textarea:active,.input:active,.select select.is-active,.is-active.textarea,.is-active.input{border-color:#3273dc;box-shadow:0 0 0 .125em rgba(50,115,220,.25)}.select select[disabled],[disabled].textarea,[disabled].input,fieldset[disabled] .select select,.select fieldset[disabled] select,fieldset[disabled] .textarea,fieldset[disabled] .input{background-color:#f5f5f5;border-color:#f5f5f5;box-shadow:none;color:#7a7a7a}.select select[disabled]::-moz-placeholder,[disabled].textarea::-moz-placeholder,[disabled].input::-moz-placeholder,fieldset[disabled] .select select::-moz-placeholder,.select fieldset[disabled] select::-moz-placeholder,fieldset[disabled] .textarea::-moz-placeholder,fieldset[disabled] .input::-moz-placeholder{color:rgba(122,122,122,.3)}.select select[disabled]::-webkit-input-placeholder,[disabled].textarea::-webkit-input-placeholder,[disabled].input::-webkit-input-placeholder,fieldset[disabled] .select select::-webkit-input-placeholder,.select fieldset[disabled] select::-webkit-input-placeholder,fieldset[disabled] .textarea::-webkit-input-placeholder,fieldset[disabled] .input::-webkit-input-placeholder{color:rgba(122,122,122,.3)}.select select[disabled]:-moz-placeholder,[disabled].textarea:-moz-placeholder,[disabled].input:-moz-placeholder,fieldset[disabled] .select select:-moz-placeholder,.select fieldset[disabled] select:-moz-placeholder,fieldset[disabled] .textarea:-moz-placeholder,fieldset[disabled] .input:-moz-placeholder{color:rgba(122,122,122,.3)}.select select[disabled]:-ms-input-placeholder,[disabled].textarea:-ms-input-placeholder,[disabled].input:-ms-input-placeholder,fieldset[disabled] .select select:-ms-input-placeholder,.select fieldset[disabled] select:-ms-input-placeholder,fieldset[disabled] .textarea:-ms-input-placeholder,fieldset[disabled] .input:-ms-input-placeholder{color:rgba(122,122,122,.3)}.textarea,.input{box-shadow:inset 0 .0625em .125em rgba(10,10,10,.05);max-width:100%;width:100%}[readonly].textarea,[readonly].input{box-shadow:none}.is-white.textarea,.is-white.input{border-color:#fff}.is-white.textarea:focus,.is-white.input:focus,.is-white.is-focused.textarea,.is-white.is-focused.input,.is-white.textarea:active,.is-white.input:active,.is-white.is-active.textarea,.is-white.is-active.input{box-shadow:0 0 0 .125em rgba(255,255,255,.25)}.is-black.textarea,.is-black.input{border-color:#0a0a0a}.is-black.textarea:focus,.is-black.input:focus,.is-black.is-focused.textarea,.is-black.is-focused.input,.is-black.textarea:active,.is-black.input:active,.is-black.is-active.textarea,.is-black.is-active.input{box-shadow:0 0 0 .125em rgba(10,10,10,.25)}.is-light.textarea,.is-light.input{border-color:#f5f5f5}.is-light.textarea:focus,.is-light.input:focus,.is-light.is-focused.textarea,.is-light.is-focused.input,.is-light.textarea:active,.is-light.input:active,.is-light.is-active.textarea,.is-light.is-active.input{box-shadow:0 0 0 .125em rgba(245,245,245,.25)}.is-dark.textarea,.is-dark.input{border-color:#363636}.is-dark.textarea:focus,.is-dark.input:focus,.is-dark.is-focused.textarea,.is-dark.is-focused.input,.is-dark.textarea:active,.is-dark.input:active,.is-dark.is-active.textarea,.is-dark.is-active.input{box-shadow:0 0 0 .125em rgba(54,54,54,.25)}.is-primary.textarea,.is-primary.input{border-color:#00d1b2}.is-primary.textarea:focus,.is-primary.input:focus,.is-primary.is-focused.textarea,.is-primary.is-focused.input,.is-primary.textarea:active,.is-primary.input:active,.is-primary.is-active.textarea,.is-primary.is-active.input{box-shadow:0 0 0 .125em rgba(0,209,178,.25)}.is-link.textarea,.is-link.input{border-color:#3273dc}.is-link.textarea:focus,.is-link.input:focus,.is-link.is-focused.textarea,.is-link.is-focused.input,.is-link.textarea:active,.is-link.input:active,.is-link.is-active.textarea,.is-link.is-active.input{box-shadow:0 0 0 .125em rgba(50,115,220,.25)}.is-info.textarea,.is-info.input{border-color:#3298dc}.is-info.textarea:focus,.is-info.input:focus,.is-info.is-focused.textarea,.is-info.is-focused.input,.is-info.textarea:active,.is-info.input:active,.is-info.is-active.textarea,.is-info.is-active.input{box-shadow:0 0 0 .125em rgba(50,152,220,.25)}.is-success.textarea,.is-success.input{border-color:#48c774}.is-success.textarea:focus,.is-success.input:focus,.is-success.is-focused.textarea,.is-success.is-focused.input,.is-success.textarea:active,.is-success.input:active,.is-success.is-active.textarea,.is-success.is-active.input{box-shadow:0 0 0 .125em rgba(72,199,116,.25)}.is-warning.textarea,.is-warning.input{border-color:#ffdd57}.is-warning.textarea:focus,.is-warning.input:focus,.is-warning.is-focused.textarea,.is-warning.is-focused.input,.is-warning.textarea:active,.is-warning.input:active,.is-warning.is-active.textarea,.is-warning.is-active.input{box-shadow:0 0 0 .125em rgba(255,221,87,.25)}.is-danger.textarea,.is-danger.input{border-color:#f14668}.is-danger.textarea:focus,.is-danger.input:focus,.is-danger.is-focused.textarea,.is-danger.is-focused.input,.is-danger.textarea:active,.is-danger.input:active,.is-danger.is-active.textarea,.is-danger.is-active.input{box-shadow:0 0 0 .125em rgba(241,70,104,.25)}.is-small.textarea,.is-small.input{border-radius:2px;font-size:.75rem}.is-medium.textarea,.is-medium.input{font-size:1.25rem}.is-large.textarea,.is-large.input{font-size:1.5rem}.is-fullwidth.textarea,.is-fullwidth.input{display:block;width:100%}.is-inline.textarea,.is-inline.input{display:inline;width:auto}.input.is-rounded{border-radius:290486px;padding-left:calc(calc(0.75em - 1px) + 0.375em);padding-right:calc(calc(0.75em - 1px) + 0.375em)}.input.is-static{background-color:transparent;border-color:transparent;box-shadow:none;padding-left:0;padding-right:0}.textarea{display:block;max-width:100%;min-width:100%;padding:calc(0.75em - 1px);resize:vertical}.textarea:not([rows]){max-height:40em;min-height:8em}.textarea[rows]{height:initial}.textarea.has-fixed-size{resize:none}.radio,.checkbox{cursor:pointer;display:inline-block;line-height:1.25;position:relative}.radio input,.checkbox input{cursor:pointer}.radio:hover,.checkbox:hover{color:#363636}[disabled].radio,[disabled].checkbox,fieldset[disabled] .radio,fieldset[disabled] .checkbox{color:#7a7a7a;cursor:not-allowed}.radio+.radio{margin-left:.5em}.select{display:inline-block;max-width:100%;position:relative;vertical-align:top}.select:not(.is-multiple){height:2.5em}.select:not(.is-multiple):not(.is-loading)::after{border-color:#3273dc;right:1.125em;z-index:4}.select.is-rounded select{border-radius:290486px;padding-left:1em}.select select{cursor:pointer;display:block;font-size:1em;max-width:100%;outline:none}.select select::-ms-expand{display:none}.select select[disabled]:hover,fieldset[disabled] .select select:hover{border-color:#f5f5f5}.select select:not([multiple]){padding-right:2.5em}.select select[multiple]{height:auto;padding:0}.select select[multiple] option{padding:.5em 1em}.select:not(.is-multiple):not(.is-loading):hover::after{border-color:#363636}.select.is-white:not(:hover)::after{border-color:#fff}.select.is-white select{border-color:#fff}.select.is-white select:hover,.select.is-white select.is-hovered{border-color:#f2f2f2}.select.is-white select:focus,.select.is-white select.is-focused,.select.is-white select:active,.select.is-white select.is-active{box-shadow:0 0 0 .125em rgba(255,255,255,.25)}.select.is-black:not(:hover)::after{border-color:#0a0a0a}.select.is-black select{border-color:#0a0a0a}.select.is-black select:hover,.select.is-black select.is-hovered{border-color:#000}.select.is-black select:focus,.select.is-black select.is-focused,.select.is-black select:active,.select.is-black select.is-active{box-shadow:0 0 0 .125em rgba(10,10,10,.25)}.select.is-light:not(:hover)::after{border-color:#f5f5f5}.select.is-light select{border-color:#f5f5f5}.select.is-light select:hover,.select.is-light select.is-hovered{border-color:#e8e8e8}.select.is-light select:focus,.select.is-light select.is-focused,.select.is-light select:active,.select.is-light select.is-active{box-shadow:0 0 0 .125em rgba(245,245,245,.25)}.select.is-dark:not(:hover)::after{border-color:#363636}.select.is-dark select{border-color:#363636}.select.is-dark select:hover,.select.is-dark select.is-hovered{border-color:#292929}.select.is-dark select:focus,.select.is-dark select.is-focused,.select.is-dark select:active,.select.is-dark select.is-active{box-shadow:0 0 0 .125em rgba(54,54,54,.25)}.select.is-primary:not(:hover)::after{border-color:#00d1b2}.select.is-primary select{border-color:#00d1b2}.select.is-primary select:hover,.select.is-primary select.is-hovered{border-color:#00b89c}.select.is-primary select:focus,.select.is-primary select.is-focused,.select.is-primary select:active,.select.is-primary select.is-active{box-shadow:0 0 0 .125em rgba(0,209,178,.25)}.select.is-link:not(:hover)::after{border-color:#3273dc}.select.is-link select{border-color:#3273dc}.select.is-link select:hover,.select.is-link select.is-hovered{border-color:#2366d1}.select.is-link select:focus,.select.is-link select.is-focused,.select.is-link select:active,.select.is-link select.is-active{box-shadow:0 0 0 .125em rgba(50,115,220,.25)}.select.is-info:not(:hover)::after{border-color:#3298dc}.select.is-info select{border-color:#3298dc}.select.is-info select:hover,.select.is-info select.is-hovered{border-color:#238cd1}.select.is-info select:focus,.select.is-info select.is-focused,.select.is-info select:active,.select.is-info select.is-active{box-shadow:0 0 0 .125em rgba(50,152,220,.25)}.select.is-success:not(:hover)::after{border-color:#48c774}.select.is-success select{border-color:#48c774}.select.is-success select:hover,.select.is-success select.is-hovered{border-color:#3abb67}.select.is-success select:focus,.select.is-success select.is-focused,.select.is-success select:active,.select.is-success select.is-active{box-shadow:0 0 0 .125em rgba(72,199,116,.25)}.select.is-warning:not(:hover)::after{border-color:#ffdd57}.select.is-warning select{border-color:#ffdd57}.select.is-warning select:hover,.select.is-warning select.is-hovered{border-color:#ffd83d}.select.is-warning select:focus,.select.is-warning select.is-focused,.select.is-warning select:active,.select.is-warning select.is-active{box-shadow:0 0 0 .125em rgba(255,221,87,.25)}.select.is-danger:not(:hover)::after{border-color:#f14668}.select.is-danger select{border-color:#f14668}.select.is-danger select:hover,.select.is-danger select.is-hovered{border-color:#ef2e55}.select.is-danger select:focus,.select.is-danger select.is-focused,.select.is-danger select:active,.select.is-danger select.is-active{box-shadow:0 0 0 .125em rgba(241,70,104,.25)}.select.is-small{border-radius:2px;font-size:.75rem}.select.is-medium{font-size:1.25rem}.select.is-large{font-size:1.5rem}.select.is-disabled::after{border-color:#7a7a7a}.select.is-fullwidth{width:100%}.select.is-fullwidth select{width:100%}.select.is-loading::after{margin-top:0;position:absolute;right:.625em;top:.625em;transform:none}.select.is-loading.is-small:after{font-size:.75rem}.select.is-loading.is-medium:after{font-size:1.25rem}.select.is-loading.is-large:after{font-size:1.5rem}.file{align-items:stretch;display:flex;justify-content:flex-start;position:relative}.file.is-white .file-cta{background-color:#fff;border-color:transparent;color:#0a0a0a}.file.is-white:hover .file-cta,.file.is-white.is-hovered .file-cta{background-color:#f9f9f9;border-color:transparent;color:#0a0a0a}.file.is-white:focus .file-cta,.file.is-white.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(255,255,255,.25);color:#0a0a0a}.file.is-white:active .file-cta,.file.is-white.is-active .file-cta{background-color:#f2f2f2;border-color:transparent;color:#0a0a0a}.file.is-black .file-cta{background-color:#0a0a0a;border-color:transparent;color:#fff}.file.is-black:hover .file-cta,.file.is-black.is-hovered .file-cta{background-color:#040404;border-color:transparent;color:#fff}.file.is-black:focus .file-cta,.file.is-black.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(10,10,10,.25);color:#fff}.file.is-black:active .file-cta,.file.is-black.is-active .file-cta{background-color:#000;border-color:transparent;color:#fff}.file.is-light .file-cta{background-color:#f5f5f5;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-light:hover .file-cta,.file.is-light.is-hovered .file-cta{background-color:#eee;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-light:focus .file-cta,.file.is-light.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(245,245,245,.25);color:rgba(0,0,0,.7)}.file.is-light:active .file-cta,.file.is-light.is-active .file-cta{background-color:#e8e8e8;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-dark .file-cta{background-color:#363636;border-color:transparent;color:#fff}.file.is-dark:hover .file-cta,.file.is-dark.is-hovered .file-cta{background-color:#2f2f2f;border-color:transparent;color:#fff}.file.is-dark:focus .file-cta,.file.is-dark.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(54,54,54,.25);color:#fff}.file.is-dark:active .file-cta,.file.is-dark.is-active .file-cta{background-color:#292929;border-color:transparent;color:#fff}.file.is-primary .file-cta{background-color:#00d1b2;border-color:transparent;color:#fff}.file.is-primary:hover .file-cta,.file.is-primary.is-hovered .file-cta{background-color:#00c4a7;border-color:transparent;color:#fff}.file.is-primary:focus .file-cta,.file.is-primary.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(0,209,178,.25);color:#fff}.file.is-primary:active .file-cta,.file.is-primary.is-active .file-cta{background-color:#00b89c;border-color:transparent;color:#fff}.file.is-link .file-cta{background-color:#3273dc;border-color:transparent;color:#fff}.file.is-link:hover .file-cta,.file.is-link.is-hovered .file-cta{background-color:#276cda;border-color:transparent;color:#fff}.file.is-link:focus .file-cta,.file.is-link.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(50,115,220,.25);color:#fff}.file.is-link:active .file-cta,.file.is-link.is-active .file-cta{background-color:#2366d1;border-color:transparent;color:#fff}.file.is-info .file-cta{background-color:#3298dc;border-color:transparent;color:#fff}.file.is-info:hover .file-cta,.file.is-info.is-hovered .file-cta{background-color:#2793da;border-color:transparent;color:#fff}.file.is-info:focus .file-cta,.file.is-info.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(50,152,220,.25);color:#fff}.file.is-info:active .file-cta,.file.is-info.is-active .file-cta{background-color:#238cd1;border-color:transparent;color:#fff}.file.is-success .file-cta{background-color:#48c774;border-color:transparent;color:#fff}.file.is-success:hover .file-cta,.file.is-success.is-hovered .file-cta{background-color:#3ec46d;border-color:transparent;color:#fff}.file.is-success:focus .file-cta,.file.is-success.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(72,199,116,.25);color:#fff}.file.is-success:active .file-cta,.file.is-success.is-active .file-cta{background-color:#3abb67;border-color:transparent;color:#fff}.file.is-warning .file-cta{background-color:#ffdd57;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-warning:hover .file-cta,.file.is-warning.is-hovered .file-cta{background-color:#ffdb4a;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-warning:focus .file-cta,.file.is-warning.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(255,221,87,.25);color:rgba(0,0,0,.7)}.file.is-warning:active .file-cta,.file.is-warning.is-active .file-cta{background-color:#ffd83d;border-color:transparent;color:rgba(0,0,0,.7)}.file.is-danger .file-cta{background-color:#f14668;border-color:transparent;color:#fff}.file.is-danger:hover .file-cta,.file.is-danger.is-hovered .file-cta{background-color:#f03a5f;border-color:transparent;color:#fff}.file.is-danger:focus .file-cta,.file.is-danger.is-focused .file-cta{border-color:transparent;box-shadow:0 0 .5em rgba(241,70,104,.25);color:#fff}.file.is-danger:active .file-cta,.file.is-danger.is-active .file-cta{background-color:#ef2e55;border-color:transparent;color:#fff}.file.is-small{font-size:.75rem}.file.is-medium{font-size:1.25rem}.file.is-medium .file-icon .fa{font-size:21px}.file.is-large{font-size:1.5rem}.file.is-large .file-icon .fa{font-size:28px}.file.has-name .file-cta{border-bottom-right-radius:0;border-top-right-radius:0}.file.has-name .file-name{border-bottom-left-radius:0;border-top-left-radius:0}.file.has-name.is-empty .file-cta{border-radius:4px}.file.has-name.is-empty .file-name{display:none}.file.is-boxed .file-label{flex-direction:column}.file.is-boxed .file-cta{flex-direction:column;height:auto;padding:1em 3em}.file.is-boxed .file-name{border-width:0 1px 1px}.file.is-boxed .file-icon{height:1.5em;width:1.5em}.file.is-boxed .file-icon .fa{font-size:21px}.file.is-boxed.is-small .file-icon .fa{font-size:14px}.file.is-boxed.is-medium .file-icon .fa{font-size:28px}.file.is-boxed.is-large .file-icon .fa{font-size:35px}.file.is-boxed.has-name .file-cta{border-radius:4px 4px 0 0}.file.is-boxed.has-name .file-name{border-radius:0 0 4px 4px;border-width:0 1px 1px}.file.is-centered{justify-content:center}.file.is-fullwidth .file-label{width:100%}.file.is-fullwidth .file-name{flex-grow:1;max-width:none}.file.is-right{justify-content:flex-end}.file.is-right .file-cta{border-radius:0 4px 4px 0}.file.is-right .file-name{border-radius:4px 0 0 4px;border-width:1px 0 1px 1px;order:-1}.file-label{align-items:stretch;display:flex;cursor:pointer;justify-content:flex-start;overflow:hidden;position:relative}.file-label:hover .file-cta{background-color:#eee;color:#363636}.file-label:hover .file-name{border-color:#d5d5d5}.file-label:active .file-cta{background-color:#e8e8e8;color:#363636}.file-label:active .file-name{border-color:#cfcfcf}.file-input{height:100%;left:0;opacity:0;outline:none;position:absolute;top:0;width:100%}.file-cta,.file-name{border-color:#dbdbdb;border-radius:4px;font-size:1em;padding-left:1em;padding-right:1em;white-space:nowrap}.file-cta{background-color:#f5f5f5;color:#4a4a4a}.file-name{border-color:#dbdbdb;border-style:solid;border-width:1px 1px 1px 0;display:block;max-width:16em;overflow:hidden;text-align:inherit;text-overflow:ellipsis}.file-icon{align-items:center;display:flex;height:1em;justify-content:center;margin-right:.5em;width:1em}.file-icon .fa{font-size:14px}.label{color:#363636;display:block;font-size:1rem;font-weight:700}.label:not(:last-child){margin-bottom:.5em}.label.is-small{font-size:.75rem}.label.is-medium{font-size:1.25rem}.label.is-large{font-size:1.5rem}.help{display:block;font-size:.75rem;margin-top:.25rem}.help.is-white{color:#fff}.help.is-black{color:#0a0a0a}.help.is-light{color:#f5f5f5}.help.is-dark{color:#363636}.help.is-primary{color:#00d1b2}.help.is-link{color:#3273dc}.help.is-info{color:#3298dc}.help.is-success{color:#48c774}.help.is-warning{color:#ffdd57}.help.is-danger{color:#f14668}.field:not(:last-child){margin-bottom:.75rem}.field.has-addons{display:flex;justify-content:flex-start}.field.has-addons .control:not(:last-child){margin-right:-1px}.field.has-addons .control:not(:first-child):not(:last-child) .button,.field.has-addons .control:not(:first-child):not(:last-child) .input,.field.has-addons .control:not(:first-child):not(:last-child) .select select{border-radius:0}.field.has-addons .control:first-child:not(:only-child) .button,.field.has-addons .control:first-child:not(:only-child) .input,.field.has-addons .control:first-child:not(:only-child) .select select{border-bottom-right-radius:0;border-top-right-radius:0}.field.has-addons .control:last-child:not(:only-child) .button,.field.has-addons .control:last-child:not(:only-child) .input,.field.has-addons .control:last-child:not(:only-child) .select select{border-bottom-left-radius:0;border-top-left-radius:0}.field.has-addons .control .button:not([disabled]):hover,.field.has-addons .control .button:not([disabled]).is-hovered,.field.has-addons .control .input:not([disabled]):hover,.field.has-addons .control .input:not([disabled]).is-hovered,.field.has-addons .control .select select:not([disabled]):hover,.field.has-addons .control .select select:not([disabled]).is-hovered{z-index:2}.field.has-addons .control .button:not([disabled]):focus,.field.has-addons .control .button:not([disabled]).is-focused,.field.has-addons .control .button:not([disabled]):active,.field.has-addons .control .button:not([disabled]).is-active,.field.has-addons .control .input:not([disabled]):focus,.field.has-addons .control .input:not([disabled]).is-focused,.field.has-addons .control .input:not([disabled]):active,.field.has-addons .control .input:not([disabled]).is-active,.field.has-addons .control .select select:not([disabled]):focus,.field.has-addons .control .select select:not([disabled]).is-focused,.field.has-addons .control .select select:not([disabled]):active,.field.has-addons .control .select select:not([disabled]).is-active{z-index:3}.field.has-addons .control .button:not([disabled]):focus:hover,.field.has-addons .control .button:not([disabled]).is-focused:hover,.field.has-addons .control .button:not([disabled]):active:hover,.field.has-addons .control .button:not([disabled]).is-active:hover,.field.has-addons .control .input:not([disabled]):focus:hover,.field.has-addons .control .input:not([disabled]).is-focused:hover,.field.has-addons .control .input:not([disabled]):active:hover,.field.has-addons .control .input:not([disabled]).is-active:hover,.field.has-addons .control .select select:not([disabled]):focus:hover,.field.has-addons .control .select select:not([disabled]).is-focused:hover,.field.has-addons .control .select select:not([disabled]):active:hover,.field.has-addons .control .select select:not([disabled]).is-active:hover{z-index:4}.field.has-addons .control.is-expanded{flex-grow:1;flex-shrink:1}.field.has-addons.has-addons-centered{justify-content:center}.field.has-addons.has-addons-right{justify-content:flex-end}.field.has-addons.has-addons-fullwidth .control{flex-grow:1;flex-shrink:0}.field.is-grouped{display:flex;justify-content:flex-start}.field.is-grouped>.control{flex-shrink:0}.field.is-grouped>.control:not(:last-child){margin-bottom:0;margin-right:.75rem}.field.is-grouped>.control.is-expanded{flex-grow:1;flex-shrink:1}.field.is-grouped.is-grouped-centered{justify-content:center}.field.is-grouped.is-grouped-right{justify-content:flex-end}.field.is-grouped.is-grouped-multiline{flex-wrap:wrap}.field.is-grouped.is-grouped-multiline>.control:last-child,.field.is-grouped.is-grouped-multiline>.control:not(:last-child){margin-bottom:.75rem}.field.is-grouped.is-grouped-multiline:last-child{margin-bottom:-.75rem}.field.is-grouped.is-grouped-multiline:not(:last-child){margin-bottom:0}@media screen and (min-width:769px),print{.field.is-horizontal{display:flex}}.field-label .label{font-size:inherit}@media screen and (max-width:768px){.field-label{margin-bottom:.5rem}}@media screen and (min-width:769px),print{.field-label{flex-basis:0;flex-grow:1;flex-shrink:0;margin-right:1.5rem;text-align:right}.field-label.is-small{font-size:.75rem;padding-top:.375em}.field-label.is-normal{padding-top:.375em}.field-label.is-medium{font-size:1.25rem;padding-top:.375em}.field-label.is-large{font-size:1.5rem;padding-top:.375em}}.field-body .field .field{margin-bottom:0}@media screen and (min-width:769px),print{.field-body{display:flex;flex-basis:0;flex-grow:5;flex-shrink:1}.field-body .field{margin-bottom:0}.field-body>.field{flex-shrink:1}.field-body>.field:not(.is-narrow){flex-grow:1}.field-body>.field:not(:last-child){margin-right:.75rem}}.control{box-sizing:border-box;clear:both;font-size:1rem;position:relative;text-align:inherit}.control.has-icons-left .input:focus~.icon,.control.has-icons-left .select:focus~.icon,.control.has-icons-right .input:focus~.icon,.control.has-icons-right .select:focus~.icon{color:#4a4a4a}.control.has-icons-left .input.is-small~.icon,.control.has-icons-left .select.is-small~.icon,.control.has-icons-right .input.is-small~.icon,.control.has-icons-right .select.is-small~.icon{font-size:.75rem}.control.has-icons-left .input.is-medium~.icon,.control.has-icons-left .select.is-medium~.icon,.control.has-icons-right .input.is-medium~.icon,.control.has-icons-right .select.is-medium~.icon{font-size:1.25rem}.control.has-icons-left .input.is-large~.icon,.control.has-icons-left .select.is-large~.icon,.control.has-icons-right .input.is-large~.icon,.control.has-icons-right .select.is-large~.icon{font-size:1.5rem}.control.has-icons-left .icon,.control.has-icons-right .icon{color:#dbdbdb;height:2.5em;pointer-events:none;position:absolute;top:0;width:2.5em;z-index:4}.control.has-icons-left .input,.control.has-icons-left .select select{padding-left:2.5em}.control.has-icons-left .icon.is-left{left:0}.control.has-icons-right .input,.control.has-icons-right .select select{padding-right:2.5em}.control.has-icons-right .icon.is-right{right:0}.control.is-loading::after{position:absolute!important;right:.625em;top:.625em;z-index:4}.control.is-loading.is-small:after{font-size:.75rem}.control.is-loading.is-medium:after{font-size:1.25rem}.control.is-loading.is-large:after{font-size:1.5rem}.breadcrumb{font-size:1rem;white-space:nowrap}.breadcrumb a{align-items:center;color:#3273dc;display:flex;justify-content:center;padding:0 .75em}.breadcrumb a:hover{color:#363636}.breadcrumb li{align-items:center;display:flex}.breadcrumb li:first-child a{padding-left:0}.breadcrumb li.is-active a{color:#363636;cursor:default;pointer-events:none}.breadcrumb li+li::before{color:#b5b5b5;content:"\0002f"}.breadcrumb ul,.breadcrumb ol{align-items:flex-start;display:flex;flex-wrap:wrap;justify-content:flex-start}.breadcrumb .icon:first-child{margin-right:.5em}.breadcrumb .icon:last-child{margin-left:.5em}.breadcrumb.is-centered ol,.breadcrumb.is-centered ul{justify-content:center}.breadcrumb.is-right ol,.breadcrumb.is-right ul{justify-content:flex-end}.breadcrumb.is-small{font-size:.75rem}.breadcrumb.is-medium{font-size:1.25rem}.breadcrumb.is-large{font-size:1.5rem}.breadcrumb.has-arrow-separator li+li::before{content:"\02192"}.breadcrumb.has-bullet-separator li+li::before{content:"\02022"}.breadcrumb.has-dot-separator li+li::before{content:"\000b7"}.breadcrumb.has-succeeds-separator li+li::before{content:"\0227B"}.card{background-color:#fff;box-shadow:0 .5em 1em -.125em rgba(10,10,10,.1),0 0 0 1px rgba(10,10,10,.02);color:#4a4a4a;max-width:100%;position:relative}.card-header{background-color:transparent;align-items:stretch;box-shadow:0 .125em .25em rgba(10,10,10,.1);display:flex}.card-header-title{align-items:center;color:#363636;display:flex;flex-grow:1;font-weight:700;padding:.75rem 1rem}.card-header-title.is-centered{justify-content:center}.card-header-icon{align-items:center;cursor:pointer;display:flex;justify-content:center;padding:.75rem 1rem}.card-image{display:block;position:relative}.card-content{background-color:transparent;padding:1.5rem}.card-footer{background-color:transparent;border-top:1px solid #ededed;align-items:stretch;display:flex}.card-footer-item{align-items:center;display:flex;flex-basis:0;flex-grow:1;flex-shrink:0;justify-content:center;padding:.75rem}.card-footer-item:not(:last-child){border-right:1px solid #ededed}.card .media:not(:last-child){margin-bottom:1.5rem}.dropdown{display:inline-flex;position:relative;vertical-align:top}.dropdown.is-active .dropdown-menu,.dropdown.is-hoverable:hover .dropdown-menu{display:block}.dropdown.is-right .dropdown-menu{left:auto;right:0}.dropdown.is-up .dropdown-menu{bottom:100%;padding-bottom:4px;padding-top:initial;top:auto}.dropdown-menu{display:none;left:0;min-width:12rem;padding-top:4px;position:absolute;top:100%;z-index:20}.dropdown-content{background-color:#fff;border-radius:4px;box-shadow:0 .5em 1em -.125em rgba(10,10,10,.1),0 0 0 1px rgba(10,10,10,.02);padding-bottom:.5rem;padding-top:.5rem}.dropdown-item{color:#4a4a4a;display:block;font-size:.875rem;line-height:1.5;padding:.375rem 1rem;position:relative}a.dropdown-item,button.dropdown-item{padding-right:3rem;text-align:inherit;white-space:nowrap;width:100%}a.dropdown-item:hover,button.dropdown-item:hover{background-color:#f5f5f5;color:#0a0a0a}a.dropdown-item.is-active,button.dropdown-item.is-active{background-color:#3273dc;color:#fff}.dropdown-divider{background-color:#ededed;border:none;display:block;height:1px;margin:.5rem 0}.level{align-items:center;justify-content:space-between}.level code{border-radius:4px}.level img{display:inline-block;vertical-align:top}.level.is-mobile{display:flex}.level.is-mobile .level-left,.level.is-mobile .level-right{display:flex}.level.is-mobile .level-left+.level-right{margin-top:0}.level.is-mobile .level-item:not(:last-child){margin-bottom:0;margin-right:.75rem}.level.is-mobile .level-item:not(.is-narrow){flex-grow:1}@media screen and (min-width:769px),print{.level{display:flex}.level>.level-item:not(.is-narrow){flex-grow:1}}.level-item{align-items:center;display:flex;flex-basis:auto;flex-grow:0;flex-shrink:0;justify-content:center}.level-item .title,.level-item .subtitle{margin-bottom:0}@media screen and (max-width:768px){.level-item:not(:last-child){margin-bottom:.75rem}}.level-left,.level-right{flex-basis:auto;flex-grow:0;flex-shrink:0}.level-left .level-item.is-flexible,.level-right .level-item.is-flexible{flex-grow:1}@media screen and (min-width:769px),print{.level-left .level-item:not(:last-child),.level-right .level-item:not(:last-child){margin-right:.75rem}}.level-left{align-items:center;justify-content:flex-start}@media screen and (max-width:768px){.level-left+.level-right{margin-top:1.5rem}}@media screen and (min-width:769px),print{.level-left{display:flex}}.level-right{align-items:center;justify-content:flex-end}@media screen and (min-width:769px),print{.level-right{display:flex}}.media{align-items:flex-start;display:flex;text-align:inherit}.media .content:not(:last-child){margin-bottom:.75rem}.media .media{border-top:1px solid rgba(219,219,219,.5);display:flex;padding-top:.75rem}.media .media .content:not(:last-child),.media .media .control:not(:last-child){margin-bottom:.5rem}.media .media .media{padding-top:.5rem}.media .media .media+.media{margin-top:.5rem}.media+.media{border-top:1px solid rgba(219,219,219,.5);margin-top:1rem;padding-top:1rem}.media.is-large+.media{margin-top:1.5rem;padding-top:1.5rem}.media-left,.media-right{flex-basis:auto;flex-grow:0;flex-shrink:0}.media-left{margin-right:1rem}.media-right{margin-left:1rem}.media-content{flex-basis:auto;flex-grow:1;flex-shrink:1;text-align:inherit}@media screen and (max-width:768px){.media-content{overflow-x:auto}}.menu{font-size:1rem}.menu.is-small{font-size:.75rem}.menu.is-medium{font-size:1.25rem}.menu.is-large{font-size:1.5rem}.menu-list{line-height:1.25}.menu-list a{border-radius:2px;color:#4a4a4a;display:block;padding:.5em .75em}.menu-list a:hover{background-color:#f5f5f5;color:#363636}.menu-list a.is-active{background-color:#3273dc;color:#fff}.menu-list li ul{border-left:1px solid #dbdbdb;margin:.75em;padding-left:.75em}.menu-label{color:#7a7a7a;font-size:.75em;letter-spacing:.1em;text-transform:uppercase}.menu-label:not(:first-child){margin-top:1em}.menu-label:not(:last-child){margin-bottom:1em}.message{background-color:#f5f5f5;border-radius:4px;font-size:1rem}.message strong{color:currentColor}.message a:not(.button):not(.tag):not(.dropdown-item){color:currentColor;text-decoration:underline}.message.is-small{font-size:.75rem}.message.is-medium{font-size:1.25rem}.message.is-large{font-size:1.5rem}.message.is-white{background-color:#fff}.message.is-white .message-header{background-color:#fff;color:#0a0a0a}.message.is-white .message-body{border-color:#fff}.message.is-black{background-color:#fafafa}.message.is-black .message-header{background-color:#0a0a0a;color:#fff}.message.is-black .message-body{border-color:#0a0a0a}.message.is-light{background-color:#fafafa}.message.is-light .message-header{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.message.is-light .message-body{border-color:#f5f5f5}.message.is-dark{background-color:#fafafa}.message.is-dark .message-header{background-color:#363636;color:#fff}.message.is-dark .message-body{border-color:#363636}.message.is-primary{background-color:#ebfffc}.message.is-primary .message-header{background-color:#00d1b2;color:#fff}.message.is-primary .message-body{border-color:#00d1b2;color:#00947e}.message.is-link{background-color:#eef3fc}.message.is-link .message-header{background-color:#3273dc;color:#fff}.message.is-link .message-body{border-color:#3273dc;color:#2160c4}.message.is-info{background-color:#eef6fc}.message.is-info .message-header{background-color:#3298dc;color:#fff}.message.is-info .message-body{border-color:#3298dc;color:#1d72aa}.message.is-success{background-color:#effaf3}.message.is-success .message-header{background-color:#48c774;color:#fff}.message.is-success .message-body{border-color:#48c774;color:#257942}.message.is-warning{background-color:#fffbeb}.message.is-warning .message-header{background-color:#ffdd57;color:rgba(0,0,0,.7)}.message.is-warning .message-body{border-color:#ffdd57;color:#947600}.message.is-danger{background-color:#feecf0}.message.is-danger .message-header{background-color:#f14668;color:#fff}.message.is-danger .message-body{border-color:#f14668;color:#cc0f35}.message-header{align-items:center;background-color:#4a4a4a;border-radius:4px 4px 0 0;color:#fff;display:flex;font-weight:700;justify-content:space-between;line-height:1.25;padding:.75em 1em;position:relative}.message-header .delete{flex-grow:0;flex-shrink:0;margin-left:.75em}.message-header+.message-body{border-width:0;border-top-left-radius:0;border-top-right-radius:0}.message-body{border-color:#dbdbdb;border-radius:4px;border-style:solid;border-width:0 0 0 4px;color:#4a4a4a;padding:1.25em 1.5em}.message-body code,.message-body pre{background-color:#fff}.message-body pre code{background-color:transparent}.modal{align-items:center;display:none;flex-direction:column;justify-content:center;overflow:hidden;position:fixed;z-index:40}.modal.is-active{display:flex}.modal-background{background-color:rgba(10,10,10,.86)}.modal-content,.modal-card{margin:0 20px;max-height:calc(100vh - 160px);overflow:auto;position:relative;width:100%}@media screen and (min-width:769px),print{.modal-content,.modal-card{margin:0 auto;max-height:calc(100vh - 40px);width:640px}}.modal-close{background:0 0;height:40px;position:fixed;right:20px;top:20px;width:40px}.modal-card{display:flex;flex-direction:column;max-height:calc(100vh - 40px);overflow:hidden;-ms-overflow-y:visible}.modal-card-head,.modal-card-foot{align-items:center;background-color:#f5f5f5;display:flex;flex-shrink:0;justify-content:flex-start;padding:20px;position:relative}.modal-card-head{border-bottom:1px solid #dbdbdb;border-top-left-radius:6px;border-top-right-radius:6px}.modal-card-title{color:#363636;flex-grow:1;flex-shrink:0;font-size:1.5rem;line-height:1}.modal-card-foot{border-bottom-left-radius:6px;border-bottom-right-radius:6px;border-top:1px solid #dbdbdb}.modal-card-foot .button:not(:last-child){margin-right:.5em}.modal-card-body{-webkit-overflow-scrolling:touch;background-color:#fff;flex-grow:1;flex-shrink:1;overflow:auto;padding:20px}.navbar{background-color:#fff;min-height:3rem;position:relative;z-index:30}.navbar.is-white{background-color:#fff;color:#0a0a0a}.navbar.is-white .navbar-brand>.navbar-item,.navbar.is-white .navbar-brand .navbar-link{color:#0a0a0a}.navbar.is-white .navbar-brand>a.navbar-item:focus,.navbar.is-white .navbar-brand>a.navbar-item:hover,.navbar.is-white .navbar-brand>a.navbar-item.is-active,.navbar.is-white .navbar-brand .navbar-link:focus,.navbar.is-white .navbar-brand .navbar-link:hover,.navbar.is-white .navbar-brand .navbar-link.is-active{background-color:#f2f2f2;color:#0a0a0a}.navbar.is-white .navbar-brand .navbar-link::after{border-color:#0a0a0a}.navbar.is-white .navbar-burger{color:#0a0a0a}@media screen and (min-width:1024px){.navbar.is-white .navbar-start>.navbar-item,.navbar.is-white .navbar-start .navbar-link,.navbar.is-white .navbar-end>.navbar-item,.navbar.is-white .navbar-end .navbar-link{color:#0a0a0a}.navbar.is-white .navbar-start>a.navbar-item:focus,.navbar.is-white .navbar-start>a.navbar-item:hover,.navbar.is-white .navbar-start>a.navbar-item.is-active,.navbar.is-white .navbar-start .navbar-link:focus,.navbar.is-white .navbar-start .navbar-link:hover,.navbar.is-white .navbar-start .navbar-link.is-active,.navbar.is-white .navbar-end>a.navbar-item:focus,.navbar.is-white .navbar-end>a.navbar-item:hover,.navbar.is-white .navbar-end>a.navbar-item.is-active,.navbar.is-white .navbar-end .navbar-link:focus,.navbar.is-white .navbar-end .navbar-link:hover,.navbar.is-white .navbar-end .navbar-link.is-active{background-color:#f2f2f2;color:#0a0a0a}.navbar.is-white .navbar-start .navbar-link::after,.navbar.is-white .navbar-end .navbar-link::after{border-color:#0a0a0a}.navbar.is-white .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-white .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-white .navbar-item.has-dropdown.is-active .navbar-link{background-color:#f2f2f2;color:#0a0a0a}.navbar.is-white .navbar-dropdown a.navbar-item.is-active{background-color:#fff;color:#0a0a0a}}.navbar.is-black{background-color:#0a0a0a;color:#fff}.navbar.is-black .navbar-brand>.navbar-item,.navbar.is-black .navbar-brand .navbar-link{color:#fff}.navbar.is-black .navbar-brand>a.navbar-item:focus,.navbar.is-black .navbar-brand>a.navbar-item:hover,.navbar.is-black .navbar-brand>a.navbar-item.is-active,.navbar.is-black .navbar-brand .navbar-link:focus,.navbar.is-black .navbar-brand .navbar-link:hover,.navbar.is-black .navbar-brand .navbar-link.is-active{background-color:#000;color:#fff}.navbar.is-black .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-black .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-black .navbar-start>.navbar-item,.navbar.is-black .navbar-start .navbar-link,.navbar.is-black .navbar-end>.navbar-item,.navbar.is-black .navbar-end .navbar-link{color:#fff}.navbar.is-black .navbar-start>a.navbar-item:focus,.navbar.is-black .navbar-start>a.navbar-item:hover,.navbar.is-black .navbar-start>a.navbar-item.is-active,.navbar.is-black .navbar-start .navbar-link:focus,.navbar.is-black .navbar-start .navbar-link:hover,.navbar.is-black .navbar-start .navbar-link.is-active,.navbar.is-black .navbar-end>a.navbar-item:focus,.navbar.is-black .navbar-end>a.navbar-item:hover,.navbar.is-black .navbar-end>a.navbar-item.is-active,.navbar.is-black .navbar-end .navbar-link:focus,.navbar.is-black .navbar-end .navbar-link:hover,.navbar.is-black .navbar-end .navbar-link.is-active{background-color:#000;color:#fff}.navbar.is-black .navbar-start .navbar-link::after,.navbar.is-black .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-black .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-black .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-black .navbar-item.has-dropdown.is-active .navbar-link{background-color:#000;color:#fff}.navbar.is-black .navbar-dropdown a.navbar-item.is-active{background-color:#0a0a0a;color:#fff}}.navbar.is-light{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.navbar.is-light .navbar-brand>.navbar-item,.navbar.is-light .navbar-brand .navbar-link{color:rgba(0,0,0,.7)}.navbar.is-light .navbar-brand>a.navbar-item:focus,.navbar.is-light .navbar-brand>a.navbar-item:hover,.navbar.is-light .navbar-brand>a.navbar-item.is-active,.navbar.is-light .navbar-brand .navbar-link:focus,.navbar.is-light .navbar-brand .navbar-link:hover,.navbar.is-light .navbar-brand .navbar-link.is-active{background-color:#e8e8e8;color:rgba(0,0,0,.7)}.navbar.is-light .navbar-brand .navbar-link::after{border-color:rgba(0,0,0,.7)}.navbar.is-light .navbar-burger{color:rgba(0,0,0,.7)}@media screen and (min-width:1024px){.navbar.is-light .navbar-start>.navbar-item,.navbar.is-light .navbar-start .navbar-link,.navbar.is-light .navbar-end>.navbar-item,.navbar.is-light .navbar-end .navbar-link{color:rgba(0,0,0,.7)}.navbar.is-light .navbar-start>a.navbar-item:focus,.navbar.is-light .navbar-start>a.navbar-item:hover,.navbar.is-light .navbar-start>a.navbar-item.is-active,.navbar.is-light .navbar-start .navbar-link:focus,.navbar.is-light .navbar-start .navbar-link:hover,.navbar.is-light .navbar-start .navbar-link.is-active,.navbar.is-light .navbar-end>a.navbar-item:focus,.navbar.is-light .navbar-end>a.navbar-item:hover,.navbar.is-light .navbar-end>a.navbar-item.is-active,.navbar.is-light .navbar-end .navbar-link:focus,.navbar.is-light .navbar-end .navbar-link:hover,.navbar.is-light .navbar-end .navbar-link.is-active{background-color:#e8e8e8;color:rgba(0,0,0,.7)}.navbar.is-light .navbar-start .navbar-link::after,.navbar.is-light .navbar-end .navbar-link::after{border-color:rgba(0,0,0,.7)}.navbar.is-light .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-light .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-light .navbar-item.has-dropdown.is-active .navbar-link{background-color:#e8e8e8;color:rgba(0,0,0,.7)}.navbar.is-light .navbar-dropdown a.navbar-item.is-active{background-color:#f5f5f5;color:rgba(0,0,0,.7)}}.navbar.is-dark{background-color:#363636;color:#fff}.navbar.is-dark .navbar-brand>.navbar-item,.navbar.is-dark .navbar-brand .navbar-link{color:#fff}.navbar.is-dark .navbar-brand>a.navbar-item:focus,.navbar.is-dark .navbar-brand>a.navbar-item:hover,.navbar.is-dark .navbar-brand>a.navbar-item.is-active,.navbar.is-dark .navbar-brand .navbar-link:focus,.navbar.is-dark .navbar-brand .navbar-link:hover,.navbar.is-dark .navbar-brand .navbar-link.is-active{background-color:#292929;color:#fff}.navbar.is-dark .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-dark .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-dark .navbar-start>.navbar-item,.navbar.is-dark .navbar-start .navbar-link,.navbar.is-dark .navbar-end>.navbar-item,.navbar.is-dark .navbar-end .navbar-link{color:#fff}.navbar.is-dark .navbar-start>a.navbar-item:focus,.navbar.is-dark .navbar-start>a.navbar-item:hover,.navbar.is-dark .navbar-start>a.navbar-item.is-active,.navbar.is-dark .navbar-start .navbar-link:focus,.navbar.is-dark .navbar-start .navbar-link:hover,.navbar.is-dark .navbar-start .navbar-link.is-active,.navbar.is-dark .navbar-end>a.navbar-item:focus,.navbar.is-dark .navbar-end>a.navbar-item:hover,.navbar.is-dark .navbar-end>a.navbar-item.is-active,.navbar.is-dark .navbar-end .navbar-link:focus,.navbar.is-dark .navbar-end .navbar-link:hover,.navbar.is-dark .navbar-end .navbar-link.is-active{background-color:#292929;color:#fff}.navbar.is-dark .navbar-start .navbar-link::after,.navbar.is-dark .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-dark .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-dark .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-dark .navbar-item.has-dropdown.is-active .navbar-link{background-color:#292929;color:#fff}.navbar.is-dark .navbar-dropdown a.navbar-item.is-active{background-color:#363636;color:#fff}}.navbar.is-primary{background-color:#00d1b2;color:#fff}.navbar.is-primary .navbar-brand>.navbar-item,.navbar.is-primary .navbar-brand .navbar-link{color:#fff}.navbar.is-primary .navbar-brand>a.navbar-item:focus,.navbar.is-primary .navbar-brand>a.navbar-item:hover,.navbar.is-primary .navbar-brand>a.navbar-item.is-active,.navbar.is-primary .navbar-brand .navbar-link:focus,.navbar.is-primary .navbar-brand .navbar-link:hover,.navbar.is-primary .navbar-brand .navbar-link.is-active{background-color:#00b89c;color:#fff}.navbar.is-primary .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-primary .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-primary .navbar-start>.navbar-item,.navbar.is-primary .navbar-start .navbar-link,.navbar.is-primary .navbar-end>.navbar-item,.navbar.is-primary .navbar-end .navbar-link{color:#fff}.navbar.is-primary .navbar-start>a.navbar-item:focus,.navbar.is-primary .navbar-start>a.navbar-item:hover,.navbar.is-primary .navbar-start>a.navbar-item.is-active,.navbar.is-primary .navbar-start .navbar-link:focus,.navbar.is-primary .navbar-start .navbar-link:hover,.navbar.is-primary .navbar-start .navbar-link.is-active,.navbar.is-primary .navbar-end>a.navbar-item:focus,.navbar.is-primary .navbar-end>a.navbar-item:hover,.navbar.is-primary .navbar-end>a.navbar-item.is-active,.navbar.is-primary .navbar-end .navbar-link:focus,.navbar.is-primary .navbar-end .navbar-link:hover,.navbar.is-primary .navbar-end .navbar-link.is-active{background-color:#00b89c;color:#fff}.navbar.is-primary .navbar-start .navbar-link::after,.navbar.is-primary .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-primary .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-primary .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-primary .navbar-item.has-dropdown.is-active .navbar-link{background-color:#00b89c;color:#fff}.navbar.is-primary .navbar-dropdown a.navbar-item.is-active{background-color:#00d1b2;color:#fff}}.navbar.is-link{background-color:#3273dc;color:#fff}.navbar.is-link .navbar-brand>.navbar-item,.navbar.is-link .navbar-brand .navbar-link{color:#fff}.navbar.is-link .navbar-brand>a.navbar-item:focus,.navbar.is-link .navbar-brand>a.navbar-item:hover,.navbar.is-link .navbar-brand>a.navbar-item.is-active,.navbar.is-link .navbar-brand .navbar-link:focus,.navbar.is-link .navbar-brand .navbar-link:hover,.navbar.is-link .navbar-brand .navbar-link.is-active{background-color:#2366d1;color:#fff}.navbar.is-link .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-link .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-link .navbar-start>.navbar-item,.navbar.is-link .navbar-start .navbar-link,.navbar.is-link .navbar-end>.navbar-item,.navbar.is-link .navbar-end .navbar-link{color:#fff}.navbar.is-link .navbar-start>a.navbar-item:focus,.navbar.is-link .navbar-start>a.navbar-item:hover,.navbar.is-link .navbar-start>a.navbar-item.is-active,.navbar.is-link .navbar-start .navbar-link:focus,.navbar.is-link .navbar-start .navbar-link:hover,.navbar.is-link .navbar-start .navbar-link.is-active,.navbar.is-link .navbar-end>a.navbar-item:focus,.navbar.is-link .navbar-end>a.navbar-item:hover,.navbar.is-link .navbar-end>a.navbar-item.is-active,.navbar.is-link .navbar-end .navbar-link:focus,.navbar.is-link .navbar-end .navbar-link:hover,.navbar.is-link .navbar-end .navbar-link.is-active{background-color:#2366d1;color:#fff}.navbar.is-link .navbar-start .navbar-link::after,.navbar.is-link .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-link .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-link .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-link .navbar-item.has-dropdown.is-active .navbar-link{background-color:#2366d1;color:#fff}.navbar.is-link .navbar-dropdown a.navbar-item.is-active{background-color:#3273dc;color:#fff}}.navbar.is-info{background-color:#3298dc;color:#fff}.navbar.is-info .navbar-brand>.navbar-item,.navbar.is-info .navbar-brand .navbar-link{color:#fff}.navbar.is-info .navbar-brand>a.navbar-item:focus,.navbar.is-info .navbar-brand>a.navbar-item:hover,.navbar.is-info .navbar-brand>a.navbar-item.is-active,.navbar.is-info .navbar-brand .navbar-link:focus,.navbar.is-info .navbar-brand .navbar-link:hover,.navbar.is-info .navbar-brand .navbar-link.is-active{background-color:#238cd1;color:#fff}.navbar.is-info .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-info .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-info .navbar-start>.navbar-item,.navbar.is-info .navbar-start .navbar-link,.navbar.is-info .navbar-end>.navbar-item,.navbar.is-info .navbar-end .navbar-link{color:#fff}.navbar.is-info .navbar-start>a.navbar-item:focus,.navbar.is-info .navbar-start>a.navbar-item:hover,.navbar.is-info .navbar-start>a.navbar-item.is-active,.navbar.is-info .navbar-start .navbar-link:focus,.navbar.is-info .navbar-start .navbar-link:hover,.navbar.is-info .navbar-start .navbar-link.is-active,.navbar.is-info .navbar-end>a.navbar-item:focus,.navbar.is-info .navbar-end>a.navbar-item:hover,.navbar.is-info .navbar-end>a.navbar-item.is-active,.navbar.is-info .navbar-end .navbar-link:focus,.navbar.is-info .navbar-end .navbar-link:hover,.navbar.is-info .navbar-end .navbar-link.is-active{background-color:#238cd1;color:#fff}.navbar.is-info .navbar-start .navbar-link::after,.navbar.is-info .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-info .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-info .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-info .navbar-item.has-dropdown.is-active .navbar-link{background-color:#238cd1;color:#fff}.navbar.is-info .navbar-dropdown a.navbar-item.is-active{background-color:#3298dc;color:#fff}}.navbar.is-success{background-color:#48c774;color:#fff}.navbar.is-success .navbar-brand>.navbar-item,.navbar.is-success .navbar-brand .navbar-link{color:#fff}.navbar.is-success .navbar-brand>a.navbar-item:focus,.navbar.is-success .navbar-brand>a.navbar-item:hover,.navbar.is-success .navbar-brand>a.navbar-item.is-active,.navbar.is-success .navbar-brand .navbar-link:focus,.navbar.is-success .navbar-brand .navbar-link:hover,.navbar.is-success .navbar-brand .navbar-link.is-active{background-color:#3abb67;color:#fff}.navbar.is-success .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-success .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-success .navbar-start>.navbar-item,.navbar.is-success .navbar-start .navbar-link,.navbar.is-success .navbar-end>.navbar-item,.navbar.is-success .navbar-end .navbar-link{color:#fff}.navbar.is-success .navbar-start>a.navbar-item:focus,.navbar.is-success .navbar-start>a.navbar-item:hover,.navbar.is-success .navbar-start>a.navbar-item.is-active,.navbar.is-success .navbar-start .navbar-link:focus,.navbar.is-success .navbar-start .navbar-link:hover,.navbar.is-success .navbar-start .navbar-link.is-active,.navbar.is-success .navbar-end>a.navbar-item:focus,.navbar.is-success .navbar-end>a.navbar-item:hover,.navbar.is-success .navbar-end>a.navbar-item.is-active,.navbar.is-success .navbar-end .navbar-link:focus,.navbar.is-success .navbar-end .navbar-link:hover,.navbar.is-success .navbar-end .navbar-link.is-active{background-color:#3abb67;color:#fff}.navbar.is-success .navbar-start .navbar-link::after,.navbar.is-success .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-success .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-success .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-success .navbar-item.has-dropdown.is-active .navbar-link{background-color:#3abb67;color:#fff}.navbar.is-success .navbar-dropdown a.navbar-item.is-active{background-color:#48c774;color:#fff}}.navbar.is-warning{background-color:#ffdd57;color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-brand>.navbar-item,.navbar.is-warning .navbar-brand .navbar-link{color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-brand>a.navbar-item:focus,.navbar.is-warning .navbar-brand>a.navbar-item:hover,.navbar.is-warning .navbar-brand>a.navbar-item.is-active,.navbar.is-warning .navbar-brand .navbar-link:focus,.navbar.is-warning .navbar-brand .navbar-link:hover,.navbar.is-warning .navbar-brand .navbar-link.is-active{background-color:#ffd83d;color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-brand .navbar-link::after{border-color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-burger{color:rgba(0,0,0,.7)}@media screen and (min-width:1024px){.navbar.is-warning .navbar-start>.navbar-item,.navbar.is-warning .navbar-start .navbar-link,.navbar.is-warning .navbar-end>.navbar-item,.navbar.is-warning .navbar-end .navbar-link{color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-start>a.navbar-item:focus,.navbar.is-warning .navbar-start>a.navbar-item:hover,.navbar.is-warning .navbar-start>a.navbar-item.is-active,.navbar.is-warning .navbar-start .navbar-link:focus,.navbar.is-warning .navbar-start .navbar-link:hover,.navbar.is-warning .navbar-start .navbar-link.is-active,.navbar.is-warning .navbar-end>a.navbar-item:focus,.navbar.is-warning .navbar-end>a.navbar-item:hover,.navbar.is-warning .navbar-end>a.navbar-item.is-active,.navbar.is-warning .navbar-end .navbar-link:focus,.navbar.is-warning .navbar-end .navbar-link:hover,.navbar.is-warning .navbar-end .navbar-link.is-active{background-color:#ffd83d;color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-start .navbar-link::after,.navbar.is-warning .navbar-end .navbar-link::after{border-color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-warning .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-warning .navbar-item.has-dropdown.is-active .navbar-link{background-color:#ffd83d;color:rgba(0,0,0,.7)}.navbar.is-warning .navbar-dropdown a.navbar-item.is-active{background-color:#ffdd57;color:rgba(0,0,0,.7)}}.navbar.is-danger{background-color:#f14668;color:#fff}.navbar.is-danger .navbar-brand>.navbar-item,.navbar.is-danger .navbar-brand .navbar-link{color:#fff}.navbar.is-danger .navbar-brand>a.navbar-item:focus,.navbar.is-danger .navbar-brand>a.navbar-item:hover,.navbar.is-danger .navbar-brand>a.navbar-item.is-active,.navbar.is-danger .navbar-brand .navbar-link:focus,.navbar.is-danger .navbar-brand .navbar-link:hover,.navbar.is-danger .navbar-brand .navbar-link.is-active{background-color:#ef2e55;color:#fff}.navbar.is-danger .navbar-brand .navbar-link::after{border-color:#fff}.navbar.is-danger .navbar-burger{color:#fff}@media screen and (min-width:1024px){.navbar.is-danger .navbar-start>.navbar-item,.navbar.is-danger .navbar-start .navbar-link,.navbar.is-danger .navbar-end>.navbar-item,.navbar.is-danger .navbar-end .navbar-link{color:#fff}.navbar.is-danger .navbar-start>a.navbar-item:focus,.navbar.is-danger .navbar-start>a.navbar-item:hover,.navbar.is-danger .navbar-start>a.navbar-item.is-active,.navbar.is-danger .navbar-start .navbar-link:focus,.navbar.is-danger .navbar-start .navbar-link:hover,.navbar.is-danger .navbar-start .navbar-link.is-active,.navbar.is-danger .navbar-end>a.navbar-item:focus,.navbar.is-danger .navbar-end>a.navbar-item:hover,.navbar.is-danger .navbar-end>a.navbar-item.is-active,.navbar.is-danger .navbar-end .navbar-link:focus,.navbar.is-danger .navbar-end .navbar-link:hover,.navbar.is-danger .navbar-end .navbar-link.is-active{background-color:#ef2e55;color:#fff}.navbar.is-danger .navbar-start .navbar-link::after,.navbar.is-danger .navbar-end .navbar-link::after{border-color:#fff}.navbar.is-danger .navbar-item.has-dropdown:focus .navbar-link,.navbar.is-danger .navbar-item.has-dropdown:hover .navbar-link,.navbar.is-danger .navbar-item.has-dropdown.is-active .navbar-link{background-color:#ef2e55;color:#fff}.navbar.is-danger .navbar-dropdown a.navbar-item.is-active{background-color:#f14668;color:#fff}}.navbar>.container{align-items:stretch;display:flex;min-height:3rem;width:100%}.navbar.has-shadow{box-shadow:0 2px 0 0 #f5f5f5}.navbar.is-fixed-bottom,.navbar.is-fixed-top{left:0;position:fixed;right:0;z-index:30}.navbar.is-fixed-bottom{bottom:0}.navbar.is-fixed-bottom.has-shadow{box-shadow:0 -2px 0 0 #f5f5f5}.navbar.is-fixed-top{top:0}html.has-navbar-fixed-top,body.has-navbar-fixed-top{padding-top:3rem}html.has-navbar-fixed-bottom,body.has-navbar-fixed-bottom{padding-bottom:3rem}.navbar-brand,.navbar-tabs{align-items:stretch;display:flex;flex-shrink:0;min-height:3rem}.navbar-brand a.navbar-item:focus,.navbar-brand a.navbar-item:hover{background-color:transparent}.navbar-tabs{-webkit-overflow-scrolling:touch;max-width:100vw;overflow-x:auto;overflow-y:hidden}.navbar-burger{color:#4a4a4a;cursor:pointer;display:block;height:3rem;position:relative;width:3rem;margin-left:auto}.navbar-burger span{background-color:currentColor;display:block;height:1px;left:calc(50% - 8px);position:absolute;transform-origin:center;transition-duration:86ms;transition-property:background-color,opacity,transform;transition-timing-function:ease-out;width:16px}.navbar-burger span:nth-child(1){top:calc(50% - 6px)}.navbar-burger span:nth-child(2){top:calc(50% - 1px)}.navbar-burger span:nth-child(3){top:calc(50% + 4px)}.navbar-burger:hover{background-color:rgba(0,0,0,.05)}.navbar-burger.is-active span:nth-child(1){transform:translateY(5px)rotate(45deg)}.navbar-burger.is-active span:nth-child(2){opacity:0}.navbar-burger.is-active span:nth-child(3){transform:translateY(-5px)rotate(-45deg)}.navbar-menu{display:none}.navbar-item,.navbar-link{color:#4a4a4a;display:block;line-height:1.5;padding:.5rem .75rem;position:relative}.navbar-item .icon:only-child,.navbar-link .icon:only-child{margin-left:-.25rem;margin-right:-.25rem}a.navbar-item,.navbar-link{cursor:pointer}a.navbar-item:focus,a.navbar-item:focus-within,a.navbar-item:hover,a.navbar-item.is-active,.navbar-link:focus,.navbar-link:focus-within,.navbar-link:hover,.navbar-link.is-active{background-color:#fafafa;color:#3273dc}.navbar-item{flex-grow:0;flex-shrink:0}.navbar-item img{max-height:1.75rem}.navbar-item.has-dropdown{padding:0}.navbar-item.is-expanded{flex-grow:1;flex-shrink:1}.navbar-item.is-tab{border-bottom:1px solid transparent;min-height:3rem;padding-bottom:calc(0.5rem - 1px)}.navbar-item.is-tab:focus,.navbar-item.is-tab:hover{background-color:transparent;border-bottom-color:#3273dc}.navbar-item.is-tab.is-active{background-color:transparent;border-bottom-color:#3273dc;border-bottom-style:solid;border-bottom-width:3px;color:#3273dc;padding-bottom:calc(0.5rem - 3px)}.navbar-content{flex-grow:1;flex-shrink:1}.navbar-link:not(.is-arrowless){padding-right:2.5em}.navbar-link:not(.is-arrowless)::after{border-color:#3273dc;margin-top:-.375em;right:1.125em}.navbar-dropdown{font-size:.875rem;padding-bottom:.5rem;padding-top:.5rem}.navbar-dropdown .navbar-item{padding-left:1.5rem;padding-right:1.5rem}.navbar-divider{background-color:#f5f5f5;border:none;display:none;height:2px;margin:.5rem 0}@media screen and (max-width:1023px){.navbar>.container{display:block}.navbar-brand .navbar-item,.navbar-tabs .navbar-item{align-items:center;display:flex}.navbar-link::after{display:none}.navbar-menu{background-color:#fff;box-shadow:0 8px 16px rgba(10,10,10,.1);padding:.5rem 0}.navbar-menu.is-active{display:block}.navbar.is-fixed-bottom-touch,.navbar.is-fixed-top-touch{left:0;position:fixed;right:0;z-index:30}.navbar.is-fixed-bottom-touch{bottom:0}.navbar.is-fixed-bottom-touch.has-shadow{box-shadow:0 -2px 3px rgba(10,10,10,.1)}.navbar.is-fixed-top-touch{top:0}.navbar.is-fixed-top .navbar-menu,.navbar.is-fixed-top-touch .navbar-menu{-webkit-overflow-scrolling:touch;max-height:calc(100vh - 3rem);overflow:auto}html.has-navbar-fixed-top-touch,body.has-navbar-fixed-top-touch{padding-top:3rem}html.has-navbar-fixed-bottom-touch,body.has-navbar-fixed-bottom-touch{padding-bottom:3rem}}@media screen and (min-width:1024px){.navbar,.navbar-menu,.navbar-start,.navbar-end{align-items:stretch;display:flex}.navbar{min-height:3rem}.navbar.is-spaced{padding:1rem 2rem}.navbar.is-spaced .navbar-start,.navbar.is-spaced .navbar-end{align-items:center}.navbar.is-spaced a.navbar-item,.navbar.is-spaced .navbar-link{border-radius:4px}.navbar.is-transparent a.navbar-item:focus,.navbar.is-transparent a.navbar-item:hover,.navbar.is-transparent a.navbar-item.is-active,.navbar.is-transparent .navbar-link:focus,.navbar.is-transparent .navbar-link:hover,.navbar.is-transparent .navbar-link.is-active{background-color:transparent!important}.navbar.is-transparent .navbar-item.has-dropdown.is-active .navbar-link,.navbar.is-transparent .navbar-item.has-dropdown.is-hoverable:focus .navbar-link,.navbar.is-transparent .navbar-item.has-dropdown.is-hoverable:focus-within .navbar-link,.navbar.is-transparent .navbar-item.has-dropdown.is-hoverable:hover .navbar-link{background-color:transparent!important}.navbar.is-transparent .navbar-dropdown a.navbar-item:focus,.navbar.is-transparent .navbar-dropdown a.navbar-item:hover{background-color:#f5f5f5;color:#0a0a0a}.navbar.is-transparent .navbar-dropdown a.navbar-item.is-active{background-color:#f5f5f5;color:#3273dc}.navbar-burger{display:none}.navbar-item,.navbar-link{align-items:center;display:flex}.navbar-item.has-dropdown{align-items:stretch}.navbar-item.has-dropdown-up .navbar-link::after{transform:rotate(135deg)translate(0.25em,-0.25em)}.navbar-item.has-dropdown-up .navbar-dropdown{border-bottom:2px solid #dbdbdb;border-radius:6px 6px 0 0;border-top:none;bottom:100%;box-shadow:0 -8px 8px rgba(10,10,10,.1);top:auto}.navbar-item.is-active .navbar-dropdown,.navbar-item.is-hoverable:focus .navbar-dropdown,.navbar-item.is-hoverable:focus-within .navbar-dropdown,.navbar-item.is-hoverable:hover .navbar-dropdown{display:block}.navbar.is-spaced .navbar-item.is-active .navbar-dropdown,.navbar-item.is-active .navbar-dropdown.is-boxed,.navbar.is-spaced .navbar-item.is-hoverable:focus .navbar-dropdown,.navbar-item.is-hoverable:focus .navbar-dropdown.is-boxed,.navbar.is-spaced .navbar-item.is-hoverable:focus-within .navbar-dropdown,.navbar-item.is-hoverable:focus-within .navbar-dropdown.is-boxed,.navbar.is-spaced .navbar-item.is-hoverable:hover .navbar-dropdown,.navbar-item.is-hoverable:hover .navbar-dropdown.is-boxed{opacity:1;pointer-events:auto;transform:translateY(0)}.navbar-menu{flex-grow:1;flex-shrink:0}.navbar-start{justify-content:flex-start;margin-right:auto}.navbar-end{justify-content:flex-end;margin-left:auto}.navbar-dropdown{background-color:#fff;border-bottom-left-radius:6px;border-bottom-right-radius:6px;border-top:2px solid #dbdbdb;box-shadow:0 8px 8px rgba(10,10,10,.1);display:none;font-size:.875rem;left:0;min-width:100%;position:absolute;top:100%;z-index:20}.navbar-dropdown .navbar-item{padding:.375rem 1rem;white-space:nowrap}.navbar-dropdown a.navbar-item{padding-right:3rem}.navbar-dropdown a.navbar-item:focus,.navbar-dropdown a.navbar-item:hover{background-color:#f5f5f5;color:#0a0a0a}.navbar-dropdown a.navbar-item.is-active{background-color:#f5f5f5;color:#3273dc}.navbar.is-spaced .navbar-dropdown,.navbar-dropdown.is-boxed{border-radius:6px;border-top:none;box-shadow:0 8px 8px rgba(10,10,10,.1),0 0 0 1px rgba(10,10,10,.1);display:block;opacity:0;pointer-events:none;top:calc(100% + (-4px));transform:translateY(-5px);transition-duration:86ms;transition-property:opacity,transform}.navbar-dropdown.is-right{left:auto;right:0}.navbar-divider{display:block}.navbar>.container .navbar-brand,.container>.navbar .navbar-brand{margin-left:-.75rem}.navbar>.container .navbar-menu,.container>.navbar .navbar-menu{margin-right:-.75rem}.navbar.is-fixed-bottom-desktop,.navbar.is-fixed-top-desktop{left:0;position:fixed;right:0;z-index:30}.navbar.is-fixed-bottom-desktop{bottom:0}.navbar.is-fixed-bottom-desktop.has-shadow{box-shadow:0 -2px 3px rgba(10,10,10,.1)}.navbar.is-fixed-top-desktop{top:0}html.has-navbar-fixed-top-desktop,body.has-navbar-fixed-top-desktop{padding-top:3rem}html.has-navbar-fixed-bottom-desktop,body.has-navbar-fixed-bottom-desktop{padding-bottom:3rem}html.has-spaced-navbar-fixed-top,body.has-spaced-navbar-fixed-top{padding-top:5rem}html.has-spaced-navbar-fixed-bottom,body.has-spaced-navbar-fixed-bottom{padding-bottom:5rem}a.navbar-item.is-active,.navbar-link.is-active{color:#0a0a0a}a.navbar-item.is-active:not(:focus):not(:hover),.navbar-link.is-active:not(:focus):not(:hover){background-color:transparent}.navbar-item.has-dropdown:focus .navbar-link,.navbar-item.has-dropdown:hover .navbar-link,.navbar-item.has-dropdown.is-active .navbar-link{background-color:#fafafa}}.hero.is-fullheight-with-navbar{min-height:calc(100vh - 3rem)}.pagination{font-size:1rem;margin:-.25rem}.pagination.is-small{font-size:.75rem}.pagination.is-medium{font-size:1.25rem}.pagination.is-large{font-size:1.5rem}.pagination.is-rounded .pagination-previous,.pagination.is-rounded .pagination-next{padding-left:1em;padding-right:1em;border-radius:290486px}.pagination.is-rounded .pagination-link{border-radius:290486px}.pagination,.pagination-list{align-items:center;display:flex;justify-content:center;text-align:center}.pagination-previous,.pagination-next,.pagination-link,.pagination-ellipsis{font-size:1em;justify-content:center;margin:.25rem;padding-left:.5em;padding-right:.5em;text-align:center}.pagination-previous,.pagination-next,.pagination-link{border-color:#dbdbdb;color:#363636;min-width:2.5em}.pagination-previous:hover,.pagination-next:hover,.pagination-link:hover{border-color:#b5b5b5;color:#363636}.pagination-previous:focus,.pagination-next:focus,.pagination-link:focus{border-color:#3273dc}.pagination-previous:active,.pagination-next:active,.pagination-link:active{box-shadow:inset 0 1px 2px rgba(10,10,10,.2)}.pagination-previous[disabled],.pagination-next[disabled],.pagination-link[disabled]{background-color:#dbdbdb;border-color:#dbdbdb;box-shadow:none;color:#7a7a7a;opacity:.5}.pagination-previous,.pagination-next{padding-left:.75em;padding-right:.75em;white-space:nowrap}.pagination-link.is-current{background-color:#3273dc;border-color:#3273dc;color:#fff}.pagination-ellipsis{color:#b5b5b5;pointer-events:none}.pagination-list{flex-wrap:wrap}@media screen and (max-width:768px){.pagination{flex-wrap:wrap}.pagination-previous,.pagination-next{flex-grow:1;flex-shrink:1}.pagination-list li{flex-grow:1;flex-shrink:1}}@media screen and (min-width:769px),print{.pagination-list{flex-grow:1;flex-shrink:1;justify-content:flex-start;order:1}.pagination-previous{order:2}.pagination-next{order:3}.pagination{justify-content:space-between}.pagination.is-centered .pagination-previous{order:1}.pagination.is-centered .pagination-list{justify-content:center;order:2}.pagination.is-centered .pagination-next{order:3}.pagination.is-right .pagination-previous{order:1}.pagination.is-right .pagination-next{order:2}.pagination.is-right .pagination-list{justify-content:flex-end;order:3}}.panel{border-radius:6px;box-shadow:0 .5em 1em -.125em rgba(10,10,10,.1),0 0 0 1px rgba(10,10,10,.02);font-size:1rem}.panel:not(:last-child){margin-bottom:1.5rem}.panel.is-white .panel-heading{background-color:#fff;color:#0a0a0a}.panel.is-white .panel-tabs a.is-active{border-bottom-color:#fff}.panel.is-white .panel-block.is-active .panel-icon{color:#fff}.panel.is-black .panel-heading{background-color:#0a0a0a;color:#fff}.panel.is-black .panel-tabs a.is-active{border-bottom-color:#0a0a0a}.panel.is-black .panel-block.is-active .panel-icon{color:#0a0a0a}.panel.is-light .panel-heading{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.panel.is-light .panel-tabs a.is-active{border-bottom-color:#f5f5f5}.panel.is-light .panel-block.is-active .panel-icon{color:#f5f5f5}.panel.is-dark .panel-heading{background-color:#363636;color:#fff}.panel.is-dark .panel-tabs a.is-active{border-bottom-color:#363636}.panel.is-dark .panel-block.is-active .panel-icon{color:#363636}.panel.is-primary .panel-heading{background-color:#00d1b2;color:#fff}.panel.is-primary .panel-tabs a.is-active{border-bottom-color:#00d1b2}.panel.is-primary .panel-block.is-active .panel-icon{color:#00d1b2}.panel.is-link .panel-heading{background-color:#3273dc;color:#fff}.panel.is-link .panel-tabs a.is-active{border-bottom-color:#3273dc}.panel.is-link .panel-block.is-active .panel-icon{color:#3273dc}.panel.is-info .panel-heading{background-color:#3298dc;color:#fff}.panel.is-info .panel-tabs a.is-active{border-bottom-color:#3298dc}.panel.is-info .panel-block.is-active .panel-icon{color:#3298dc}.panel.is-success .panel-heading{background-color:#48c774;color:#fff}.panel.is-success .panel-tabs a.is-active{border-bottom-color:#48c774}.panel.is-success .panel-block.is-active .panel-icon{color:#48c774}.panel.is-warning .panel-heading{background-color:#ffdd57;color:rgba(0,0,0,.7)}.panel.is-warning .panel-tabs a.is-active{border-bottom-color:#ffdd57}.panel.is-warning .panel-block.is-active .panel-icon{color:#ffdd57}.panel.is-danger .panel-heading{background-color:#f14668;color:#fff}.panel.is-danger .panel-tabs a.is-active{border-bottom-color:#f14668}.panel.is-danger .panel-block.is-active .panel-icon{color:#f14668}.panel-tabs:not(:last-child),.panel-block:not(:last-child){border-bottom:1px solid #ededed}.panel-heading{background-color:#ededed;border-radius:6px 6px 0 0;color:#363636;font-size:1.25em;font-weight:700;line-height:1.25;padding:.75em 1em}.panel-tabs{align-items:flex-end;display:flex;font-size:.875em;justify-content:center}.panel-tabs a{border-bottom:1px solid #dbdbdb;margin-bottom:-1px;padding:.5em}.panel-tabs a.is-active{border-bottom-color:#4a4a4a;color:#363636}.panel-list a{color:#4a4a4a}.panel-list a:hover{color:#3273dc}.panel-block{align-items:center;color:#363636;display:flex;justify-content:flex-start;padding:.5em .75em}.panel-block input[type=checkbox]{margin-right:.75em}.panel-block>.control{flex-grow:1;flex-shrink:1;width:100%}.panel-block.is-wrapped{flex-wrap:wrap}.panel-block.is-active{border-left-color:#3273dc;color:#363636}.panel-block.is-active .panel-icon{color:#3273dc}.panel-block:last-child{border-bottom-left-radius:6px;border-bottom-right-radius:6px}a.panel-block,label.panel-block{cursor:pointer}a.panel-block:hover,label.panel-block:hover{background-color:#f5f5f5}.panel-icon{display:inline-block;font-size:14px;height:1em;line-height:1em;text-align:center;vertical-align:top;width:1em;color:#7a7a7a;margin-right:.75em}.panel-icon .fa{font-size:inherit;line-height:inherit}.tabs{-webkit-overflow-scrolling:touch;align-items:stretch;display:flex;font-size:1rem;justify-content:space-between;overflow:hidden;overflow-x:auto;white-space:nowrap}.tabs a{align-items:center;border-bottom-color:#dbdbdb;border-bottom-style:solid;border-bottom-width:1px;color:#4a4a4a;display:flex;justify-content:center;margin-bottom:-1px;padding:.5em 1em;vertical-align:top}.tabs a:hover{border-bottom-color:#363636;color:#363636}.tabs li{display:block}.tabs li.is-active a{border-bottom-color:#3273dc;color:#3273dc}.tabs ul{align-items:center;border-bottom-color:#dbdbdb;border-bottom-style:solid;border-bottom-width:1px;display:flex;flex-grow:1;flex-shrink:0;justify-content:flex-start}.tabs ul.is-left{padding-right:.75em}.tabs ul.is-center{flex:none;justify-content:center;padding-left:.75em;padding-right:.75em}.tabs ul.is-right{justify-content:flex-end;padding-left:.75em}.tabs .icon:first-child{margin-right:.5em}.tabs .icon:last-child{margin-left:.5em}.tabs.is-centered ul{justify-content:center}.tabs.is-right ul{justify-content:flex-end}.tabs.is-boxed a{border:1px solid transparent;border-radius:4px 4px 0 0}.tabs.is-boxed a:hover{background-color:#f5f5f5;border-bottom-color:#dbdbdb}.tabs.is-boxed li.is-active a{background-color:#fff;border-color:#dbdbdb;border-bottom-color:transparent!important}.tabs.is-fullwidth li{flex-grow:1;flex-shrink:0}.tabs.is-toggle a{border-color:#dbdbdb;border-style:solid;border-width:1px;margin-bottom:0;position:relative}.tabs.is-toggle a:hover{background-color:#f5f5f5;border-color:#b5b5b5;z-index:2}.tabs.is-toggle li+li{margin-left:-1px}.tabs.is-toggle li:first-child a{border-top-left-radius:4px;border-bottom-left-radius:4px}.tabs.is-toggle li:last-child a{border-top-right-radius:4px;border-bottom-right-radius:4px}.tabs.is-toggle li.is-active a{background-color:#3273dc;border-color:#3273dc;color:#fff;z-index:1}.tabs.is-toggle ul{border-bottom:none}.tabs.is-toggle.is-toggle-rounded li:first-child a{border-bottom-left-radius:290486px;border-top-left-radius:290486px;padding-left:1.25em}.tabs.is-toggle.is-toggle-rounded li:last-child a{border-bottom-right-radius:290486px;border-top-right-radius:290486px;padding-right:1.25em}.tabs.is-small{font-size:.75rem}.tabs.is-medium{font-size:1.25rem}.tabs.is-large{font-size:1.5rem}.column{display:block;flex-basis:0;flex-grow:1;flex-shrink:1;padding:.75rem}.columns.is-mobile>.column.is-narrow{flex:none}.columns.is-mobile>.column.is-full{flex:none;width:100%}.columns.is-mobile>.column.is-three-quarters{flex:none;width:75%}.columns.is-mobile>.column.is-two-thirds{flex:none;width:66.6666%}.columns.is-mobile>.column.is-half{flex:none;width:50%}.columns.is-mobile>.column.is-one-third{flex:none;width:33.3333%}.columns.is-mobile>.column.is-one-quarter{flex:none;width:25%}.columns.is-mobile>.column.is-one-fifth{flex:none;width:20%}.columns.is-mobile>.column.is-two-fifths{flex:none;width:40%}.columns.is-mobile>.column.is-three-fifths{flex:none;width:60%}.columns.is-mobile>.column.is-four-fifths{flex:none;width:80%}.columns.is-mobile>.column.is-offset-three-quarters{margin-left:75%}.columns.is-mobile>.column.is-offset-two-thirds{margin-left:66.6666%}.columns.is-mobile>.column.is-offset-half{margin-left:50%}.columns.is-mobile>.column.is-offset-one-third{margin-left:33.3333%}.columns.is-mobile>.column.is-offset-one-quarter{margin-left:25%}.columns.is-mobile>.column.is-offset-one-fifth{margin-left:20%}.columns.is-mobile>.column.is-offset-two-fifths{margin-left:40%}.columns.is-mobile>.column.is-offset-three-fifths{margin-left:60%}.columns.is-mobile>.column.is-offset-four-fifths{margin-left:80%}.columns.is-mobile>.column.is-0{flex:none;width:0%}.columns.is-mobile>.column.is-offset-0{margin-left:0%}.columns.is-mobile>.column.is-1{flex:none;width:8.33333333%}.columns.is-mobile>.column.is-offset-1{margin-left:8.33333333%}.columns.is-mobile>.column.is-2{flex:none;width:16.66666667%}.columns.is-mobile>.column.is-offset-2{margin-left:16.66666667%}.columns.is-mobile>.column.is-3{flex:none;width:25%}.columns.is-mobile>.column.is-offset-3{margin-left:25%}.columns.is-mobile>.column.is-4{flex:none;width:33.33333333%}.columns.is-mobile>.column.is-offset-4{margin-left:33.33333333%}.columns.is-mobile>.column.is-5{flex:none;width:41.66666667%}.columns.is-mobile>.column.is-offset-5{margin-left:41.66666667%}.columns.is-mobile>.column.is-6{flex:none;width:50%}.columns.is-mobile>.column.is-offset-6{margin-left:50%}.columns.is-mobile>.column.is-7{flex:none;width:58.33333333%}.columns.is-mobile>.column.is-offset-7{margin-left:58.33333333%}.columns.is-mobile>.column.is-8{flex:none;width:66.66666667%}.columns.is-mobile>.column.is-offset-8{margin-left:66.66666667%}.columns.is-mobile>.column.is-9{flex:none;width:75%}.columns.is-mobile>.column.is-offset-9{margin-left:75%}.columns.is-mobile>.column.is-10{flex:none;width:83.33333333%}.columns.is-mobile>.column.is-offset-10{margin-left:83.33333333%}.columns.is-mobile>.column.is-11{flex:none;width:91.66666667%}.columns.is-mobile>.column.is-offset-11{margin-left:91.66666667%}.columns.is-mobile>.column.is-12{flex:none;width:100%}.columns.is-mobile>.column.is-offset-12{margin-left:100%}@media screen and (max-width:768px){.column.is-narrow-mobile{flex:none}.column.is-full-mobile{flex:none;width:100%}.column.is-three-quarters-mobile{flex:none;width:75%}.column.is-two-thirds-mobile{flex:none;width:66.6666%}.column.is-half-mobile{flex:none;width:50%}.column.is-one-third-mobile{flex:none;width:33.3333%}.column.is-one-quarter-mobile{flex:none;width:25%}.column.is-one-fifth-mobile{flex:none;width:20%}.column.is-two-fifths-mobile{flex:none;width:40%}.column.is-three-fifths-mobile{flex:none;width:60%}.column.is-four-fifths-mobile{flex:none;width:80%}.column.is-offset-three-quarters-mobile{margin-left:75%}.column.is-offset-two-thirds-mobile{margin-left:66.6666%}.column.is-offset-half-mobile{margin-left:50%}.column.is-offset-one-third-mobile{margin-left:33.3333%}.column.is-offset-one-quarter-mobile{margin-left:25%}.column.is-offset-one-fifth-mobile{margin-left:20%}.column.is-offset-two-fifths-mobile{margin-left:40%}.column.is-offset-three-fifths-mobile{margin-left:60%}.column.is-offset-four-fifths-mobile{margin-left:80%}.column.is-0-mobile{flex:none;width:0%}.column.is-offset-0-mobile{margin-left:0%}.column.is-1-mobile{flex:none;width:8.33333333%}.column.is-offset-1-mobile{margin-left:8.33333333%}.column.is-2-mobile{flex:none;width:16.66666667%}.column.is-offset-2-mobile{margin-left:16.66666667%}.column.is-3-mobile{flex:none;width:25%}.column.is-offset-3-mobile{margin-left:25%}.column.is-4-mobile{flex:none;width:33.33333333%}.column.is-offset-4-mobile{margin-left:33.33333333%}.column.is-5-mobile{flex:none;width:41.66666667%}.column.is-offset-5-mobile{margin-left:41.66666667%}.column.is-6-mobile{flex:none;width:50%}.column.is-offset-6-mobile{margin-left:50%}.column.is-7-mobile{flex:none;width:58.33333333%}.column.is-offset-7-mobile{margin-left:58.33333333%}.column.is-8-mobile{flex:none;width:66.66666667%}.column.is-offset-8-mobile{margin-left:66.66666667%}.column.is-9-mobile{flex:none;width:75%}.column.is-offset-9-mobile{margin-left:75%}.column.is-10-mobile{flex:none;width:83.33333333%}.column.is-offset-10-mobile{margin-left:83.33333333%}.column.is-11-mobile{flex:none;width:91.66666667%}.column.is-offset-11-mobile{margin-left:91.66666667%}.column.is-12-mobile{flex:none;width:100%}.column.is-offset-12-mobile{margin-left:100%}}@media screen and (min-width:769px),print{.column.is-narrow,.column.is-narrow-tablet{flex:none}.column.is-full,.column.is-full-tablet{flex:none;width:100%}.column.is-three-quarters,.column.is-three-quarters-tablet{flex:none;width:75%}.column.is-two-thirds,.column.is-two-thirds-tablet{flex:none;width:66.6666%}.column.is-half,.column.is-half-tablet{flex:none;width:50%}.column.is-one-third,.column.is-one-third-tablet{flex:none;width:33.3333%}.column.is-one-quarter,.column.is-one-quarter-tablet{flex:none;width:25%}.column.is-one-fifth,.column.is-one-fifth-tablet{flex:none;width:20%}.column.is-two-fifths,.column.is-two-fifths-tablet{flex:none;width:40%}.column.is-three-fifths,.column.is-three-fifths-tablet{flex:none;width:60%}.column.is-four-fifths,.column.is-four-fifths-tablet{flex:none;width:80%}.column.is-offset-three-quarters,.column.is-offset-three-quarters-tablet{margin-left:75%}.column.is-offset-two-thirds,.column.is-offset-two-thirds-tablet{margin-left:66.6666%}.column.is-offset-half,.column.is-offset-half-tablet{margin-left:50%}.column.is-offset-one-third,.column.is-offset-one-third-tablet{margin-left:33.3333%}.column.is-offset-one-quarter,.column.is-offset-one-quarter-tablet{margin-left:25%}.column.is-offset-one-fifth,.column.is-offset-one-fifth-tablet{margin-left:20%}.column.is-offset-two-fifths,.column.is-offset-two-fifths-tablet{margin-left:40%}.column.is-offset-three-fifths,.column.is-offset-three-fifths-tablet{margin-left:60%}.column.is-offset-four-fifths,.column.is-offset-four-fifths-tablet{margin-left:80%}.column.is-0,.column.is-0-tablet{flex:none;width:0%}.column.is-offset-0,.column.is-offset-0-tablet{margin-left:0%}.column.is-1,.column.is-1-tablet{flex:none;width:8.33333333%}.column.is-offset-1,.column.is-offset-1-tablet{margin-left:8.33333333%}.column.is-2,.column.is-2-tablet{flex:none;width:16.66666667%}.column.is-offset-2,.column.is-offset-2-tablet{margin-left:16.66666667%}.column.is-3,.column.is-3-tablet{flex:none;width:25%}.column.is-offset-3,.column.is-offset-3-tablet{margin-left:25%}.column.is-4,.column.is-4-tablet{flex:none;width:33.33333333%}.column.is-offset-4,.column.is-offset-4-tablet{margin-left:33.33333333%}.column.is-5,.column.is-5-tablet{flex:none;width:41.66666667%}.column.is-offset-5,.column.is-offset-5-tablet{margin-left:41.66666667%}.column.is-6,.column.is-6-tablet{flex:none;width:50%}.column.is-offset-6,.column.is-offset-6-tablet{margin-left:50%}.column.is-7,.column.is-7-tablet{flex:none;width:58.33333333%}.column.is-offset-7,.column.is-offset-7-tablet{margin-left:58.33333333%}.column.is-8,.column.is-8-tablet{flex:none;width:66.66666667%}.column.is-offset-8,.column.is-offset-8-tablet{margin-left:66.66666667%}.column.is-9,.column.is-9-tablet{flex:none;width:75%}.column.is-offset-9,.column.is-offset-9-tablet{margin-left:75%}.column.is-10,.column.is-10-tablet{flex:none;width:83.33333333%}.column.is-offset-10,.column.is-offset-10-tablet{margin-left:83.33333333%}.column.is-11,.column.is-11-tablet{flex:none;width:91.66666667%}.column.is-offset-11,.column.is-offset-11-tablet{margin-left:91.66666667%}.column.is-12,.column.is-12-tablet{flex:none;width:100%}.column.is-offset-12,.column.is-offset-12-tablet{margin-left:100%}}@media screen and (max-width:1023px){.column.is-narrow-touch{flex:none}.column.is-full-touch{flex:none;width:100%}.column.is-three-quarters-touch{flex:none;width:75%}.column.is-two-thirds-touch{flex:none;width:66.6666%}.column.is-half-touch{flex:none;width:50%}.column.is-one-third-touch{flex:none;width:33.3333%}.column.is-one-quarter-touch{flex:none;width:25%}.column.is-one-fifth-touch{flex:none;width:20%}.column.is-two-fifths-touch{flex:none;width:40%}.column.is-three-fifths-touch{flex:none;width:60%}.column.is-four-fifths-touch{flex:none;width:80%}.column.is-offset-three-quarters-touch{margin-left:75%}.column.is-offset-two-thirds-touch{margin-left:66.6666%}.column.is-offset-half-touch{margin-left:50%}.column.is-offset-one-third-touch{margin-left:33.3333%}.column.is-offset-one-quarter-touch{margin-left:25%}.column.is-offset-one-fifth-touch{margin-left:20%}.column.is-offset-two-fifths-touch{margin-left:40%}.column.is-offset-three-fifths-touch{margin-left:60%}.column.is-offset-four-fifths-touch{margin-left:80%}.column.is-0-touch{flex:none;width:0%}.column.is-offset-0-touch{margin-left:0%}.column.is-1-touch{flex:none;width:8.33333333%}.column.is-offset-1-touch{margin-left:8.33333333%}.column.is-2-touch{flex:none;width:16.66666667%}.column.is-offset-2-touch{margin-left:16.66666667%}.column.is-3-touch{flex:none;width:25%}.column.is-offset-3-touch{margin-left:25%}.column.is-4-touch{flex:none;width:33.33333333%}.column.is-offset-4-touch{margin-left:33.33333333%}.column.is-5-touch{flex:none;width:41.66666667%}.column.is-offset-5-touch{margin-left:41.66666667%}.column.is-6-touch{flex:none;width:50%}.column.is-offset-6-touch{margin-left:50%}.column.is-7-touch{flex:none;width:58.33333333%}.column.is-offset-7-touch{margin-left:58.33333333%}.column.is-8-touch{flex:none;width:66.66666667%}.column.is-offset-8-touch{margin-left:66.66666667%}.column.is-9-touch{flex:none;width:75%}.column.is-offset-9-touch{margin-left:75%}.column.is-10-touch{flex:none;width:83.33333333%}.column.is-offset-10-touch{margin-left:83.33333333%}.column.is-11-touch{flex:none;width:91.66666667%}.column.is-offset-11-touch{margin-left:91.66666667%}.column.is-12-touch{flex:none;width:100%}.column.is-offset-12-touch{margin-left:100%}}@media screen and (min-width:1024px){.column.is-narrow-desktop{flex:none}.column.is-full-desktop{flex:none;width:100%}.column.is-three-quarters-desktop{flex:none;width:75%}.column.is-two-thirds-desktop{flex:none;width:66.6666%}.column.is-half-desktop{flex:none;width:50%}.column.is-one-third-desktop{flex:none;width:33.3333%}.column.is-one-quarter-desktop{flex:none;width:25%}.column.is-one-fifth-desktop{flex:none;width:20%}.column.is-two-fifths-desktop{flex:none;width:40%}.column.is-three-fifths-desktop{flex:none;width:60%}.column.is-four-fifths-desktop{flex:none;width:80%}.column.is-offset-three-quarters-desktop{margin-left:75%}.column.is-offset-two-thirds-desktop{margin-left:66.6666%}.column.is-offset-half-desktop{margin-left:50%}.column.is-offset-one-third-desktop{margin-left:33.3333%}.column.is-offset-one-quarter-desktop{margin-left:25%}.column.is-offset-one-fifth-desktop{margin-left:20%}.column.is-offset-two-fifths-desktop{margin-left:40%}.column.is-offset-three-fifths-desktop{margin-left:60%}.column.is-offset-four-fifths-desktop{margin-left:80%}.column.is-0-desktop{flex:none;width:0%}.column.is-offset-0-desktop{margin-left:0%}.column.is-1-desktop{flex:none;width:8.33333333%}.column.is-offset-1-desktop{margin-left:8.33333333%}.column.is-2-desktop{flex:none;width:16.66666667%}.column.is-offset-2-desktop{margin-left:16.66666667%}.column.is-3-desktop{flex:none;width:25%}.column.is-offset-3-desktop{margin-left:25%}.column.is-4-desktop{flex:none;width:33.33333333%}.column.is-offset-4-desktop{margin-left:33.33333333%}.column.is-5-desktop{flex:none;width:41.66666667%}.column.is-offset-5-desktop{margin-left:41.66666667%}.column.is-6-desktop{flex:none;width:50%}.column.is-offset-6-desktop{margin-left:50%}.column.is-7-desktop{flex:none;width:58.33333333%}.column.is-offset-7-desktop{margin-left:58.33333333%}.column.is-8-desktop{flex:none;width:66.66666667%}.column.is-offset-8-desktop{margin-left:66.66666667%}.column.is-9-desktop{flex:none;width:75%}.column.is-offset-9-desktop{margin-left:75%}.column.is-10-desktop{flex:none;width:83.33333333%}.column.is-offset-10-desktop{margin-left:83.33333333%}.column.is-11-desktop{flex:none;width:91.66666667%}.column.is-offset-11-desktop{margin-left:91.66666667%}.column.is-12-desktop{flex:none;width:100%}.column.is-offset-12-desktop{margin-left:100%}}@media screen and (min-width:1216px){.column.is-narrow-widescreen{flex:none}.column.is-full-widescreen{flex:none;width:100%}.column.is-three-quarters-widescreen{flex:none;width:75%}.column.is-two-thirds-widescreen{flex:none;width:66.6666%}.column.is-half-widescreen{flex:none;width:50%}.column.is-one-third-widescreen{flex:none;width:33.3333%}.column.is-one-quarter-widescreen{flex:none;width:25%}.column.is-one-fifth-widescreen{flex:none;width:20%}.column.is-two-fifths-widescreen{flex:none;width:40%}.column.is-three-fifths-widescreen{flex:none;width:60%}.column.is-four-fifths-widescreen{flex:none;width:80%}.column.is-offset-three-quarters-widescreen{margin-left:75%}.column.is-offset-two-thirds-widescreen{margin-left:66.6666%}.column.is-offset-half-widescreen{margin-left:50%}.column.is-offset-one-third-widescreen{margin-left:33.3333%}.column.is-offset-one-quarter-widescreen{margin-left:25%}.column.is-offset-one-fifth-widescreen{margin-left:20%}.column.is-offset-two-fifths-widescreen{margin-left:40%}.column.is-offset-three-fifths-widescreen{margin-left:60%}.column.is-offset-four-fifths-widescreen{margin-left:80%}.column.is-0-widescreen{flex:none;width:0%}.column.is-offset-0-widescreen{margin-left:0%}.column.is-1-widescreen{flex:none;width:8.33333333%}.column.is-offset-1-widescreen{margin-left:8.33333333%}.column.is-2-widescreen{flex:none;width:16.66666667%}.column.is-offset-2-widescreen{margin-left:16.66666667%}.column.is-3-widescreen{flex:none;width:25%}.column.is-offset-3-widescreen{margin-left:25%}.column.is-4-widescreen{flex:none;width:33.33333333%}.column.is-offset-4-widescreen{margin-left:33.33333333%}.column.is-5-widescreen{flex:none;width:41.66666667%}.column.is-offset-5-widescreen{margin-left:41.66666667%}.column.is-6-widescreen{flex:none;width:50%}.column.is-offset-6-widescreen{margin-left:50%}.column.is-7-widescreen{flex:none;width:58.33333333%}.column.is-offset-7-widescreen{margin-left:58.33333333%}.column.is-8-widescreen{flex:none;width:66.66666667%}.column.is-offset-8-widescreen{margin-left:66.66666667%}.column.is-9-widescreen{flex:none;width:75%}.column.is-offset-9-widescreen{margin-left:75%}.column.is-10-widescreen{flex:none;width:83.33333333%}.column.is-offset-10-widescreen{margin-left:83.33333333%}.column.is-11-widescreen{flex:none;width:91.66666667%}.column.is-offset-11-widescreen{margin-left:91.66666667%}.column.is-12-widescreen{flex:none;width:100%}.column.is-offset-12-widescreen{margin-left:100%}}@media screen and (min-width:1408px){.column.is-narrow-fullhd{flex:none}.column.is-full-fullhd{flex:none;width:100%}.column.is-three-quarters-fullhd{flex:none;width:75%}.column.is-two-thirds-fullhd{flex:none;width:66.6666%}.column.is-half-fullhd{flex:none;width:50%}.column.is-one-third-fullhd{flex:none;width:33.3333%}.column.is-one-quarter-fullhd{flex:none;width:25%}.column.is-one-fifth-fullhd{flex:none;width:20%}.column.is-two-fifths-fullhd{flex:none;width:40%}.column.is-three-fifths-fullhd{flex:none;width:60%}.column.is-four-fifths-fullhd{flex:none;width:80%}.column.is-offset-three-quarters-fullhd{margin-left:75%}.column.is-offset-two-thirds-fullhd{margin-left:66.6666%}.column.is-offset-half-fullhd{margin-left:50%}.column.is-offset-one-third-fullhd{margin-left:33.3333%}.column.is-offset-one-quarter-fullhd{margin-left:25%}.column.is-offset-one-fifth-fullhd{margin-left:20%}.column.is-offset-two-fifths-fullhd{margin-left:40%}.column.is-offset-three-fifths-fullhd{margin-left:60%}.column.is-offset-four-fifths-fullhd{margin-left:80%}.column.is-0-fullhd{flex:none;width:0%}.column.is-offset-0-fullhd{margin-left:0%}.column.is-1-fullhd{flex:none;width:8.33333333%}.column.is-offset-1-fullhd{margin-left:8.33333333%}.column.is-2-fullhd{flex:none;width:16.66666667%}.column.is-offset-2-fullhd{margin-left:16.66666667%}.column.is-3-fullhd{flex:none;width:25%}.column.is-offset-3-fullhd{margin-left:25%}.column.is-4-fullhd{flex:none;width:33.33333333%}.column.is-offset-4-fullhd{margin-left:33.33333333%}.column.is-5-fullhd{flex:none;width:41.66666667%}.column.is-offset-5-fullhd{margin-left:41.66666667%}.column.is-6-fullhd{flex:none;width:50%}.column.is-offset-6-fullhd{margin-left:50%}.column.is-7-fullhd{flex:none;width:58.33333333%}.column.is-offset-7-fullhd{margin-left:58.33333333%}.column.is-8-fullhd{flex:none;width:66.66666667%}.column.is-offset-8-fullhd{margin-left:66.66666667%}.column.is-9-fullhd{flex:none;width:75%}.column.is-offset-9-fullhd{margin-left:75%}.column.is-10-fullhd{flex:none;width:83.33333333%}.column.is-offset-10-fullhd{margin-left:83.33333333%}.column.is-11-fullhd{flex:none;width:91.66666667%}.column.is-offset-11-fullhd{margin-left:91.66666667%}.column.is-12-fullhd{flex:none;width:100%}.column.is-offset-12-fullhd{margin-left:100%}}.columns{margin-left:-.75rem;margin-right:-.75rem;margin-top:-.75rem}.columns:last-child{margin-bottom:-.75rem}.columns:not(:last-child){margin-bottom:calc(1.5rem - .75rem)}.columns.is-centered{justify-content:center}.columns.is-gapless{margin-left:0;margin-right:0;margin-top:0}.columns.is-gapless>.column{margin:0;padding:0!important}.columns.is-gapless:not(:last-child){margin-bottom:1.5rem}.columns.is-gapless:last-child{margin-bottom:0}.columns.is-mobile{display:flex}.columns.is-multiline{flex-wrap:wrap}.columns.is-vcentered{align-items:center}@media screen and (min-width:769px),print{.columns:not(.is-desktop){display:flex}}@media screen and (min-width:1024px){.columns.is-desktop{display:flex}}.columns.is-variable{--columnGap: 0.75rem;margin-left:calc(-1 * var(--columnGap));margin-right:calc(-1 * var(--columnGap))}.columns.is-variable .column{padding-left:var(--columnGap);padding-right:var(--columnGap)}.columns.is-variable.is-0{--columnGap: 0rem}@media screen and (max-width:768px){.columns.is-variable.is-0-mobile{--columnGap: 0rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-0-tablet{--columnGap: 0rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-0-tablet-only{--columnGap: 0rem}}@media screen and (max-width:1023px){.columns.is-variable.is-0-touch{--columnGap: 0rem}}@media screen and (min-width:1024px){.columns.is-variable.is-0-desktop{--columnGap: 0rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-0-desktop-only{--columnGap: 0rem}}@media screen and (min-width:1216px){.columns.is-variable.is-0-widescreen{--columnGap: 0rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-0-widescreen-only{--columnGap: 0rem}}@media screen and (min-width:1408px){.columns.is-variable.is-0-fullhd{--columnGap: 0rem}}.columns.is-variable.is-1{--columnGap: .25rem}@media screen and (max-width:768px){.columns.is-variable.is-1-mobile{--columnGap: .25rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-1-tablet{--columnGap: .25rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-1-tablet-only{--columnGap: .25rem}}@media screen and (max-width:1023px){.columns.is-variable.is-1-touch{--columnGap: .25rem}}@media screen and (min-width:1024px){.columns.is-variable.is-1-desktop{--columnGap: .25rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-1-desktop-only{--columnGap: .25rem}}@media screen and (min-width:1216px){.columns.is-variable.is-1-widescreen{--columnGap: .25rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-1-widescreen-only{--columnGap: .25rem}}@media screen and (min-width:1408px){.columns.is-variable.is-1-fullhd{--columnGap: .25rem}}.columns.is-variable.is-2{--columnGap: .5rem}@media screen and (max-width:768px){.columns.is-variable.is-2-mobile{--columnGap: .5rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-2-tablet{--columnGap: .5rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-2-tablet-only{--columnGap: .5rem}}@media screen and (max-width:1023px){.columns.is-variable.is-2-touch{--columnGap: .5rem}}@media screen and (min-width:1024px){.columns.is-variable.is-2-desktop{--columnGap: .5rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-2-desktop-only{--columnGap: .5rem}}@media screen and (min-width:1216px){.columns.is-variable.is-2-widescreen{--columnGap: .5rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-2-widescreen-only{--columnGap: .5rem}}@media screen and (min-width:1408px){.columns.is-variable.is-2-fullhd{--columnGap: .5rem}}.columns.is-variable.is-3{--columnGap: .75rem}@media screen and (max-width:768px){.columns.is-variable.is-3-mobile{--columnGap: .75rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-3-tablet{--columnGap: .75rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-3-tablet-only{--columnGap: .75rem}}@media screen and (max-width:1023px){.columns.is-variable.is-3-touch{--columnGap: .75rem}}@media screen and (min-width:1024px){.columns.is-variable.is-3-desktop{--columnGap: .75rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-3-desktop-only{--columnGap: .75rem}}@media screen and (min-width:1216px){.columns.is-variable.is-3-widescreen{--columnGap: .75rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-3-widescreen-only{--columnGap: .75rem}}@media screen and (min-width:1408px){.columns.is-variable.is-3-fullhd{--columnGap: .75rem}}.columns.is-variable.is-4{--columnGap: 1rem}@media screen and (max-width:768px){.columns.is-variable.is-4-mobile{--columnGap: 1rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-4-tablet{--columnGap: 1rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-4-tablet-only{--columnGap: 1rem}}@media screen and (max-width:1023px){.columns.is-variable.is-4-touch{--columnGap: 1rem}}@media screen and (min-width:1024px){.columns.is-variable.is-4-desktop{--columnGap: 1rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-4-desktop-only{--columnGap: 1rem}}@media screen and (min-width:1216px){.columns.is-variable.is-4-widescreen{--columnGap: 1rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-4-widescreen-only{--columnGap: 1rem}}@media screen and (min-width:1408px){.columns.is-variable.is-4-fullhd{--columnGap: 1rem}}.columns.is-variable.is-5{--columnGap: 1.25rem}@media screen and (max-width:768px){.columns.is-variable.is-5-mobile{--columnGap: 1.25rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-5-tablet{--columnGap: 1.25rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-5-tablet-only{--columnGap: 1.25rem}}@media screen and (max-width:1023px){.columns.is-variable.is-5-touch{--columnGap: 1.25rem}}@media screen and (min-width:1024px){.columns.is-variable.is-5-desktop{--columnGap: 1.25rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-5-desktop-only{--columnGap: 1.25rem}}@media screen and (min-width:1216px){.columns.is-variable.is-5-widescreen{--columnGap: 1.25rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-5-widescreen-only{--columnGap: 1.25rem}}@media screen and (min-width:1408px){.columns.is-variable.is-5-fullhd{--columnGap: 1.25rem}}.columns.is-variable.is-6{--columnGap: 1.5rem}@media screen and (max-width:768px){.columns.is-variable.is-6-mobile{--columnGap: 1.5rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-6-tablet{--columnGap: 1.5rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-6-tablet-only{--columnGap: 1.5rem}}@media screen and (max-width:1023px){.columns.is-variable.is-6-touch{--columnGap: 1.5rem}}@media screen and (min-width:1024px){.columns.is-variable.is-6-desktop{--columnGap: 1.5rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-6-desktop-only{--columnGap: 1.5rem}}@media screen and (min-width:1216px){.columns.is-variable.is-6-widescreen{--columnGap: 1.5rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-6-widescreen-only{--columnGap: 1.5rem}}@media screen and (min-width:1408px){.columns.is-variable.is-6-fullhd{--columnGap: 1.5rem}}.columns.is-variable.is-7{--columnGap: 1.75rem}@media screen and (max-width:768px){.columns.is-variable.is-7-mobile{--columnGap: 1.75rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-7-tablet{--columnGap: 1.75rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-7-tablet-only{--columnGap: 1.75rem}}@media screen and (max-width:1023px){.columns.is-variable.is-7-touch{--columnGap: 1.75rem}}@media screen and (min-width:1024px){.columns.is-variable.is-7-desktop{--columnGap: 1.75rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-7-desktop-only{--columnGap: 1.75rem}}@media screen and (min-width:1216px){.columns.is-variable.is-7-widescreen{--columnGap: 1.75rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-7-widescreen-only{--columnGap: 1.75rem}}@media screen and (min-width:1408px){.columns.is-variable.is-7-fullhd{--columnGap: 1.75rem}}.columns.is-variable.is-8{--columnGap: 2rem}@media screen and (max-width:768px){.columns.is-variable.is-8-mobile{--columnGap: 2rem}}@media screen and (min-width:769px),print{.columns.is-variable.is-8-tablet{--columnGap: 2rem}}@media screen and (min-width:769px) and (max-width:1023px){.columns.is-variable.is-8-tablet-only{--columnGap: 2rem}}@media screen and (max-width:1023px){.columns.is-variable.is-8-touch{--columnGap: 2rem}}@media screen and (min-width:1024px){.columns.is-variable.is-8-desktop{--columnGap: 2rem}}@media screen and (min-width:1024px) and (max-width:1215px){.columns.is-variable.is-8-desktop-only{--columnGap: 2rem}}@media screen and (min-width:1216px){.columns.is-variable.is-8-widescreen{--columnGap: 2rem}}@media screen and (min-width:1216px) and (max-width:1407px){.columns.is-variable.is-8-widescreen-only{--columnGap: 2rem}}@media screen and (min-width:1408px){.columns.is-variable.is-8-fullhd{--columnGap: 2rem}}.tile{align-items:stretch;display:block;flex-basis:0;flex-grow:1;flex-shrink:1;min-height:min-content}.tile.is-ancestor{margin-left:-.75rem;margin-right:-.75rem;margin-top:-.75rem}.tile.is-ancestor:last-child{margin-bottom:-.75rem}.tile.is-ancestor:not(:last-child){margin-bottom:.75rem}.tile.is-child{margin:0!important}.tile.is-parent{padding:.75rem}.tile.is-vertical{flex-direction:column}.tile.is-vertical>.tile.is-child:not(:last-child){margin-bottom:1.5rem!important}@media screen and (min-width:769px),print{.tile:not(.is-child){display:flex}.tile.is-1{flex:none;width:8.33333333%}.tile.is-2{flex:none;width:16.66666667%}.tile.is-3{flex:none;width:25%}.tile.is-4{flex:none;width:33.33333333%}.tile.is-5{flex:none;width:41.66666667%}.tile.is-6{flex:none;width:50%}.tile.is-7{flex:none;width:58.33333333%}.tile.is-8{flex:none;width:66.66666667%}.tile.is-9{flex:none;width:75%}.tile.is-10{flex:none;width:83.33333333%}.tile.is-11{flex:none;width:91.66666667%}.tile.is-12{flex:none;width:100%}}.has-text-white{color:#fff!important}a.has-text-white:hover,a.has-text-white:focus{color:#e6e6e6!important}.has-background-white{background-color:#fff!important}.has-text-black{color:#0a0a0a!important}a.has-text-black:hover,a.has-text-black:focus{color:#000!important}.has-background-black{background-color:#0a0a0a!important}.has-text-light{color:#f5f5f5!important}a.has-text-light:hover,a.has-text-light:focus{color:#dbdbdb!important}.has-background-light{background-color:#f5f5f5!important}.has-text-dark{color:#363636!important}a.has-text-dark:hover,a.has-text-dark:focus{color:#1c1c1c!important}.has-background-dark{background-color:#363636!important}.has-text-primary{color:#00d1b2!important}a.has-text-primary:hover,a.has-text-primary:focus{color:#009e86!important}.has-background-primary{background-color:#00d1b2!important}.has-text-primary-light{color:#ebfffc!important}a.has-text-primary-light:hover,a.has-text-primary-light:focus{color:#b8fff4!important}.has-background-primary-light{background-color:#ebfffc!important}.has-text-primary-dark{color:#00947e!important}a.has-text-primary-dark:hover,a.has-text-primary-dark:focus{color:#00c7a9!important}.has-background-primary-dark{background-color:#00947e!important}.has-text-link{color:#3273dc!important}a.has-text-link:hover,a.has-text-link:focus{color:#205bbc!important}.has-background-link{background-color:#3273dc!important}.has-text-link-light{color:#eef3fc!important}a.has-text-link-light:hover,a.has-text-link-light:focus{color:#c2d5f5!important}.has-background-link-light{background-color:#eef3fc!important}.has-text-link-dark{color:#2160c4!important}a.has-text-link-dark:hover,a.has-text-link-dark:focus{color:#3b79de!important}.has-background-link-dark{background-color:#2160c4!important}.has-text-info{color:#3298dc!important}a.has-text-info:hover,a.has-text-info:focus{color:#207dbc!important}.has-background-info{background-color:#3298dc!important}.has-text-info-light{color:#eef6fc!important}a.has-text-info-light:hover,a.has-text-info-light:focus{color:#c2e0f5!important}.has-background-info-light{background-color:#eef6fc!important}.has-text-info-dark{color:#1d72aa!important}a.has-text-info-dark:hover,a.has-text-info-dark:focus{color:#248fd6!important}.has-background-info-dark{background-color:#1d72aa!important}.has-text-success{color:#48c774!important}a.has-text-success:hover,a.has-text-success:focus{color:#34a85c!important}.has-background-success{background-color:#48c774!important}.has-text-success-light{color:#effaf3!important}a.has-text-success-light:hover,a.has-text-success-light:focus{color:#c8eed6!important}.has-background-success-light{background-color:#effaf3!important}.has-text-success-dark{color:#257942!important}a.has-text-success-dark:hover,a.has-text-success-dark:focus{color:#31a058!important}.has-background-success-dark{background-color:#257942!important}.has-text-warning{color:#ffdd57!important}a.has-text-warning:hover,a.has-text-warning:focus{color:#ffd324!important}.has-background-warning{background-color:#ffdd57!important}.has-text-warning-light{color:#fffbeb!important}a.has-text-warning-light:hover,a.has-text-warning-light:focus{color:#fff1b8!important}.has-background-warning-light{background-color:#fffbeb!important}.has-text-warning-dark{color:#947600!important}a.has-text-warning-dark:hover,a.has-text-warning-dark:focus{color:#c79f00!important}.has-background-warning-dark{background-color:#947600!important}.has-text-danger{color:#f14668!important}a.has-text-danger:hover,a.has-text-danger:focus{color:#ee1742!important}.has-background-danger{background-color:#f14668!important}.has-text-danger-light{color:#feecf0!important}a.has-text-danger-light:hover,a.has-text-danger-light:focus{color:#fabdc9!important}.has-background-danger-light{background-color:#feecf0!important}.has-text-danger-dark{color:#cc0f35!important}a.has-text-danger-dark:hover,a.has-text-danger-dark:focus{color:#ee2049!important}.has-background-danger-dark{background-color:#cc0f35!important}.has-text-black-bis{color:#121212!important}.has-background-black-bis{background-color:#121212!important}.has-text-black-ter{color:#242424!important}.has-background-black-ter{background-color:#242424!important}.has-text-grey-darker{color:#363636!important}.has-background-grey-darker{background-color:#363636!important}.has-text-grey-dark{color:#4a4a4a!important}.has-background-grey-dark{background-color:#4a4a4a!important}.has-text-grey{color:#7a7a7a!important}.has-background-grey{background-color:#7a7a7a!important}.has-text-grey-light{color:#b5b5b5!important}.has-background-grey-light{background-color:#b5b5b5!important}.has-text-grey-lighter{color:#dbdbdb!important}.has-background-grey-lighter{background-color:#dbdbdb!important}.has-text-white-ter{color:#f5f5f5!important}.has-background-white-ter{background-color:#f5f5f5!important}.has-text-white-bis{color:#fafafa!important}.has-background-white-bis{background-color:#fafafa!important}.is-clearfix::after{clear:both;content:" ";display:table}.is-pulled-left{float:left!important}.is-pulled-right{float:right!important}.is-radiusless{border-radius:0!important}.is-shadowless{box-shadow:none!important}.is-clipped{overflow:hidden!important}.is-relative{position:relative!important}.is-marginless{margin:0!important}.is-paddingless{padding:0!important}.mt-0{margin-top:0!important}.mr-0{margin-right:0!important}.mb-0{margin-bottom:0!important}.ml-0{margin-left:0!important}.mx-0{margin-left:0!important;margin-right:0!important}.my-0{margin-top:0!important;margin-bottom:0!important}.mt-1{margin-top:.25rem!important}.mr-1{margin-right:.25rem!important}.mb-1{margin-bottom:.25rem!important}.ml-1{margin-left:.25rem!important}.mx-1{margin-left:.25rem!important;margin-right:.25rem!important}.my-1{margin-top:.25rem!important;margin-bottom:.25rem!important}.mt-2{margin-top:.5rem!important}.mr-2{margin-right:.5rem!important}.mb-2{margin-bottom:.5rem!important}.ml-2{margin-left:.5rem!important}.mx-2{margin-left:.5rem!important;margin-right:.5rem!important}.my-2{margin-top:.5rem!important;margin-bottom:.5rem!important}.mt-3{margin-top:.75rem!important}.mr-3{margin-right:.75rem!important}.mb-3{margin-bottom:.75rem!important}.ml-3{margin-left:.75rem!important}.mx-3{margin-left:.75rem!important;margin-right:.75rem!important}.my-3{margin-top:.75rem!important;margin-bottom:.75rem!important}.mt-4{margin-top:1rem!important}.mr-4{margin-right:1rem!important}.mb-4{margin-bottom:1rem!important}.ml-4{margin-left:1rem!important}.mx-4{margin-left:1rem!important;margin-right:1rem!important}.my-4{margin-top:1rem!important;margin-bottom:1rem!important}.mt-5{margin-top:1.5rem!important}.mr-5{margin-right:1.5rem!important}.mb-5{margin-bottom:1.5rem!important}.ml-5{margin-left:1.5rem!important}.mx-5{margin-left:1.5rem!important;margin-right:1.5rem!important}.my-5{margin-top:1.5rem!important;margin-bottom:1.5rem!important}.mt-6{margin-top:3rem!important}.mr-6{margin-right:3rem!important}.mb-6{margin-bottom:3rem!important}.ml-6{margin-left:3rem!important}.mx-6{margin-left:3rem!important;margin-right:3rem!important}.my-6{margin-top:3rem!important;margin-bottom:3rem!important}.pt-0{padding-top:0!important}.pr-0{padding-right:0!important}.pb-0{padding-bottom:0!important}.pl-0{padding-left:0!important}.px-0{padding-left:0!important;padding-right:0!important}.py-0{padding-top:0!important;padding-bottom:0!important}.pt-1{padding-top:.25rem!important}.pr-1{padding-right:.25rem!important}.pb-1{padding-bottom:.25rem!important}.pl-1{padding-left:.25rem!important}.px-1{padding-left:.25rem!important;padding-right:.25rem!important}.py-1{padding-top:.25rem!important;padding-bottom:.25rem!important}.pt-2{padding-top:.5rem!important}.pr-2{padding-right:.5rem!important}.pb-2{padding-bottom:.5rem!important}.pl-2{padding-left:.5rem!important}.px-2{padding-left:.5rem!important;padding-right:.5rem!important}.py-2{padding-top:.5rem!important;padding-bottom:.5rem!important}.pt-3{padding-top:.75rem!important}.pr-3{padding-right:.75rem!important}.pb-3{padding-bottom:.75rem!important}.pl-3{padding-left:.75rem!important}.px-3{padding-left:.75rem!important;padding-right:.75rem!important}.py-3{padding-top:.75rem!important;padding-bottom:.75rem!important}.pt-4{padding-top:1rem!important}.pr-4{padding-right:1rem!important}.pb-4{padding-bottom:1rem!important}.pl-4{padding-left:1rem!important}.px-4{padding-left:1rem!important;padding-right:1rem!important}.py-4{padding-top:1rem!important;padding-bottom:1rem!important}.pt-5{padding-top:1.5rem!important}.pr-5{padding-right:1.5rem!important}.pb-5{padding-bottom:1.5rem!important}.pl-5{padding-left:1.5rem!important}.px-5{padding-left:1.5rem!important;padding-right:1.5rem!important}.py-5{padding-top:1.5rem!important;padding-bottom:1.5rem!important}.pt-6{padding-top:3rem!important}.pr-6{padding-right:3rem!important}.pb-6{padding-bottom:3rem!important}.pl-6{padding-left:3rem!important}.px-6{padding-left:3rem!important;padding-right:3rem!important}.py-6{padding-top:3rem!important;padding-bottom:3rem!important}.is-size-1{font-size:3rem!important}.is-size-2{font-size:2.5rem!important}.is-size-3{font-size:2rem!important}.is-size-4{font-size:1.5rem!important}.is-size-5{font-size:1.25rem!important}.is-size-6{font-size:1rem!important}.is-size-7{font-size:.75rem!important}@media screen and (max-width:768px){.is-size-1-mobile{font-size:3rem!important}.is-size-2-mobile{font-size:2.5rem!important}.is-size-3-mobile{font-size:2rem!important}.is-size-4-mobile{font-size:1.5rem!important}.is-size-5-mobile{font-size:1.25rem!important}.is-size-6-mobile{font-size:1rem!important}.is-size-7-mobile{font-size:.75rem!important}}@media screen and (min-width:769px),print{.is-size-1-tablet{font-size:3rem!important}.is-size-2-tablet{font-size:2.5rem!important}.is-size-3-tablet{font-size:2rem!important}.is-size-4-tablet{font-size:1.5rem!important}.is-size-5-tablet{font-size:1.25rem!important}.is-size-6-tablet{font-size:1rem!important}.is-size-7-tablet{font-size:.75rem!important}}@media screen and (max-width:1023px){.is-size-1-touch{font-size:3rem!important}.is-size-2-touch{font-size:2.5rem!important}.is-size-3-touch{font-size:2rem!important}.is-size-4-touch{font-size:1.5rem!important}.is-size-5-touch{font-size:1.25rem!important}.is-size-6-touch{font-size:1rem!important}.is-size-7-touch{font-size:.75rem!important}}@media screen and (min-width:1024px){.is-size-1-desktop{font-size:3rem!important}.is-size-2-desktop{font-size:2.5rem!important}.is-size-3-desktop{font-size:2rem!important}.is-size-4-desktop{font-size:1.5rem!important}.is-size-5-desktop{font-size:1.25rem!important}.is-size-6-desktop{font-size:1rem!important}.is-size-7-desktop{font-size:.75rem!important}}@media screen and (min-width:1216px){.is-size-1-widescreen{font-size:3rem!important}.is-size-2-widescreen{font-size:2.5rem!important}.is-size-3-widescreen{font-size:2rem!important}.is-size-4-widescreen{font-size:1.5rem!important}.is-size-5-widescreen{font-size:1.25rem!important}.is-size-6-widescreen{font-size:1rem!important}.is-size-7-widescreen{font-size:.75rem!important}}@media screen and (min-width:1408px){.is-size-1-fullhd{font-size:3rem!important}.is-size-2-fullhd{font-size:2.5rem!important}.is-size-3-fullhd{font-size:2rem!important}.is-size-4-fullhd{font-size:1.5rem!important}.is-size-5-fullhd{font-size:1.25rem!important}.is-size-6-fullhd{font-size:1rem!important}.is-size-7-fullhd{font-size:.75rem!important}}.has-text-centered{text-align:center!important}.has-text-justified{text-align:justify!important}.has-text-left{text-align:left!important}.has-text-right{text-align:right!important}@media screen and (max-width:768px){.has-text-centered-mobile{text-align:center!important}}@media screen and (min-width:769px),print{.has-text-centered-tablet{text-align:center!important}}@media screen and (min-width:769px) and (max-width:1023px){.has-text-centered-tablet-only{text-align:center!important}}@media screen and (max-width:1023px){.has-text-centered-touch{text-align:center!important}}@media screen and (min-width:1024px){.has-text-centered-desktop{text-align:center!important}}@media screen and (min-width:1024px) and (max-width:1215px){.has-text-centered-desktop-only{text-align:center!important}}@media screen and (min-width:1216px){.has-text-centered-widescreen{text-align:center!important}}@media screen and (min-width:1216px) and (max-width:1407px){.has-text-centered-widescreen-only{text-align:center!important}}@media screen and (min-width:1408px){.has-text-centered-fullhd{text-align:center!important}}@media screen and (max-width:768px){.has-text-justified-mobile{text-align:justify!important}}@media screen and (min-width:769px),print{.has-text-justified-tablet{text-align:justify!important}}@media screen and (min-width:769px) and (max-width:1023px){.has-text-justified-tablet-only{text-align:justify!important}}@media screen and (max-width:1023px){.has-text-justified-touch{text-align:justify!important}}@media screen and (min-width:1024px){.has-text-justified-desktop{text-align:justify!important}}@media screen and (min-width:1024px) and (max-width:1215px){.has-text-justified-desktop-only{text-align:justify!important}}@media screen and (min-width:1216px){.has-text-justified-widescreen{text-align:justify!important}}@media screen and (min-width:1216px) and (max-width:1407px){.has-text-justified-widescreen-only{text-align:justify!important}}@media screen and (min-width:1408px){.has-text-justified-fullhd{text-align:justify!important}}@media screen and (max-width:768px){.has-text-left-mobile{text-align:left!important}}@media screen and (min-width:769px),print{.has-text-left-tablet{text-align:left!important}}@media screen and (min-width:769px) and (max-width:1023px){.has-text-left-tablet-only{text-align:left!important}}@media screen and (max-width:1023px){.has-text-left-touch{text-align:left!important}}@media screen and (min-width:1024px){.has-text-left-desktop{text-align:left!important}}@media screen and (min-width:1024px) and (max-width:1215px){.has-text-left-desktop-only{text-align:left!important}}@media screen and (min-width:1216px){.has-text-left-widescreen{text-align:left!important}}@media screen and (min-width:1216px) and (max-width:1407px){.has-text-left-widescreen-only{text-align:left!important}}@media screen and (min-width:1408px){.has-text-left-fullhd{text-align:left!important}}@media screen and (max-width:768px){.has-text-right-mobile{text-align:right!important}}@media screen and (min-width:769px),print{.has-text-right-tablet{text-align:right!important}}@media screen and (min-width:769px) and (max-width:1023px){.has-text-right-tablet-only{text-align:right!important}}@media screen and (max-width:1023px){.has-text-right-touch{text-align:right!important}}@media screen and (min-width:1024px){.has-text-right-desktop{text-align:right!important}}@media screen and (min-width:1024px) and (max-width:1215px){.has-text-right-desktop-only{text-align:right!important}}@media screen and (min-width:1216px){.has-text-right-widescreen{text-align:right!important}}@media screen and (min-width:1216px) and (max-width:1407px){.has-text-right-widescreen-only{text-align:right!important}}@media screen and (min-width:1408px){.has-text-right-fullhd{text-align:right!important}}.is-capitalized{text-transform:capitalize!important}.is-lowercase{text-transform:lowercase!important}.is-uppercase{text-transform:uppercase!important}.is-italic{font-style:italic!important}.has-text-weight-light{font-weight:300!important}.has-text-weight-normal{font-weight:400!important}.has-text-weight-medium{font-weight:500!important}.has-text-weight-semibold{font-weight:600!important}.has-text-weight-bold{font-weight:700!important}.is-family-primary{font-family:BlinkMacSystemFont,-apple-system,segoe ui,roboto,oxygen,ubuntu,cantarell,fira sans,droid sans,helvetica neue,helvetica,arial,sans-serif!important}.is-family-secondary{font-family:BlinkMacSystemFont,-apple-system,segoe ui,roboto,oxygen,ubuntu,cantarell,fira sans,droid sans,helvetica neue,helvetica,arial,sans-serif!important}.is-family-sans-serif{font-family:BlinkMacSystemFont,-apple-system,segoe ui,roboto,oxygen,ubuntu,cantarell,fira sans,droid sans,helvetica neue,helvetica,arial,sans-serif!important}.is-family-monospace{font-family:monospace!important}.is-family-code{font-family:monospace!important}.is-block{display:block!important}@media screen and (max-width:768px){.is-block-mobile{display:block!important}}@media screen and (min-width:769px),print{.is-block-tablet{display:block!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-block-tablet-only{display:block!important}}@media screen and (max-width:1023px){.is-block-touch{display:block!important}}@media screen and (min-width:1024px){.is-block-desktop{display:block!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-block-desktop-only{display:block!important}}@media screen and (min-width:1216px){.is-block-widescreen{display:block!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-block-widescreen-only{display:block!important}}@media screen and (min-width:1408px){.is-block-fullhd{display:block!important}}.is-flex{display:flex!important}@media screen and (max-width:768px){.is-flex-mobile{display:flex!important}}@media screen and (min-width:769px),print{.is-flex-tablet{display:flex!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-flex-tablet-only{display:flex!important}}@media screen and (max-width:1023px){.is-flex-touch{display:flex!important}}@media screen and (min-width:1024px){.is-flex-desktop{display:flex!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-flex-desktop-only{display:flex!important}}@media screen and (min-width:1216px){.is-flex-widescreen{display:flex!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-flex-widescreen-only{display:flex!important}}@media screen and (min-width:1408px){.is-flex-fullhd{display:flex!important}}.is-inline{display:inline!important}@media screen and (max-width:768px){.is-inline-mobile{display:inline!important}}@media screen and (min-width:769px),print{.is-inline-tablet{display:inline!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-inline-tablet-only{display:inline!important}}@media screen and (max-width:1023px){.is-inline-touch{display:inline!important}}@media screen and (min-width:1024px){.is-inline-desktop{display:inline!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-inline-desktop-only{display:inline!important}}@media screen and (min-width:1216px){.is-inline-widescreen{display:inline!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-inline-widescreen-only{display:inline!important}}@media screen and (min-width:1408px){.is-inline-fullhd{display:inline!important}}.is-inline-block{display:inline-block!important}@media screen and (max-width:768px){.is-inline-block-mobile{display:inline-block!important}}@media screen and (min-width:769px),print{.is-inline-block-tablet{display:inline-block!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-inline-block-tablet-only{display:inline-block!important}}@media screen and (max-width:1023px){.is-inline-block-touch{display:inline-block!important}}@media screen and (min-width:1024px){.is-inline-block-desktop{display:inline-block!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-inline-block-desktop-only{display:inline-block!important}}@media screen and (min-width:1216px){.is-inline-block-widescreen{display:inline-block!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-inline-block-widescreen-only{display:inline-block!important}}@media screen and (min-width:1408px){.is-inline-block-fullhd{display:inline-block!important}}.is-inline-flex{display:inline-flex!important}@media screen and (max-width:768px){.is-inline-flex-mobile{display:inline-flex!important}}@media screen and (min-width:769px),print{.is-inline-flex-tablet{display:inline-flex!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-inline-flex-tablet-only{display:inline-flex!important}}@media screen and (max-width:1023px){.is-inline-flex-touch{display:inline-flex!important}}@media screen and (min-width:1024px){.is-inline-flex-desktop{display:inline-flex!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-inline-flex-desktop-only{display:inline-flex!important}}@media screen and (min-width:1216px){.is-inline-flex-widescreen{display:inline-flex!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-inline-flex-widescreen-only{display:inline-flex!important}}@media screen and (min-width:1408px){.is-inline-flex-fullhd{display:inline-flex!important}}.is-hidden{display:none!important}.is-sr-only{border:none!important;clip:rect(0,0,0,0)!important;height:.01em!important;overflow:hidden!important;padding:0!important;position:absolute!important;white-space:nowrap!important;width:.01em!important}@media screen and (max-width:768px){.is-hidden-mobile{display:none!important}}@media screen and (min-width:769px),print{.is-hidden-tablet{display:none!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-hidden-tablet-only{display:none!important}}@media screen and (max-width:1023px){.is-hidden-touch{display:none!important}}@media screen and (min-width:1024px){.is-hidden-desktop{display:none!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-hidden-desktop-only{display:none!important}}@media screen and (min-width:1216px){.is-hidden-widescreen{display:none!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-hidden-widescreen-only{display:none!important}}@media screen and (min-width:1408px){.is-hidden-fullhd{display:none!important}}.is-invisible{visibility:hidden!important}@media screen and (max-width:768px){.is-invisible-mobile{visibility:hidden!important}}@media screen and (min-width:769px),print{.is-invisible-tablet{visibility:hidden!important}}@media screen and (min-width:769px) and (max-width:1023px){.is-invisible-tablet-only{visibility:hidden!important}}@media screen and (max-width:1023px){.is-invisible-touch{visibility:hidden!important}}@media screen and (min-width:1024px){.is-invisible-desktop{visibility:hidden!important}}@media screen and (min-width:1024px) and (max-width:1215px){.is-invisible-desktop-only{visibility:hidden!important}}@media screen and (min-width:1216px){.is-invisible-widescreen{visibility:hidden!important}}@media screen and (min-width:1216px) and (max-width:1407px){.is-invisible-widescreen-only{visibility:hidden!important}}@media screen and (min-width:1408px){.is-invisible-fullhd{visibility:hidden!important}}.hero{align-items:stretch;display:flex;flex-direction:column;justify-content:space-between}.hero .navbar{background:0 0}.hero .tabs ul{border-bottom:none}.hero.is-white{background-color:#fff;color:#0a0a0a}.hero.is-white a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-white strong{color:inherit}.hero.is-white .title{color:#0a0a0a}.hero.is-white .subtitle{color:rgba(10,10,10,.9)}.hero.is-white .subtitle a:not(.button),.hero.is-white .subtitle strong{color:#0a0a0a}@media screen and (max-width:1023px){.hero.is-white .navbar-menu{background-color:#fff}}.hero.is-white .navbar-item,.hero.is-white .navbar-link{color:rgba(10,10,10,.7)}.hero.is-white a.navbar-item:hover,.hero.is-white a.navbar-item.is-active,.hero.is-white .navbar-link:hover,.hero.is-white .navbar-link.is-active{background-color:#f2f2f2;color:#0a0a0a}.hero.is-white .tabs a{color:#0a0a0a;opacity:.9}.hero.is-white .tabs a:hover{opacity:1}.hero.is-white .tabs li.is-active a{opacity:1}.hero.is-white .tabs.is-boxed a,.hero.is-white .tabs.is-toggle a{color:#0a0a0a}.hero.is-white .tabs.is-boxed a:hover,.hero.is-white .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-white .tabs.is-boxed li.is-active a,.hero.is-white .tabs.is-boxed li.is-active a:hover,.hero.is-white .tabs.is-toggle li.is-active a,.hero.is-white .tabs.is-toggle li.is-active a:hover{background-color:#0a0a0a;border-color:#0a0a0a;color:#fff}.hero.is-white.is-bold{background-image:linear-gradient(141deg,#e8e3e4 0%,#fff 71%,#fff 100%)}@media screen and (max-width:768px){.hero.is-white.is-bold .navbar-menu{background-image:linear-gradient(141deg,#e8e3e4 0%,#fff 71%,#fff 100%)}}.hero.is-black{background-color:#0a0a0a;color:#fff}.hero.is-black a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-black strong{color:inherit}.hero.is-black .title{color:#fff}.hero.is-black .subtitle{color:rgba(255,255,255,.9)}.hero.is-black .subtitle a:not(.button),.hero.is-black .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-black .navbar-menu{background-color:#0a0a0a}}.hero.is-black .navbar-item,.hero.is-black .navbar-link{color:rgba(255,255,255,.7)}.hero.is-black a.navbar-item:hover,.hero.is-black a.navbar-item.is-active,.hero.is-black .navbar-link:hover,.hero.is-black .navbar-link.is-active{background-color:#000;color:#fff}.hero.is-black .tabs a{color:#fff;opacity:.9}.hero.is-black .tabs a:hover{opacity:1}.hero.is-black .tabs li.is-active a{opacity:1}.hero.is-black .tabs.is-boxed a,.hero.is-black .tabs.is-toggle a{color:#fff}.hero.is-black .tabs.is-boxed a:hover,.hero.is-black .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-black .tabs.is-boxed li.is-active a,.hero.is-black .tabs.is-boxed li.is-active a:hover,.hero.is-black .tabs.is-toggle li.is-active a,.hero.is-black .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#0a0a0a}.hero.is-black.is-bold{background-image:linear-gradient(141deg,#000 0%,#0a0a0a 71%,#181616 100%)}@media screen and (max-width:768px){.hero.is-black.is-bold .navbar-menu{background-image:linear-gradient(141deg,#000 0%,#0a0a0a 71%,#181616 100%)}}.hero.is-light{background-color:#f5f5f5;color:rgba(0,0,0,.7)}.hero.is-light a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-light strong{color:inherit}.hero.is-light .title{color:rgba(0,0,0,.7)}.hero.is-light .subtitle{color:rgba(0,0,0,.9)}.hero.is-light .subtitle a:not(.button),.hero.is-light .subtitle strong{color:rgba(0,0,0,.7)}@media screen and (max-width:1023px){.hero.is-light .navbar-menu{background-color:#f5f5f5}}.hero.is-light .navbar-item,.hero.is-light .navbar-link{color:rgba(0,0,0,.7)}.hero.is-light a.navbar-item:hover,.hero.is-light a.navbar-item.is-active,.hero.is-light .navbar-link:hover,.hero.is-light .navbar-link.is-active{background-color:#e8e8e8;color:rgba(0,0,0,.7)}.hero.is-light .tabs a{color:rgba(0,0,0,.7);opacity:.9}.hero.is-light .tabs a:hover{opacity:1}.hero.is-light .tabs li.is-active a{opacity:1}.hero.is-light .tabs.is-boxed a,.hero.is-light .tabs.is-toggle a{color:rgba(0,0,0,.7)}.hero.is-light .tabs.is-boxed a:hover,.hero.is-light .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-light .tabs.is-boxed li.is-active a,.hero.is-light .tabs.is-boxed li.is-active a:hover,.hero.is-light .tabs.is-toggle li.is-active a,.hero.is-light .tabs.is-toggle li.is-active a:hover{background-color:rgba(0,0,0,.7);border-color:rgba(0,0,0,.7);color:#f5f5f5}.hero.is-light.is-bold{background-image:linear-gradient(141deg,#dfd8d9 0%,#f5f5f5 71%,#fff 100%)}@media screen and (max-width:768px){.hero.is-light.is-bold .navbar-menu{background-image:linear-gradient(141deg,#dfd8d9 0%,#f5f5f5 71%,#fff 100%)}}.hero.is-dark{background-color:#363636;color:#fff}.hero.is-dark a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-dark strong{color:inherit}.hero.is-dark .title{color:#fff}.hero.is-dark .subtitle{color:rgba(255,255,255,.9)}.hero.is-dark .subtitle a:not(.button),.hero.is-dark .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-dark .navbar-menu{background-color:#363636}}.hero.is-dark .navbar-item,.hero.is-dark .navbar-link{color:rgba(255,255,255,.7)}.hero.is-dark a.navbar-item:hover,.hero.is-dark a.navbar-item.is-active,.hero.is-dark .navbar-link:hover,.hero.is-dark .navbar-link.is-active{background-color:#292929;color:#fff}.hero.is-dark .tabs a{color:#fff;opacity:.9}.hero.is-dark .tabs a:hover{opacity:1}.hero.is-dark .tabs li.is-active a{opacity:1}.hero.is-dark .tabs.is-boxed a,.hero.is-dark .tabs.is-toggle a{color:#fff}.hero.is-dark .tabs.is-boxed a:hover,.hero.is-dark .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-dark .tabs.is-boxed li.is-active a,.hero.is-dark .tabs.is-boxed li.is-active a:hover,.hero.is-dark .tabs.is-toggle li.is-active a,.hero.is-dark .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#363636}.hero.is-dark.is-bold{background-image:linear-gradient(141deg,#1f191a 0%,#363636 71%,#46403f 100%)}@media screen and (max-width:768px){.hero.is-dark.is-bold .navbar-menu{background-image:linear-gradient(141deg,#1f191a 0%,#363636 71%,#46403f 100%)}}.hero.is-primary{background-color:#00d1b2;color:#fff}.hero.is-primary a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-primary strong{color:inherit}.hero.is-primary .title{color:#fff}.hero.is-primary .subtitle{color:rgba(255,255,255,.9)}.hero.is-primary .subtitle a:not(.button),.hero.is-primary .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-primary .navbar-menu{background-color:#00d1b2}}.hero.is-primary .navbar-item,.hero.is-primary .navbar-link{color:rgba(255,255,255,.7)}.hero.is-primary a.navbar-item:hover,.hero.is-primary a.navbar-item.is-active,.hero.is-primary .navbar-link:hover,.hero.is-primary .navbar-link.is-active{background-color:#00b89c;color:#fff}.hero.is-primary .tabs a{color:#fff;opacity:.9}.hero.is-primary .tabs a:hover{opacity:1}.hero.is-primary .tabs li.is-active a{opacity:1}.hero.is-primary .tabs.is-boxed a,.hero.is-primary .tabs.is-toggle a{color:#fff}.hero.is-primary .tabs.is-boxed a:hover,.hero.is-primary .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-primary .tabs.is-boxed li.is-active a,.hero.is-primary .tabs.is-boxed li.is-active a:hover,.hero.is-primary .tabs.is-toggle li.is-active a,.hero.is-primary .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#00d1b2}.hero.is-primary.is-bold{background-image:linear-gradient(141deg,#009e6c 0%,#00d1b2 71%,#00e7eb 100%)}@media screen and (max-width:768px){.hero.is-primary.is-bold .navbar-menu{background-image:linear-gradient(141deg,#009e6c 0%,#00d1b2 71%,#00e7eb 100%)}}.hero.is-link{background-color:#3273dc;color:#fff}.hero.is-link a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-link strong{color:inherit}.hero.is-link .title{color:#fff}.hero.is-link .subtitle{color:rgba(255,255,255,.9)}.hero.is-link .subtitle a:not(.button),.hero.is-link .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-link .navbar-menu{background-color:#3273dc}}.hero.is-link .navbar-item,.hero.is-link .navbar-link{color:rgba(255,255,255,.7)}.hero.is-link a.navbar-item:hover,.hero.is-link a.navbar-item.is-active,.hero.is-link .navbar-link:hover,.hero.is-link .navbar-link.is-active{background-color:#2366d1;color:#fff}.hero.is-link .tabs a{color:#fff;opacity:.9}.hero.is-link .tabs a:hover{opacity:1}.hero.is-link .tabs li.is-active a{opacity:1}.hero.is-link .tabs.is-boxed a,.hero.is-link .tabs.is-toggle a{color:#fff}.hero.is-link .tabs.is-boxed a:hover,.hero.is-link .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-link .tabs.is-boxed li.is-active a,.hero.is-link .tabs.is-boxed li.is-active a:hover,.hero.is-link .tabs.is-toggle li.is-active a,.hero.is-link .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#3273dc}.hero.is-link.is-bold{background-image:linear-gradient(141deg,#1577c6 0%,#3273dc 71%,#4366e5 100%)}@media screen and (max-width:768px){.hero.is-link.is-bold .navbar-menu{background-image:linear-gradient(141deg,#1577c6 0%,#3273dc 71%,#4366e5 100%)}}.hero.is-info{background-color:#3298dc;color:#fff}.hero.is-info a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-info strong{color:inherit}.hero.is-info .title{color:#fff}.hero.is-info .subtitle{color:rgba(255,255,255,.9)}.hero.is-info .subtitle a:not(.button),.hero.is-info .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-info .navbar-menu{background-color:#3298dc}}.hero.is-info .navbar-item,.hero.is-info .navbar-link{color:rgba(255,255,255,.7)}.hero.is-info a.navbar-item:hover,.hero.is-info a.navbar-item.is-active,.hero.is-info .navbar-link:hover,.hero.is-info .navbar-link.is-active{background-color:#238cd1;color:#fff}.hero.is-info .tabs a{color:#fff;opacity:.9}.hero.is-info .tabs a:hover{opacity:1}.hero.is-info .tabs li.is-active a{opacity:1}.hero.is-info .tabs.is-boxed a,.hero.is-info .tabs.is-toggle a{color:#fff}.hero.is-info .tabs.is-boxed a:hover,.hero.is-info .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-info .tabs.is-boxed li.is-active a,.hero.is-info .tabs.is-boxed li.is-active a:hover,.hero.is-info .tabs.is-toggle li.is-active a,.hero.is-info .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#3298dc}.hero.is-info.is-bold{background-image:linear-gradient(141deg,#159dc6 0%,#3298dc 71%,#4389e5 100%)}@media screen and (max-width:768px){.hero.is-info.is-bold .navbar-menu{background-image:linear-gradient(141deg,#159dc6 0%,#3298dc 71%,#4389e5 100%)}}.hero.is-success{background-color:#48c774;color:#fff}.hero.is-success a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-success strong{color:inherit}.hero.is-success .title{color:#fff}.hero.is-success .subtitle{color:rgba(255,255,255,.9)}.hero.is-success .subtitle a:not(.button),.hero.is-success .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-success .navbar-menu{background-color:#48c774}}.hero.is-success .navbar-item,.hero.is-success .navbar-link{color:rgba(255,255,255,.7)}.hero.is-success a.navbar-item:hover,.hero.is-success a.navbar-item.is-active,.hero.is-success .navbar-link:hover,.hero.is-success .navbar-link.is-active{background-color:#3abb67;color:#fff}.hero.is-success .tabs a{color:#fff;opacity:.9}.hero.is-success .tabs a:hover{opacity:1}.hero.is-success .tabs li.is-active a{opacity:1}.hero.is-success .tabs.is-boxed a,.hero.is-success .tabs.is-toggle a{color:#fff}.hero.is-success .tabs.is-boxed a:hover,.hero.is-success .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-success .tabs.is-boxed li.is-active a,.hero.is-success .tabs.is-boxed li.is-active a:hover,.hero.is-success .tabs.is-toggle li.is-active a,.hero.is-success .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#48c774}.hero.is-success.is-bold{background-image:linear-gradient(141deg,#29b342 0%,#48c774 71%,#56d296 100%)}@media screen and (max-width:768px){.hero.is-success.is-bold .navbar-menu{background-image:linear-gradient(141deg,#29b342 0%,#48c774 71%,#56d296 100%)}}.hero.is-warning{background-color:#ffdd57;color:rgba(0,0,0,.7)}.hero.is-warning a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-warning strong{color:inherit}.hero.is-warning .title{color:rgba(0,0,0,.7)}.hero.is-warning .subtitle{color:rgba(0,0,0,.9)}.hero.is-warning .subtitle a:not(.button),.hero.is-warning .subtitle strong{color:rgba(0,0,0,.7)}@media screen and (max-width:1023px){.hero.is-warning .navbar-menu{background-color:#ffdd57}}.hero.is-warning .navbar-item,.hero.is-warning .navbar-link{color:rgba(0,0,0,.7)}.hero.is-warning a.navbar-item:hover,.hero.is-warning a.navbar-item.is-active,.hero.is-warning .navbar-link:hover,.hero.is-warning .navbar-link.is-active{background-color:#ffd83d;color:rgba(0,0,0,.7)}.hero.is-warning .tabs a{color:rgba(0,0,0,.7);opacity:.9}.hero.is-warning .tabs a:hover{opacity:1}.hero.is-warning .tabs li.is-active a{opacity:1}.hero.is-warning .tabs.is-boxed a,.hero.is-warning .tabs.is-toggle a{color:rgba(0,0,0,.7)}.hero.is-warning .tabs.is-boxed a:hover,.hero.is-warning .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-warning .tabs.is-boxed li.is-active a,.hero.is-warning .tabs.is-boxed li.is-active a:hover,.hero.is-warning .tabs.is-toggle li.is-active a,.hero.is-warning .tabs.is-toggle li.is-active a:hover{background-color:rgba(0,0,0,.7);border-color:rgba(0,0,0,.7);color:#ffdd57}.hero.is-warning.is-bold{background-image:linear-gradient(141deg,#ffaf24 0%,#ffdd57 71%,#fffa70 100%)}@media screen and (max-width:768px){.hero.is-warning.is-bold .navbar-menu{background-image:linear-gradient(141deg,#ffaf24 0%,#ffdd57 71%,#fffa70 100%)}}.hero.is-danger{background-color:#f14668;color:#fff}.hero.is-danger a:not(.button):not(.dropdown-item):not(.tag):not(.pagination-link.is-current),.hero.is-danger strong{color:inherit}.hero.is-danger .title{color:#fff}.hero.is-danger .subtitle{color:rgba(255,255,255,.9)}.hero.is-danger .subtitle a:not(.button),.hero.is-danger .subtitle strong{color:#fff}@media screen and (max-width:1023px){.hero.is-danger .navbar-menu{background-color:#f14668}}.hero.is-danger .navbar-item,.hero.is-danger .navbar-link{color:rgba(255,255,255,.7)}.hero.is-danger a.navbar-item:hover,.hero.is-danger a.navbar-item.is-active,.hero.is-danger .navbar-link:hover,.hero.is-danger .navbar-link.is-active{background-color:#ef2e55;color:#fff}.hero.is-danger .tabs a{color:#fff;opacity:.9}.hero.is-danger .tabs a:hover{opacity:1}.hero.is-danger .tabs li.is-active a{opacity:1}.hero.is-danger .tabs.is-boxed a,.hero.is-danger .tabs.is-toggle a{color:#fff}.hero.is-danger .tabs.is-boxed a:hover,.hero.is-danger .tabs.is-toggle a:hover{background-color:rgba(10,10,10,.1)}.hero.is-danger .tabs.is-boxed li.is-active a,.hero.is-danger .tabs.is-boxed li.is-active a:hover,.hero.is-danger .tabs.is-toggle li.is-active a,.hero.is-danger .tabs.is-toggle li.is-active a:hover{background-color:#fff;border-color:#fff;color:#f14668}.hero.is-danger.is-bold{background-image:linear-gradient(141deg,#fa0a62 0%,#f14668 71%,#f7595f 100%)}@media screen and (max-width:768px){.hero.is-danger.is-bold .navbar-menu{background-image:linear-gradient(141deg,#fa0a62 0%,#f14668 71%,#f7595f 100%)}}.hero.is-small .hero-body{padding:1.5rem}@media screen and (min-width:769px),print{.hero.is-medium .hero-body{padding:9rem 1.5rem}}@media screen and (min-width:769px),print{.hero.is-large .hero-body{padding:18rem 1.5rem}}.hero.is-halfheight .hero-body,.hero.is-fullheight .hero-body,.hero.is-fullheight-with-navbar .hero-body{align-items:center;display:flex}.hero.is-halfheight .hero-body>.container,.hero.is-fullheight .hero-body>.container,.hero.is-fullheight-with-navbar .hero-body>.container{flex-grow:1;flex-shrink:1}.hero.is-halfheight{min-height:50vh}.hero.is-fullheight{min-height:100vh}.hero-video{overflow:hidden}.hero-video video{left:50%;min-height:100%;min-width:100%;position:absolute;top:50%;transform:translate3d(-50%,-50%,0)}.hero-video.is-transparent{opacity:.3}@media screen and (max-width:768px){.hero-video{display:none}}.hero-buttons{margin-top:1.5rem}@media screen and (max-width:768px){.hero-buttons .button{display:flex}.hero-buttons .button:not(:last-child){margin-bottom:.75rem}}@media screen and (min-width:769px),print{.hero-buttons{display:flex;justify-content:center}.hero-buttons .button:not(:last-child){margin-right:1.5rem}}.hero-head,.hero-foot{flex-grow:0;flex-shrink:0}.hero-body{flex-grow:1;flex-shrink:0;padding:3rem 1.5rem}.section{padding:1.5rem 0}@media screen and (min-width:1024px){.section.is-medium{padding:9rem 1.5rem}.section.is-large{padding:18rem 1.5rem}}.footer{background-color:#f2f2f2;padding:1rem 1.5rem}html,body{height:100%}body{display:flex;flex-direction:column}a.tag:hover{text-decoration:none}.content{word-break:break-word}.entry{margin-bottom:1rem}.entry div>a{color:#363636}.navbar-brand .navbar-item figure img{width:inherit}@media screen and (max-width:1023px){pre{font-size:.75rem}}.is-medium pre{font-size:1rem} \ No newline at end of file diff --git a/favicon.ico b/favicon.ico new file mode 100644 index 0000000000000000000000000000000000000000..85a4d9fac03ba838f37feca9d583478bd4afd647 GIT binary patch literal 198 xcmZQzU<5(|0VXiLfq{WR42U&=SOAC>fEXkX0u5jillcGtKc*mwA|N9u!2sz^B%}ZU literal 0 HcmV?d00001 diff --git a/img/favicon/android-chrome-192x192.png b/img/favicon/android-chrome-192x192.png new file mode 100644 index 0000000000000000000000000000000000000000..a5083e9a90295bc2611907442347e2d39654fe41 GIT binary patch literal 6607 zcmZ{JXHe5kwD&)ugf3M>51}X^5JHvSdl3Z%DT0J17(y>n5<1dVuuw%&L_~To0V0Mj zsDMBqAWAQS^Z+l<{qWA*4|jHU&)MIeHaoL(cK0M-w=iU8;9&p&fZ5nc@5VXB|JUhg z&&M0nK9F-l?V)Y14FFXcj1(7|^Eu4b=!Q7}go^3N?LAXNJ>cxWR@Cpo z?2Fj|097>B)3y$sT+a`C>Y(5DMYC<-@jyY)V^$q@9dKNdm~1ku00R0HxUU7f$`HeD zji>pX2rs%4lXp3HE9Oy*fOY}4kB2}9hk}GMgRrdC7D!`vWuaC|;AQKfWI!=TS zU^?(Sy~{aqx@wMcDZ&yaEN`Up)e_|H+P-7&^g6HvN{Bl6MVyz_z9M*EIN(h%iEEdk z^Lq-B&J~w6l6?22VwBM$#8&z3V@pfE5((FftY1l)SF2e=c^k7PhAtqe8tLu)9gAA3 zojN~&p|4ExoHQI>gc-ym)l-?`DR^;w0A3jqb^&|~EI97yB}29W$(OQw=l+t(LMzx0sy6yodp7vNU>5)TX`$=L-&M=Dn9BnjHx+9z5QlB?vhl19z>m z2ZLH^rVF}uLO>oXXuX7M@+v~C4E_^bRg;CM zb#AQJr60Y&l^PNIj0V6cRKj~b7_qZYX5po&UV&~jbB`x%s$#a041N99Zr01_IEz+k zEFDGyBUxScD+i2<7rCp?T61Tt?Nio}do;QCtB2fyl*9w`NH%;?<~&KoVWMcYq8q zO`rFw<#EEmTnGqz(0AB8*v7i0n!38(`k79vk-4$wkCW_{{Q|~Zt&0fSxttZ57?{gD z07Ox#rLWl?^e#UWGIfY;`>9u)R^3v(?fZFVa&+Bdi@Hcw;uGmB^MFOA5Di+7bZs_e z$BfRei|ZscKR?SJ5a#_fZWIL2?S)iaNHERmZv{pF)Sm;Oru^K(#v)G6!gJG`>LyEw< zUP8mZp{9>m=l#><$xlmqBrZ87my25x80o*q+o34X+{{vh0dPM&tHD72N3*26vX%m} z)^F#=5q#@)C%pl%7brQ(E)~yH{Utx?Lr8-|+5iV24Ctc$)`I`lCE-5xu%yCPpb~{|x)U4-trj9wPhGswnN^mych0}?TGFTmdYmf`) zb$`=S>Gi-PnNi(^5} zt68S<3JukfU(k1^CfBQd3{>n4FP1$i-SIVGk$zyjcPZ|1b(%$Y>fHfM{Ap$o3`XlV|3Zm9H!S%8Qc1T)KP^S zcN1F=GwPbo1h)Uot~3&;7y6a76t=RK2=#kD1K+lD=jY)Pec5kK6(**xi0X=O{~5k> zZkG+8Xq`NFT_dt{`E^;fL$-t2QE(DOrNfc6BQ-FJCb_EI(s-SoZdG&b10+`umrL5%@zA+FEE ze8uN)o;@~G!e<6N73OeiaT!)2>milbxKnR}_-H8Lkl05!WqU)9*Fp(-MN9{b3=Lu@ zttqL8t2H)4@4$_0S4@g2V6w;0`>CDZ2B=QcPH;~{vSiQpd~WsiiX6bm@;}n(-{lX) zebb=t1cEG2vL9rq)8}yIuZNN$rEOC0QZn3rbt4HF6^v1gS~l^|nCwIbY(`rpTJe$v z-~m?FxA`l6sc?AicH}O8_;tn$!@rI9XATc#Y}_1j*Auv_yP8gJOO)#eXV)m6$NWU- z>-VKNEd;UFR3>#Ww3mjutLNLJPFY^!_H#|1%iyG+CE3Tr8I$19?$PpBsx##b1L^!kQULdGlpz!=8 z$wAdJ#?w>dSaJDS)j|yp-111WJJ%I;f*sABSk;=}v;@v05W)9daO;@rhIVlnuYc_8 zBN?T<_~+vhcSC-6+9%HUV^e}|cV%)_;DsZHIkK0&cz4U{{@EIsoiqh|(hB@=q}RO_ z3jF>3iT~3eNLr7A&x>o}@N~^gt`ur%g~&G(XIa!h(-kfARH5d;1EZ@l4H_re^;!w3 z0nFFXj0+_~nVp$IsQ^=Z4;`ND{r{Qb+qjEhP3m;~LrJ~AMm=87go2{tpMP3lASD@} zg&dvnkM_O;hJo&&@zG4Vr{sa#<y{4vCeTKk4832SoP$DSDyJX_Cez20Qup zF|ao`)QuGi3A>P?mvlZ2&zi96$q$eEMi*fhB1*e8DROYes#`+SsJgICPiAbE+|)%= zIR$5R@P{UUm&%~RyWm3?GW#DIL1o6z_ZoPJ?P!d6dncqMvQJ{6BwMNnGz(}X4sb(i zcn(C_u`=_uS~AQyri9Q40XvnpN9PxMj6CKNd+xmFyLcWD)E_5_oh_o9tRN#$w)J@9 zP6L4UQw?jf(L#a?@vDzsaq53S0Hk-F+e|CsSQDaq@i;xy&3?d*k@BCkO7;MAF_jI!%QBKx(DIXr ze*tw_7P3f1NDVZuNSd`B#87G4M@F_r{ZK02))B?(%lb>Y& z?c3>6!iy5wpDj}o;%d<|I&Vru#UjsE6v~D!(04ViT*Ut-4&`rzL;d60E6`&O4>{WM z9U-I5duwi_44>O3x4%eQF0wAM6LJV>cstL*T~te3`oYxHGoZoKC*^JvH1ulhZ%gVK z*b0qZ!#z>+RYl~{#Nof%kn3j@&1Ct8(t=r*R`$(HOYHbdSwkPgclL#3yU<-ty*`QE z5effB4cyHqcyXE_(_-IR-`U=rM0Q%Pwk+zAD?v=^6+q4uWkbN(EBdp#iwyef(0FDI z93936xjbAgg_W!n-1`SCf-ZP8Sd}OLfgAqMHACG^Dq8iTb z(r@p=`5gpvENkH|UKS50$RF7z2qcB^90Wycd_m~~&+g40 z9%K8BNS$T=>^{48=ziHNORF{chQS_95*I6r&rBF!2!I{a%J(MP4u|zE{=Vt6u(=mP z_}dB7F*tMYSv)z)j2&6_|M-z_v#ue;y)x`nXeb4hve8^(@|L=i zsgZX#cXp-YEJgmb{SIe$QG$UIBZOA(z;ZV0L9&-~>b?0YIhweL|XB}xqc%c`QH!mUvK zSPSoMDCnPvQPvByqfa}|9oyTrV?{sUfK?)gP+uo?8r_Y#_t72o?o}HmZ*l`8sg^bBSa!@)%On-JMU9WWZo0g^Jg*i-8R~L9Zeo-#R zZG^-x#7f7?#By|#w)EYwO3;rFt25({U(7N+&8~y;67q)mQ_Nn5P@QS#AcmzSahW$7zJBC%cVheMf=E);0b?F?F54!T5(yLI<>kDFJY zKL97qO=`Rm{!k%+Vt>;-p120A&eIVtP9tyoj|)LEI>PqWezsFyGErR+*?IL>d-3ku z7Ph2(;s^2C?#*$fpfgaAY-M|He||J}j`%ZXjB|2fe3g?Ft;V1Cav@RS>!Hjy62RLd z^man^hG$`6#qn0baA_(liFg}#t<3COU*b(3ma5=rNz#4EerW=~Bl2BHwLa^?6%3p` zUA&;?hdv=(eJXuYtPSv6;u_4w_PzeDOvqDu*&&q0`T82ebGGa;h}ylI`@h(H!(-n= zoGN<-m(SW(SU1ffnF}ZM71^2zQ*IGpExUmme(Wm7NEuAr%KA01~%6Bu2 zyqMgba_}RDo0B$sHZuSL^-t;qUpKIO|Gwgpv8rb%o1BD+^-pvBeO(J#TfpSk;oGDm z2fv#QNcE}9aZCwMp;xi%WlZ~Ryu1(Bnwkyj>&17g%|l|DXqA{WI4B{gkPzavx!=B< zB2c=m`1ilAu+Z2weaPDUIFni;y=g~cMnb0%jWtT}ir!(KyiV{&1*wx%r^=pP#}R7q zKW6jXl3B6l&(7R&tBcYnJfU)I8_w7x|ukUR6uoPtFv92A&8dVG0` z!b14@u~W6Q6~$+Al!5=F7MwUQ2zK8+^KPg;lp%`{R_%2JQxnRIksVM_UU|zsC*cB* zM)Rb1e~==#R;>wt+#vHG{2q3Vp2U*owgST%Hv*n3;yFqqkt7BRRaLqIc|hSFqhIkF zH{faD#KciNI$rXg!u+T<)a}!Hhr2Dtn8d>z%5TK+Sza}R}$fD*PD|_%J;!&ZMEy~bm|qm@-QOvf2Ytj zYqA8+ZZcH`s#HCL^aI zX@r4Hp5tm!$`6>a~T-%?s6`naT+Q3EFhopeaxtm-_ zr8_BcU;C1&lnj6^Kbv{0@#x%SkGv@IqSekKyR?+c&dCJ>4`$)So2NxBLS88KYQ1Lm zy5R}YB*@BpJoNL4iip#{^`zCH;d^@^a^+H7Of^zWI@xjIh5txXdOwj$5jIQR;0|E0Dbsdkug`R6_Bu4Y2TV)Cf@mEL7r=HP_6WCB&W#V++7uN@gCL<4y%Q zqo?;iPA!#ZsOyey6)IJQXQvCS5br9&x?iEDl<5yXZWv6sP{TOtg>2g*Tf=sl^bzQ%J_H!^OsA6l>h+nicXg6jOKr!8~fqbYe8|B&WNi=r)aOv{+4q~oAsL(p%Ex30x#yQQT>W*SUp0ix;Fq0=hLj@jh7kaQgzkk zvB%d0m0kZ*`>S)fpU(Np!z`)O*Em5K?t>CMO1Y4_oyH8(ZcI^bJ2c?EC!-LzxWdyE zwtfqKg8SAIetdAcGT2((U}w`~+RW>+#nRNs5LjoP|1nFSW{fiO`<9K1a={20Nn%EX zCOU9x(fB7QbEo_?5B+|e#|`Gr8>M!LJ9fU3ZB>h3`DdF~hU`L_YdFd?Bt&Z0=J2aVMz8CinhZ#T z$jS@M(NOmIYOZUhTgq_O*iL5zRITrfst)mx?#!@h|GcOm8mMm@h;j*ZQ*rfoJ0}1V zfka3lkWvT*YlNJNf}#o%DT$C(K_DvrMQr{rg$KSUFZZzjTVeJ+;pVvl_kSL4`nm^( zxcIvPAt52sUTE(CR~L+%w6DKM?uHuAxzO!!YumsZE+H_CzpuNOj~gs74C4m#!UVbk zKxp2tZ6-RuTUW01S@sVY|GoiG2{TH&GI9uWm_Fg8;(+O4<-1W-!Zp^g{)z8I!T^Df h0O`jX6`4o_E0@>;w{;ZGYb5|+tZ$)Lq2nC${{V94S~36t literal 0 HcmV?d00001 diff --git a/img/favicon/android-chrome-512x512.png b/img/favicon/android-chrome-512x512.png new file mode 100644 index 0000000000000000000000000000000000000000..f1658192178b3c2268aae60a38d46f1b8e84f0c2 GIT binary patch literal 17214 zcmbunXH-*9)INHW0HOEZ)c_*Wq)Hc13`h|{dXXYcnuOjG5fqVPXbMV`UIe7~paLQw zAX2185Qx+uz2+W%?|aw!-w*f8y-QiinVCK1oW0NN{p@EFYh<89N6k$Q0015Gwze?< zK*6t2fRY0I*a`Z11b&dY+|a)P0M&^!ClAQMYeC1`#`*vdA`AfNrvPx?1Wf<{e<=W1 zu?GO9*8srb^S1e}3Rpqmpr@k^kk0?*v=t?TB~(7QE&Kq0Mf>~@lDBDC02abgNd24e zKTvX*B1Z<>I1B)86d<*4m;_C(&jh}NDl?Z4@*-N{ONieMB(X@{^GG2Z9Z6>ViEtx|5D=%D9E=j2>fllkG+CDhrLrrr3Ga_gdgw%ykPQA zC9K(`nS&&W?)L0M(5sL$@)OQR859Pa|05olWtgVifp%SM2*6*%r)p>szF(G+Bv1Re zo*kuzhilv;XbK@=Jn%4bbN$1pv-)}+bRZC)t6XHlM}xXIIfzT;Boh)%=SIt*+42>L z`*RyY&G9)a3iRg4;5kx_CWkmOq@4<9*XxC!d%Ox!dzMX6Hj{pt6xu=SN@vrE5dEl3 zWKHEdX_!8vq@|k)?vl?An%g&vmaxW>!J&O6C-{!w7A{VfBSi6|&(BG!Boo5Ckn`uh z=21sOyrlO2W3B6~jWL9Hm}z1))uaKXO>6!mPN4KNYhI^1Lv}j@G$K}Ac@)vR|E)%;?yfZU<8`O0p9)BB7y8Kngd_wV9g58Zs!<$`{v$#DVsq6bLwRtB;eY`)0X zLgyH4o+3o~VH)k>{H`=MUjSQ*x17zCNaI6LQjR9bk8n}fCx8G&AQyRRt_1ljdi!guL)b*~6(&lxF zCv2B&_$WYvbQi@QwQ79p)!6!d2)93B1a}di(O1OZ?p?q09FKPks_K73fr6I6;wr1T!nrRq^36> zkOuzTTN4Tjc?ihn(Fmf+zwe;~Rw9v-|(pPc;jU2$~^E)L!Dod4FqKkrFKd=!pP z+q?a?=>U+V?ihO*e%)cdSUY`dUqJmyJ*OFiJXMo!?#g)C^R$xLrYAkqutvHdH^O&4 zB-p=;`E<;^n0kZ~F-a5C>sjsnCSj2H_O{jbLwv*2CWJb3BX^KKAz84?8Tgt#A@G~W zIH;`V!Wr9B?PRLk&PoXcoBorPx@tZ*!qdwv>2LdX@{x9e`NfCJeoGs4CCc|p8Z zZd}s02f){&37XZgzZj9fqG^$Q_Os1&)_dA{fR7IO_qdg*tN4Z7I8K}kMigwV3offD zb6&nR(o~t`H^<^!XE8U@r|cE{G(H^ZCFr9U{XKqV+$Hwma$nhRToGtZso#Jk!*L0zI8*}55KSZK+#t@dYt{04KSCYQTBopd7T0=PuUKCvU{TO$Mk{Qn7 zK7KskwaxCk{X;GcwZ9(R_0w0=qNlU9hq#WIz`wc#n*q8kHs6=x#^f2=rgF{H9RfE2;eAmq3WXXP1HL zl}I~f7k<<~Aq99E=yy|H`c3iRz^8JzF0yVt9!HQhm=0cxj7}jP5&w3)}7#@}!@g?VP zecz09af?#d$i+#xv}f`es>`_!7z=@lxIUucLbyobOFR7KY7F2kv}Zi>J|KHQ!lkur zEubZ0?M}?5;w9t&+zj7(I~Hv3$xlojx|xDE<%FiZvssXzJ|vxTd@-NP8=AlwY=*jE zGhF`frki@&dWlOjON@9_vF;STFE<^R^jj(&e&*wxWZMu#d_}d4XC9orKvxR*Gj}U* zKRf-IL_S&N#Soz(#vIxB7o)BXHmv~TUQ^psi&?O`|Lr5c4Va{_KF?~lV{cAk2A2~PuVMB!W;HuRc&n3T?LGIC=9RaQoTF)~R?Y(# zf~KxLr4e^l{D|x6lOpD5bX}s_t!3vvw(zS;`vB4l9dC4*|%#+|i@qp6Ecza&n znz$|IAg~il=9&ESs_6?zPRK5V189M$U!0+eVh7^xP0z*RDy7LTXHE1ust0#@TWk~G zew?akJ)ToJKK6L>KSD?1WV3p*`v;LQhVE%Y4x$;}A7_BOi_2qO4U>xjy8N`JW4f~V zH`Ep$$zQcKew>+5l(m?}5T4;+XP1;wpt~iPI$29I3`8gnvpumvzp^^nufuWYv7MaJ zO;cO<(Fj;)=e&BzCc3`qixpb&6TOe@;ka%K)L~QKLJ)$EvgR#VK50NNV9?sI5%i zj2s558Ez}9xcCb3k`2F+`anXm5YjE0Dh0`wD{*<;*bau z`w^dtUNI4a;QgtN?v|`K{#S+_O+`;I1i2a2F$01j5r`eZj*9hv14H!mL z_V{$|IDMhhrd6^C$QnoUJ*=m#<>-k9JTtJElC{YTw_$Lew$peb*2!PG* zvR}ZnaY7M))oFomVp?c~^H(58<-C(yU_r}OEe$=wcck%U3cxg~TodOlO?JEV9(WPg zq;0q@sqdRz33_p|F&l3YrWp{8(LXmp$M?aDV->DOvdd4;OR-?-LkZ&JA8pJ;cKq8R z@Lj5V4JhVTrR2TQYz94p2YC<@kj4d^fJp`ar4T8p0Y+lD{rG?FI6*f~6w~wE3vLa+ zbNfN$XG~mdmdxdc)}fb(*Ye;@A~2p>GkIP`Dn({aSUG%S_UFD3xU(Tl z6IElgs4f@QzRU7$(_xwEo|vpYM%zJ>ja6n}k}c0$iSD1aJvt5@g}kMaEedLFKoE{s z?`^aoL}6|*=q_CG%at&Z;iA({_aKT1p#sOH3jixRPFH2A1Dz|%Hx39Q{p}@x&_J?# zbJ{;&_9V`=s_H7;V_&`igw^&hoLwwf;06#S2Kj8zH0*5A(12I`-5wodMK1y%MQ?s@ z$@dryyQf$W(U_!|gBn!?13{uySARzcCvDUKHJB*J+R)G!a!>zR+B?1Rq?Y>;DB3^^ zl-^_jdLU)Y8_Rs6W2m71fY9WbZOT;UhE3lobFRgE5qeEaDnO}oB&?n0?HhXmZ?g6zO>upy$-+ZRYf9f^+x645dgseNls=4A z+l3V*SjQmqfd4;1pG!pT$(!Hwc@bGz72?5%6>{5`3q=JVzre&Q-1*^mp-*qyH@ z^K^MCVQXwU!O-~To1NSvvUX}~4X%Y|Z%DCiKRhHh;_f%ojjI9Jl|ftFTx?n+{bE$+ z*``H7ShX2oBH0|f^ghv18w`6ECsFvyr+g<0$AZKX&eKqEGsH=wbmv}w$rR?1|in4+HI)um_+aRZVNqFFQO!DtBwowetzq#*3i zMlfo3Pw4t|=7cUlWJiN>)^%azLUO&pptH_e`)FrJ$GwLJe@ZXy=Qmv#_6ZlX3KkkV zUqrA&$9~6g@q@5UoDHY(Yn@94EtkT#G9%^EO1sGPeZPV<%>Fj-l zD7mlyVv0z39Yu0*d8Ww`{zf5zxwR|%6)(ZT{QTd8 z3smWr+iP&P(@%Z=x5q8>HoS+{1yn|GK0$@=<9O1_3suj=B2R6a>mpyOzDK8Eqq$NE5ojc(jW(5+@Gm%{sJ|!9ELOPaa&yz@;oeoE z4c5lj$XOrWOhu}Znb=zy649Of;e_Y^L~>|sTJ#O2JfNgndTX{+$ZS(bby{<6B0$FW zuUUdDERgYxR_G~2yb@f5-|DhY9YqKMxN9oX3e%D8yZiNj+>3h38%$rs}wp)2$)2MJZCtXSF!bh?U z%kV_PW1uz9)r&rBY125pqp;SJW%GSxz*OANi^9#iu*G#%vL3a4G`Y$iyz=+vRomu^ zs958QzZAB}9sb5|$)os4=x~NeCLMXgg=Y5}hGD4d`-UI&3_%%}hh}c?sJ4j=(DMK( z(J@hbQ`?!W)Gl;8H&-XeYh1S;kCl5CRcYegD@-@b8lq@a58w+rR|=N?BpZ5J*M$9+6eVUno@ApYkD+yQ`kHi$n*OZR zH(C=jmiDmwC4cW9#wUL|DtK5gYzIlPL#nVbW)Qzg%|Q3BL1mJ0bA3(;u2UI^C=L#?bh9x|ae9 z8JfAcN&nT6iNyqM8J{C}ydUq0!WKh4^YuSTs@;2@j~W>!)KKEa;uduw;@kX@N5S4- zzwEFMTkn-v-!Fcw%uIJSa&LOMPMbkB@acwi(_3ou*d5PD2i){$#w+KG{$5j9v}M1B z%HvvCnUTd;<|`k}k+r5>;XUaT19b68usxO9O7ljl$b|gb3Q3`%&)#ABv zXxmx`n=QmhlpY>IrULZCH((ML)$TJl6%X{@aI1UKPU&-JqZDdlA5xa<(4S4=1-$8; zpgv4KKkD15z0W=J#>i0)6eiTir*gS$+T=neIcdd*;!kq zmS!%602CpkAVbdSE9248k@+}(=$acDKWu|;CY}2At=gdP^r9Qv!XRpzx-YYha{+4Q zj-f?qBle>!b+wh1u|`)H$5MJ(xPH7Q_)V2*?&m@S$h?GS`p(9g#T%@5(@z_mfT6Jz zxdZQdhpv?&e?7U1>S|0ZOSkZ^u2(0d@d|39IDgvf6)K>E;3`2zxgc1dAD><481q>t`Cd>P6raEsw(P)Q0vAv zoN^B4*rk@359&89Z1*bvp6gji?z;tf-pMiU=7183+PXU7U>?D}&7ki=ztk^)lgVUm z_+9nDGuI=1<(8GznwVEITAP9C4?6wy9vBQoCNIc8^4wxan}}BQdNsw<+C)O>&qSqx z;tnQXZqT&2c}{(?R|?#2=an>+$pKEjgCqf*@6TU&1`43fs63GkR6J)n#PPd0wjEfX zgBK~1QGe4aJ^8iC$cnhQ_I{C$*WGyGf##!r|X~X zW)cK~>49Td51_+Xaa-Y?r(LxafUNu8*#Xt0KwrQGYcm8msVa8RHVOoJ;Ldch z6bdwO(hJI6TAUAFH}5b_tbpy{`miZRl47L+;Wj1Da5h!cXb2~HQu>nH%ML3vVxve8 z$?=hx&3iV6ow~*qe#FWuT{!xIcKXRa!}R7p#E#lJ3L!d=7tknxfj&AWSGlcM1k(^L zp^0628x<*-N(*d44QOV5i-RE(T2+(dBGUg`r+SVqIrzd@Lv&qx zM@aL@h$3|qZ~qPNyITVINF2TkJp%CWK%_HY=_7*=%`h9f_Jl?eqhviQ`9YTq9ge=I z8Hi%EyVwD_iFLmT2~zhFlalK?RXXS~iUo*n|483v$nZahZr(Pgn@S`^kfQUCF@2rt zh27}Seo}A2)y5sO9e?jT zkBh^fkI@zH3*>X<(j=ucCB9n!Ntl8tLt3yiZ1!-{(~s@4k>Wmgrn@f%Oum9e9OzFL z-r?WzFq`$441DJ+&of>=(5N?=v9u(-HxoKOH&gWjjXJfCzaBA9{zj{87FRLTB33r|A zxay7{1%zx)$*f@!qJnS|t1}nyu*Xwz10Bv4=+n9g4NzQ9`4|O0-hJMaduh(VbJfnz zDP~=Em#eBP;*mYPuO2iYkZfS*7_f6fBVA8x(55!rVA2+w!V}r`H(chGGr-B;@ZcO& zq@TX_AS`AEi!l94f{1_v_nELgGfNNW&dx16S3PBGtivP-TeZt{sl?D+E za99lz{?$^!Hz?6xWrfYBRi)$Auf3%wjgqdr+6Po2ygoZC%wh>RjB)yHWl1lHIAi*hZ_uX9*;hnE>&E%<9zI4=H1GG&xKwKibj}E%TfO`^>IBp8J*U zayl~jaiqoYy_C~bftPhd0(|iB3Qgr%X!p!3L~1eLYb})W!414To<6KVQsG>f4L6Dm zycX8OwdQk_`3Cj#!C+`lNB9|(-7XYnc(V4m*{s4KQ(*A;w9K?Qvmb+o_;v06C4c!5Y!~96wnTpx#$^L^OT~CLjFIG6D6S*YA|5f{$IRFVB?2(2`=n~st2&Q*;`Fu?5gmQ z9sty#_hU8)f*;@;G+=HHnQ9yhRIwif5fbQgSb_`*7ZJ$Pd|fgCoefcVwy`E327Ao| zChV|RN{k^n3_)U5N~mYY!D!cZHzamNw*U&@pm> z7EgGgak~uT7<>O67E!Wcq67few`A#@ip3y(7#81IH>5Ei4HJl+LTB$RnuUk3DsrZ0 zq##C{j6itP40prC>%#Lsv=;gha(oqOEb){UU=(MpRv=!;JA{5z>Sn@OeVwzS#3IO< zlmHD`8zMQ*3)c`UyoCJx9>;})1ohfs^Ot+IfQd&JeAuPp2^Tt7(HqzHt5aO>W-p*; zFq^MzbHE_>p;H^TJ+ByAz-uxFrUMCuD6>RIy|Vh*_QI9D;~2wJJiSc@nnIll1zNlg z7CBiv+I-6%D#heVZQe69B%*1&6aX26tDIfQE0`BM6@Fcxv=10D)Wg10%#z)qwt1P~ z>~og@eV#=+5+RD?Glsf;zE@F&86_G(KKq38{h z5DEEy1H1BTHTDCv_NhdE7ANrOH4w&e`Kg39_VK(FzJKWEPZpR4rwe1-fFLsD`>%sX zmR(>YMNt$4o1(RV(bD3$D@hAH=%=?qBNl&t$fYUfpg@1~!0B0IYsD-6_j2`8#-2HL zN7FD`BF7dKKd=H-N%UEBtT5b|{QR29h!)h7#eOzi1~?)OIAS1kG=MaA5+(lqpD8H1 zKs)Hq+u$7V+W0p^(dYA0YZUxvEGSX!bbG>n%b$iS?N zX2~j$1_S~f6zsG+T?G{AOPFk+Mswc)n=-LBM1J|6H_%RL4TNzMeob4*|bSr~|+k#RTdkw=lE`7nK$v?0}32&A(z)xq@G*=KtQp4VQRup)#sek1^^UnM2N z$iW?Zp9z69-gSo={Qa+>O&PY;5z-z{4OC&bs1xIVMx)ET`_CHstr=$lt z01+7CYjyx@CuaV;uA*oZMs&O}g5*py4kFgpysw`C(P|{eF2Z?|S&;uG9 z$31UrI;qxB^f;h}`Gsr5?ONZK6)MV~+-1UJ&^?>bB^ZrX_VbX3z}G~2{Or(8z(b2e zP}DCFLZY_OPW7Om2k0+mw7N16>Tk6txCSpY;T&=AG(rhaj%CiDX2vj18EpoEv)D9= z-((mboh?J__4cMRWJ8h=ZuVem5XgeGBX{~WOQO`Z1?m|PAp={Y;0_RxtLzM#w%ck9 z%fQrQb)v1kIrZ@)G6UgeIzO)AiYUQzaS+Pmt$xdJw?}i8G1N zNV57$Ty;0IDi2XVEA)BQ#q;(zGe95KuIS@}BgQpgq64^e#oy>${a(>vMqvCTXB?Xe zfll?jgown0cNegQoK!Kx&((5eh6gSAOu~U?lWaD7_XgNoeuzAVz(>*~XdWH1SDB^3 zrOuoEYM|<%=o_nLtl-Z4rRWJRVYKW$==^d>qhZgz44poKie74#Efkr4b_}Ln;zz-E znX&35ogxSu3r(aF2GBp7xb1hj_2qj+@=kclmI1No?bebL##GTD>S7`Y+<|`>c5K1i@x_Esa#BtL3-*IpQea zQ#l2N>do1f7zwRXp1Aa@%e_S$(Gu^y=(yBghPR(s2n&S%MB6p26;3s7uK5vco0NKg zE5**LDKuG6QLb2gbr+__Sr(`Yi_qdy@ zyY6Gc&ulZFB&woUuHk>1a0OtDLW4!nJ(~0|u%EthoI2z6bED=>uw|YCybi~9m09>X-s7b#bHmDhx%SurC@#N%<3RJoZfxmcOSM7Q_T}WIvl;`> zdku~U<$Uw<+1P+c(B5R|8WUXSW4q7$_$Gtumc5J!4Tw1C|LdD6&(cPo#yxlV;iVQ@ zovCe71X-%76+^Gzn%Mmyc5hg7N7w#JD>SKX0n@R7mqeOYcpQFX-K)OnHF8mO5M~d2 z9jgXuJ`ZwfULk}=&JI|1P>-I^v`13pyU$Bu|5KdB=_Wjx_o)8-cHB;n>OhP(lBb_#1goELvBn97 zpC+f1Vw&bi$RD3=vjfz_=%iG8AnD07_&FUE1A)mNR+T|08LDkda~%~$)~zz&=7(;Q z?NR5k-FJNBcgA4uzX@AA>#gCej}JUnxN6;h$&N%H_n}GNtzr;bQZCji1k+GTfJNvW zF`W2ABFR1gXH;JzBJ*h_LG>AJu7qu6KP;mY0NOL1;CkMTZ=4mtgdfM|Kx5Rt3!zq2 z149?bUNgVfVi7zZzT-Ll`c_3cOE~47RES{HRSJ?so3~J$SVCv3ESw|>2#91B9SI?)0+@Vs&Iv+H_&y7M9AK1nb#|KsB{rQWN0mn5Z>g`I z)92)YB7o0={|jKG1a35?`iU$9G)XJ&q0;_L@d^x1;oyGb#(N@EQa=N0CIy0Wd#edj8R49v?EPq9;Apl)Q$uPs2cm zuzsM^4$S6R=eZ4cXzoZvqO7=lZf+0Xsn4Wo%T7I-S#(E$Z(j3xRb4 zL{0EUbzAgbBmMBF?!AhSqWx2bB}D{Tha z4GLBY*9P83$N>-0%HD#I@aQ2B^xaomPonVpK_uPzQia6Oc3zW$mij)S_RLaggl|Vm z_H4s7WMWzzt>P5u+w9pV>V`3KicXiu7AqXGYdG~%e@>;l1|NJF+8s~w$9>MMFP)bG z=+90o;zln27Py3TURnRE|3jrW{L$$15C*`)W=~xN)x-Q;j_skHoj`aDP~s30!a|N# zxf5K5Te%MK!cVeLX1mAShqjgsDPNaH|~{6+StTK%&|v*u=_pg0ZgbIG!!-t zT5_I1vR+L2Xcd{U73lsYJU>0IPjj8L7;Orn1wT-`k)S@mj z6Lk(I(Ae7&j#3$R*@1*hA^x93&!*Tz&sOU^+A1!aYU=3ZF%YY@+THb3$pOjZ^d;#$ zA<@42+?qJLckMO^XU~Q1EX05Stxd{J}#DNj!TZV#>8kxHhWW@ zSM#4&_XUD{m_D=eyh=j8hH+X9ef+7#Ll_kl9H0UYs2}$Dc}~ahDC|`|vhZ?gPjUY= zRDa*n%4%Nr6gF(CR#FHcqj=#7~PfgLVa>_jpB1GJl^Ki8iJ0GRJRss3~2S;~2{a|%? zHx7bV#9#TrchYg|l=dvsAMlQw3JTYOJKjpnFX`Pa%rAe*rOJ%n!z|-n;j^$41gh;@ zy5>KdKQAhe3W}J5m|t@T!YeAo*P5mbWaY>Hy%QE~O-L}{&m{env$3%W1*VwVzA3{S zDGvCFcL?06>UBF?{hjJIZMZ=6_WVXpO-)>IqI#Ev@<5JJab3CSV$V=+g;{{V|2y7h zded~vLst+MiQk09+OFBPJ>*N243bBw=Udh{R}KX}BwREJcmK@=2pseoa0~~lD)kyD z$)kP@5BI(vzSPDnSWs?sHDW%Yg}+$>#aF5}dNzKrh}$G4N2YZ%&FJx_qjWCm(7F^^ zOpVCqr){VpFR(=hAKNfwaW_+&7P+@W@pwBf9`q`XS z$`2XUuC!!!amznn)Ls-=!q8EX&NDQ;40rUJ-_OpfrB#~W4BWpJ!yusR`0@Hc!I6o* zXnee6)Ask!@MgPe(*`Q7S+lkwAt4h(zi#U2h=8N~U0cgDziND$^*rrEwa{}dVw%wT3D?@~ zuytUy5#bX-h$xWSf33SEj}a9*~MsrOYb4;o3DExhN|zCRnCyK1uj^&+rFbHd?sO-lOKv2ETc zp1v)A= zEki}VD_o844z5!@aZj+>K}HyL!C0IN%v#h0yuQWc<#w6IRq=X*PpZ%JkGuJrS ztjx3$_Y{y^2sC;j)cbzao5BnFFnU9qs&y^Tkx@^saqqCh%Q)Zz z;zTkgDstD2VwOrp_aK{2p`q0~97k0hF_Qn1SLeWv7EW3j16m=CX)CW~J`IR}!AIVo zwv!U2A;-@>B`tD3I(|T4DZmZ@YI-}=4+z;j3Jofc5`i9(!vgF#({CoY|I*5?R1q|- z5-6rCQzl>v`f^^^`+(okG`Em-5BYo)hMPqqVO|JosBu>cGjMiGcl$We!_8r?`tzIV z$vYq~z;E0W52oHnR`eu?-J@u(UB6eFa?4)0O6;y8XS*9u#;5iy0dJKVt!TUsiz`&rteI#TVTXt2XLW!(BK1IDEHSqaP90^(M0i zp?3WTZ%abN1!px-3RRJx9J66z5)Y@s(Z?*jp%hzDTZg!3EPJN{+GQbW9&}PlzpLkT zW!)@zy#*~_nUo@<2FMP$nQ71BqoH;|!}KR-#chg@e-Jom&j64*;qzTh=CG$)(xJQR zOR`;;{t*~%r*=Yd!^nULkk0Xkw0^BFzw({x|51X3zWa&a#ESzKi~pG6Yp z8$}(Hcibv#4H6K@I`EonJhuI1j^QpJSjJYw7#p|zWrB}kRbx0EviCIA&Cm!g~a zx5pe`nqQJyiqd=sziFFo2^eghfYEI-MJ5%ouu-|)x7WS>1pnmYq{_#_D26#XNo1rv zw4u=dF?gR$LG&k5_}AfKqRQuA`~8xCE6_aY+_~>>sDnAUMnT|itCdOy;Zv|241!619fPt$R(|4>ufeP^|~jB zP&R;%^$LCvw~FO;x)CZO(C)!6&=RVqOz-V%Y$da<+NRR}A-Rqx^v8-GgU`*qqC439 z6$NtuIJiOuQZd`WSYq7MexsSrVrS9V{w}+68lq&3c8?aot>fZzY524yiFIIZ1|(GK zQUQPqqm9F08O_$NIufgU-yH}78ZV=&r>bZb&+!n1X|gd4!1eUb6|Je^W$m2_nutYg zToiK3$N(^BcuQMOXS2iJPIe*$HhwJD%hKbS8<+mk!~i^6M2+*nYz#%iIsi2e5N~Np zb8@Jv{;$>x02=8P$qBUP`<0l$-;1h9nBK>#ujNBsdKNEO03ZV1`c`Uxwu7!UHq1IY zDaG4R*fmsxFkf)Biw(O){~s??>FQ1JY61h^_g zhz>*mdf&x-ITdG%lN9qcMvT6^NHp)S*VkrH(~}c*1G%S9a%j-Ma0-|N)>SZ7NHr|^ z`(7$YpLPG_Ni~^8#d>}QM3Wn0UsHh7!SKnS&b2sfxNs4}@kV&pf9iDA`C%;=ZcMeI z6`pROQ=*O8VOPeD^TZ%?WWq2VX4N|~JQGx$H+LdDC5OXLi!e>NDD95o{RPzsW|>Sco+QKA50CNo-0I6pDpJqcQ#G5?mnPQ z$}jzht^)D?OWLrn_C3~+wd5bt+l&DBbK#vUi?8>Y+Qd_$H-!{A&Y7^rG?W4WFsmy& z*HxboC^GC(;|9X}fGgQ|^5%)XoFhGZr{<#L;O=Y0CIyfUOJ}K}1_iXErj;>z7^~;^ zf1S|#@ctcCNlyo=oK*!bHTOrti7t4W{J<_psZ29wIZ)=Exkdz3LugSP_|v&a^}Tnj z9z1e$`%1bu;@x9bdA=+c)E4P#YH{hh1bF|7N+62x{eyaSJSB$-rtQ5YaX zE=$v8E>j&ifh^eq5D_YM8E01glD-6Wvg;Oul!6>$*jMnH8oYjS|7tkR z-w&-pAZvK>HHd0oi~&YL{xL$ehcT!50g#lxEJIc-0aEJzM$0CrfP?2_xS%G@rm(j*;jL_9AtD zG02!x>H-P}fd%g8GdV9f@68D;05dsA-S1$5BUpgqgqoOw-KX$_k9)B{3->sO)6oi8 zV{L{}^*{f$d68H#)MZu@2(*1s-#l$l!^3iwg^;6pOhJ4;e7z)K9~w!K0H@AXz7HNy z;*0^w(0FH@^^fC)mc;-`A0q;NS|`Bxy%%@u$LZmA02<-hbsMkw^zb1DpgEWKLC%|#w7NQt9*;12-GK`}7z@#=FdDar1~I+cCHkcd41LRMcd zl7%UuiV&jt;h4IoTqg?NaTq=j&GZBhVD$VAQr5s4OVgO&n&^z&-cyv4-l6(M4IWnu z64Jmja~tevM-VWPed|g&qC2sFK@dj0DETZEEu$Hzi@mbg@>GD>P#-S;KUIddR7v@q z^cRlJPUpSe;ns#kTxq~FO4q>Ia&e=TsnmxUYEQ54ASa(d1m_HTrXW}oY%--fF8w1P z?~%5r1MpS-d}UN;Hx4@6Qr1bD*~R*_z-T99&I@jkR9$kX2IGj`$gi%8E)n4$OkqiI zo}ia;edJM4^ghbpZ>gHN(osWPi8NY6w&ty_Vi;h0TG_Iu(RMH`Om@<&@wy$^7-SBF zF%}a+diRnE^M`JQ!-@A33o-)l{pSysPeVt(GS%v5efg5k+YO$C$vjp+cD_Fy5s1~Q zV~j>`K2vim zi%pl2bK5&qB^fKG=D8-$74L~_!L&K7t=`Sc`FD>N2A(_1asL4=r?6a*%{st-o*;dy zOqD(G9vg3aWn~zuUPd~#pU&WbO_?63?7Dy_R4ksNI~d6cviGakC?Xib_=7Iv4)1EZ zo!TQ)eE?}VDJJdV-br?1y4(RwR#u^Ud|B;oK#TYtihvIU3*(ALvLNt16Yh2ys1$4y zD$k7Nw{GP)P#X4xnSvz$Qsf#2)siltHI>p^J()1=$R^Xt0Y?w9caXN0ngGXeB%SiZq^^`_H11GeLa@`ZPjVU z%kR%tMg~i=7>RWDq@kOxtLO7D1X~<H(k&~s)Ga}WI`?!MC_j=U+zH!kpA_44Li z@>+nC_E&ex#Wn-NmVYd&oTPe-lpI>*{Q?TES|eo(yl2CCIkST#byGnd&8KO+?zOOM zsM2fW680qCLpL-f$FKL=M%bJV!LnWjIWTUz$67)!PGh4%bqfH&lBZdNpmO;6W4pyR z8lPnvM8NebJKU!1Os;+e^Z$#53U9wp>hRN8R+>E7&#!xbAsSkvL%;YH`Tm#Vj?^Ug zJ-&--=t1b87c6qclXobxgrf_}bvBx1SQ3?9GAXa$-ky*~iCc9}_AFKZX^yNui=7zc z@p79f3~`*Rza1L~8U5gfV?msRzXqLYfoXqk$?R)s!vllsr8NzStDv(N>X)@R=3LU+ z|IZR12pLSTPdZ2}HhB<-QHO~L%?wZKEPvb^Zn78)x&9j-Ia)uSjNc4`931eE98PSE zxJ`WP=^X2(NB}!sD0;bQW+<`vIdI^8nZj@!T*MLhN(VCHx!>_LqnURAJ35=E?z0cT zGpNV&7GVyF6%1#mZNA;w66#@rF|5osifYXti*#Th*@}CH{zMccs~>PB5oT_bkX6HQ zJP+^SZInID?q^;SiC?-GDrCvwsBFzAqW~(#eE~#A`gr=n3)gS6s64iVZ)1}axaGas z3u8CtI{H{2(t79{?{ECd=1%4LW z3#zH*4H6?t&wKc-;r!lq|{K z8ti=EYPjvwgi4OarK8_yCo=|f-OJsDG<18OMD=7XuDJANewhC)w|e-qEm5Q-ScvFz z^Lqk?GbkO(d_XyzFIowUX#G2v`jtQKTeP{LEgqb{vDdn3b1<;9uCL!^Lrm& zpg(H@!CO7rhRYj_e*4!E@^Av#CC9BZ954@HaBGcbzBnEve~ zK~MfjBAd26zmc@s={fnEeS{v%4r|^|#z}IV(9nb_PFyYf{&w#HFr@OUao?$tcOmD_xTjlaf}FlA??XwEBMtczQdzJq-ST z7YLpmTm}WW&PTZC{SX!S;IT6h7#Jww=H=n%_`t_m!uzpH=7tJ4*vKrz#2jV(AW+cf zvG+r_N6vz%U>|2eHy@NE00g~V_(x0Wd;jv~Z-#yS$VFoSc8Ny9k%r|Gi(WD-j73lz yE&JICcB#fhuy5k$*Dw8Fc)!5=(6@7RC4dzUCe%Moxm0in0D03u`-7(alm820zvf~9 literal 0 HcmV?d00001 diff --git a/img/favicon/apple-touch-icon.png b/img/favicon/apple-touch-icon.png new file mode 100644 index 0000000000000000000000000000000000000000..38e6d26f9757209a4ff99b8cda00ce2bccbd2b03 GIT binary patch literal 6810 zcmai(by!s4x9^8WVn``T2|-kb7&@dorIZ?pp*tibq&uWT8j(g)8iWA^q@+IG~3D2y2$CGJ>Sx!7DId6s{S8SraCDUnqK9c zb-BhxUtSxPT184dq|jIit>LO6>p#0@_7EgsF;5>-{QCB9M(x^=h-JHw>&B4Kex5>+ zR&a2k#HVUP#xWxW=lK2*a-0am|IZ2vw*gHI7c+3a(J?hO^+k!crKP2bi3u~a)OTB3 z+w0xN#i5}gR_V)H*tNC>k)QLh@&U2JS@emI;2(OdGOxbwK(`xMUu)rsS>%0Z0#@Zm#G(d<@& z=_-@d+qimrMPFYrs`9e3AOqfJh`BQh8{0yMzln2pnO;@4xGzoRhaj>vI;|4zjI=b| z-l6)&SL-&9k`&HUTZhYR zh{DXw`8SKcl=Sq_d12Lem-=3}S8%GVeeO$t>67ZiwdD2zFKc20h?amLTJSD@$JmTr; z>)W4iBx*>fL3=^#;NY;N|6*Wupmf+__HZ>N_Q#0rD2uDBtGv8?_(xOXC=~d4lz1VL zwZ4Za%KP{4SI6iuq^(ppG@PBD$_6EWFltYwFK;)XN!BCv44G#mEl?yOArXd~r>Cas zX=?{#$LO=si`;QBKI#^^^hxQ)c08ClNHVU3VUdwPdACLPX7Y@n zSPAvM@=A`+%G4BnUjOtR7xZ!R6^hb?oRZRUrn+l(cDBF2zo)0CyL(mQ?ve<(6g9ZM z9WKtMmW7M0+^$ZJ-%N}tSvX#kGu})?v%WsTi}3%c8La=rxWSIP7on~`a&_hXb0j%F z9+F*@oBLdHV{Y!%kk6OT72gjK{y(^fsccDsZEY)QizHUaeAP8AVNpgvFP-6=V(Dtx%i;IKhx8e*Q>(-bz;(Y<5R&{lCe9_{$ z=Mwr)rq%~jL1N4}mZqjoe-L?NXlRH?4^je8@ZI~&O#H}z zZ|3x3-bvN>>|V(dy@FEn(d_=t@i*@96QlaJ?s9u`11o@HlF`x#>~@TS5#D_@=Z!f!N$VsnheX!S6_Lq;7c5p9f84gD#>{D2)gFzTW-(@jb=H0 zC*sWk#gt?xS8{Q2>A3n-Ss7~o;azUk%5&misXUN#3(8$Qh%gbwheZ871L-53aHk{TsOW3`1 z@g;vkLPB9ZsoJG+yUlPqqt(Arv8EiKZWC^o#A0;dZ`(g^XZP3D8yQX*H z7?Q+niA}G&vH933qV|8a;LNjI)ipFcGj5&f>$BWnXcKT;czduU>EN(eY1G1|l2+~h zM>j#CP=zV<;2s3k*M~!}y(G+TYGrRvh>Oe4&c2z+LK@+Bu^d*co`bZo7_;I-ty8HD zzGDmz3BjVWb8yhDWfZggo&mpojIr*2cfGg=CVkSE+w)FRnBDg;B?Sf2+&qB$qgzIc z(0tm|A7lT!+jETJP;PT)0=4lUKf3%;v_56>uf-dYUSer~b}eRWN!!}mZf`D+BcmRo zURwn??)386ypQ9Y4-aJwui!SzX)J5ax|NFT9<{Quk&2_!SK;*@5edr_io!1EdV9PP zvy!jiOhwZjMkF6+(tuxjXPgysxtId?a| zNAslXy_>Jk_tn#REIRExJUrBM#OV*=+$w3rQ#zX|CHCt;3dkf=0Iznb`c@$~wpadF4S+S>Q*rareU>Kg)< zPQc8ZvB7G4KTm^&*v)+)Wf?<*JqbjrY!rpHUt~r`Ms9BI%8DuM=!d#QOkCW^@bI(8 zk4vkn7=+zERaH4!S$#S(W;&6hEmo%&sg^K4c0r8&dRkstQB`RT;mksr-X|xIejuQh z`2Jd_Hv?{~rKQE^7FNnoRdc?#!OC_6na7Jkz&6#O9(xYMZV2ttf&ykXHn~L8`eFi^ zK7-iG%1UsH^mKGx_7-gH;qmI_bRPm&#*0mz<9^iM+`M&kbc9Eam+}zP<&2O}Q>P~< zhcM#C$YpMdhki5fY{13QXX}kU>o!R`AA$?HZzs|G(`Z}*f+w7u7|bBbTmA3+TC;5b zI1Cd%bcem{;>TlPA+<)Ul6m6yNBPI(q)ySS4{qSZ)D$Q&x2Mx4h_&s> zG9r4>@Pc{wU%Re|v)Npl;IhlcX{jRpwDTR&p5Mpd9wgrhaSuFqdr6xXVjFs>BFW9Y zcPP)Etdwkmda0?o{QGy9X79%LOlLjpe6O5>&z}#6(s|?P#kRM%UEJJG&d<+JPf@6W z*w|R((Cr(~P$k5(;?JL**ZLDM{+0sWyFFj*JK@!>cvbJXC~j}JlGiTS+|u#}GjoYrKDh!UaWFL-2-si+V zt|56_Qc>{%ZcDKdgIpg-7HqFSixOV>;&Z+ikXacalV4%bXfv`W8L0hO?9JZKmi_kY z%`s+}9~fFvQZl!oDD^?SZ@D|HD>r75FRm&-CTv#w&aZ5<%u&XEx8|a?wY9VJdA(6_ zNu8nQ;!7Y1NCP8k^=#3tmdYF|3&w52z!*9a3f~KE`*IAfJEiMuX%nyB+5D=GAE9|< zzl>f!G5bC^Mq*?0pi@$7efEp9nUoYd_XC2`g7%DzY_X&#qCc%VA0aq%-r&N#ouu+K z8XOlZC+XR--Fsd;IK%t0lwh9uKs(o;}Mq#4F!rfZLGgj4(KHG>Vdvl8RsN@bX#B_{QQ8 z(YGGQ4vQsBlC0*-MzN`96ZE4iH|+9kT+$!OtJbc2#N6 zsFo@~^+*#N&R}NN8@=o#2v}`D)7;dQ^udG7tSo}-aRolvTO!8-`M7r1-@~XV%8_ib z!F89+j0{>jIt&a9y^2>BmX#{juj1^3JlPw=8^|q*sq_0$`EZl^md^#;NbG4=;P^ojvRYpeU=NISIqxC_&jrf5E zlQ2{ILm64w*}1s^WsBNb<@9+nJvtFg)KZY&Q$0iYOL(+wBz&I+x8l9k27tLaGXcZsdL?I=I*li|vHH`s$gc8~h)eE}Xk_u&mbVZKnlkp4e=*w{?Ck!qXsARVHzInycalB1rqBoeM=Nn^|>ht8qX?+N3zaeM+jT-$8&{ zj%5383{h)QUr1T-Wa-J}OQymHIXc5daz^w@`0@>)&r%;yP@Dms2pkbn$mOBZeom+@ z{6VQW>W*H86fdMSS*~ykNH9Py#^gLPnF@Oyy~={Ffvhr9#PD26|X zG&VI&v&EV9#m0n(Q*oQhEW$TB?*977$zi|V=(6aGr7K+!O6rSaxI2&@X)pch@BhnC z`{AGXcEL-|G}p78*~iH$@q&OBrKP32ph6*15iv0_5fKT$W3?EG3Fw`NawJT4Nwo4b zJpbN!)k!dIZToqzc`n+4^5wbTcCP(6J-iPutot4T0p_E9jgkof?sOs^@v%zqFtuU^ zA(vpaV$S|<1PsWvc7=UKR8aTwPzD_TZ3Y~P0ao92^`#Wj~db)iySspPXnfsoFfRV}*X7 zCm6cH&eJGdBRUP-+cTDiE5T_+*ctbTobLW5%jZ`cC z^Kr!T1_fpX($@Kg3{0GqRJi>+=v(5WAhGh$USzUgl+#n7bY0lY#+M%EWijd4v;b}#W8D93C& zB9-V$?h3UvH55C0Pp4Q4&Usq zEGk+DmCect6r9!G80jD#9i62oyPz{6A}E+EDV}4ZuOE&L@uIN8UX(-E&l|Ij*hP0NXRokIuMo_;6S~v-!fX|m$0}RULrn) zL}=;iQpglhQc?!>g0VO%--m~>Ux1?7H4z+)39HY|g{b_hvA|E8o0!1-7`Q0<^yyQk zDM&jz9QW*CS^8w=9V+^eO0kF$H&CB@>vN)fkkF)ohkSk}J8Nu11OLfPHY$ljI+Uzs zTDq{LF|hL3wwm=P;RBGd0|O zQ_9se(wW6;IUMXO%km{};=ol~dt#x@XN;BGA70P(w;-n-C9>bTy1Pqw9jV|XU6Nu3 zf^AGsdEY{oVnVT23YO^J#r=)xwT56UwwXg`G zZ>+0Bh?8w|4MYaX6?_nMUg=L@2Edb62nxi;`Z`B^Y!?R9UXkTJr(Pw1at7_|wc9HH zTie+h3xI@8fWgho3KA1vXlTT@zdh<4I?%QLD$sk*w9%NCmj}2(CdkC^a@}XYO}e4p-?FB`TYF+K=Hz$bTn|Aq@xa_R?owas+syvQa}`d z(!gD!{n&lF(g>k~L~e{1Dc=_ypCCfSEv`naIC4g6-q>3_XCeI-#^4uTG7n7Cy%4FH z`h4-APwS(P$??`_KATY$D|Or)DTC|ww}GKh&?yLI3IK+%c5Wxt#P1#v5rY$s-mhSC zoNUn808|w%4s5s}01e*>91dvKmL7wUkkHj9A}!hrGuRa)D(^mlG&oFM2-;@1Q;+lR zTs=^}K<;nfzDeAkB$f^fI0t`6=7{-t3?y+N%+=I}K~kKq-cp=B$A^ZaR^0zgeQW9D zc<~XqL?Zq0&>#e!=kW%i90P9P+mkW*W(jd5?n-z>gys!S8jnTfb4Crr@UtGKNdw=_ zHo>Fg-k2? z&&*@J?9)2qH!Gl1fEPLLG03zEil}e#?A7JvYviu_2jSDNA^6p{dLst0DJc}A7H0>e zkdNODEQ!ey zqcZ~eLqWE!RBOBi>2AIqvvZk0#CIEnLj)j$F2CgJDU?5xu$i-BxNYokdp8hSqHwaJ z&((1?JUm>z>pKX%eU)KTesoK~#f2w*9L}KH?Z0l(>({S0KYwalJq!G_;&>*ZCV0_@ zGq~UsHOhj6gTuweHPd_6iGbPI*mS08hz!awOzV$~LCfjoUz0|d-~GLf~Y%5_R5;6RJ~i!=d^C31p1sbzq<2$+l8NcUK88olZCF`n+rmmHbos-oUppdn^z zYU;7S@U-f68pt=0w&afTQle=K&X)U?ZpB|L*Fu$wzRo5068A{vX?X0+ID?bK!l%fM z!nWd@i>BrUJsK{2`jjLA&jg0i|)-8s!tHYBh6jqAhN&CpVmG$-0 zKUE!gAzvxEj6oBAtU%sOH+7R7G)zEWWD{ZKC;cZ{87t32Ix{%`xQeEkRjmC>C%r%y zmDC`bRPhhcZF{7a^=WY6IdM;aKW;7mtwqZctmE;qg^|DkytAig?RZ2Y%%U(aLfRho z7Y)~mvfAr-<7F+9WB7PAPU6fcONFXXZ~@%|JxzrTjTy;D^OTqO#R9eg0X8!ne*Z|# zvsz+r6A#=5P@HO703yh&c>yW9xxF1br&LxR1pR|Y>e-!@r0X~FPKucwkRqJWpY8Z5XdGoL?9Q-8H(Cl^PgwVef{o0pRXqqUQp83f{)wR%K=>#WPpKA=80q`0mH!C=OJW` + + + + + #da532c + + + diff --git a/img/favicon/favicon-16x16.png b/img/favicon/favicon-16x16.png new file mode 100644 index 0000000000000000000000000000000000000000..b3cc328281b81f0638eb502149a002496c72c473 GIT binary patch literal 1005 zcmeAS@N?(olHy`uVBq!ia0vp^0wB!63?wyl`GbKJOS+@4BLl<6e(pbstU$g(vPY0F z14ES>14Ba#1H&(%P{RubhEf9thF1v;3|2E37{m+a>`W@Ck7RDsYhyvJ&M3 zGJt?xgx66*keeA~1q2A07z&vHwG#jqB79B~g8DkDk`f}~Vj>b^!u-74Ks}r+Oy;5j z3|w4-dfGs)n5ZC73T_sskdUAe)NoN@LAW?UhKRYbfQmd^8PHN7TgX6{T~^jYm>1%H zUS4id5g`#F0WEbEX9+=jah&E08R`j}8gei*nTqgni;A*~i2+U17v#1V6EHW?{r~?z z_ocuoK%s<^AirRSxw*REI63|&?%ydiG>?NMQuIx{kSeY%@ z+ZP>A2MX=>ba4!kxSX5-1Sv@g32BL`sSS)~WqfRYdUyB~+6IPJ1_t|HhM1yg$jwj5 zOsmAL;ry02oj?teARB`7(@M${i&7cN%ggmL^RkPR6AM!H@{7`Ezq647DhjU(i6{w5 zELSKf%1_J8NmVGREJ#(zEGS84V5pe$_!AFDVVH)-DgV=FJf8+JFe`KGC36ca3wuu% zVHQ?!X)rmQ!mPYGMB(&}D<_VeIU;j}{d9xJ0xvy=SK@*tpPWpm01boFyt=akR{ E0DV^TcmMzZ literal 0 HcmV?d00001 diff --git a/img/favicon/favicon-32x32.png b/img/favicon/favicon-32x32.png new file mode 100644 index 0000000000000000000000000000000000000000..7c8ecd9d404ef5f667103551d005b26678b47244 GIT binary patch literal 1697 zcma)32~bmK5dL4@d%T1s5JuUT%%TE2pA78gMifO#Tr>v&(wdkERTu<7VG@`<*-{UMO<+0v$!y}KYYpP|_V9ye zDo%1DW)+YSxZc3`Vns zS0mUgtNWJzC(>F7IlP6j5G5f1!!TRR3tGiO9YNr7xJ%**M+a*VqthSps4J>6ao6fi4^NAGO@Err zj~_nzt%5f9>0@9eQGV-Z1IXZF9xt)&T|Tab=bHZb?Zk~Eeg zJ9R9^Ql)Xo9L-5HJu4nDeVw<*;lah3^XFCay9J~Yco}5*!f@GzM_0|`(4l0?lh(pm zfaOPY4lS!-kkBh4!-#$ojs}yR-LH+n+*yT8stWGVBm;E5S1P%1YdOMC*4*jE{Er`Z z&C7c-DrS+%wv;oh;^H0KHJm9^X7K27Tu(lK+j%Fae7u-s6UG}FE{%_0r0wsEk4@-m zK5CH0E?m6rqs0mK#<@4=B1r|tvO0?Mu^QJU1t*_KsaqPpDsL<~QnK$<;i>)mch^(p zZNHqqfeP;rm*T5+Std$1YnorEAi7Jh?Nzj@s*E4^xAe0j@EtXb69MUDd3anY!oHBwcCN_a zrovCesFxLsh538D`u(S#Fjm!8yTnNqi^@3jbV;*S()=(j`_SgW1>EYIBZX~OX33Jp zMtM_RjqB8#%C_d8);lJ()CASG{Nc_e)~(Z_HN&4@Ok-3XvmZYFg2J3$uwuMD_F#PE z-Vjadg~M0t?{tRcCHIWs)GVHh{7_Ou9%YI2XQgmh>+qQ*xa)w?;m2%YdIkH?Qhpsj zv5~WD$aSzuR@?r~k)$TOFAeIA9Yv))7uy4~(y!6tnoCb=?*&D8etW;065CvRZzz3e zxVzpt^!~4#z;^&NHGqV^#;F?k$~=8oqCQ2f*Ge?mT4;cu$WJ5?`3XdUDv`e=Fi0W_ z=8MD6Jj$`^||V7Ey&Hy73$Jgt<|VAwZe?- zw7tV~I1rSuUX`dRst`To}Da7GbBkWEj8*vN!klp5S;Db&?M=2x5oBKq_Qe>6B$P0e#|&4*QK%ZK|fr zuWOq8KNz~lX6zxm ze90vKrR-l&dZkb`UoXlJ0;5it_xCSZI6*wIX|@^vcV5&EA3FWUNA%#3>RZg^k}*)~r@#Xl4Jhk-L0J40R$ ze8Pf_28+KAx(9*30s&jUv94OwqOd z)-WtsM>&J}H%??}NV&_>KBVSP|CR<5}KVkF4mYBt>l>hB0gWYta8~ z!-h5fryzU5kpBvNt5F^)<9a7%T=u${P_aZ{3?C1}V5aeJfe((s4JyU_cjW}iPGR5Z z!D;C&7*&Ad_#8QG7#zTA^;#~+Q2 z6S6Fu>z2h`fALF-Dj!l+neWiTe(B(a7Bur+d>L2;tN?b5V*K2X>BEkdiXwNi^F~2&q)5L zsAs`7_8MO3}uAdekA_9JTqE#u49!y zzlYCRW)KeeB{*AfUlzF2`8&A%F2OW$R_2ecEB|V0jwbH=LA}82bD!fnY|gorkJbEh zznx=F+E5&KPcK(a37%TAyfV2*8?l>tXys#-|NlS?yhr@fHKZ^(lg-J zGqcsiSmw_4Yj5Eg?Y>M&&9O4Bv&` z24G&Au{sr(Lvbto?gBnUxz{LvjB>Y8K8W%Omho+S2<79+vLx}GbHvc$I)4{1RNg{G z`USS8gvWOdzjL_GTuh-0G*ig= zKOFA;+fw|E>{M>3mfw$@|3!wMk+RJ&@HfNA-Qc^8vi1J69Ww5Nq~XS|q3`Vg*Y~dj zZcrPHa4xPej1_@jV3e)#^L?3a$cG!h(cLw68!R#Qwlo&4@mp2ShWHsXW53fHn5~>H z{@+3GIh*cSR@>lgsMs0LY4NM7^sv#F_aI!y$BJ%|W$k%5+X^uDl@1)+<1a*?#Pzq7 ziR*4IqYbVjQ3rNLs`6PF5aIEym@^O0BK)3lLiYImvMlwsURESpFCEYG4%hHpCx%9- zgTL+jduLz%p$HbXz^`hqgF~6M$4~vQ;r_A%cd^G&=5IB|f@-n<_o`YB=bq2~dWTM# z-@N-h8)zDW;NEdj2EkN9mw!tbH4;g}q>4oR0A^N*2jcG}d7z(fH{|U}{zSYb$@i{~ z_wl;~_&)LP1>|eRYXdpnQ0i^(lB5>!ZtxQD-ov;+22U&n$LUYhf^P?J!%*?%B-n1$ zW$00!b6O2qs6apL=ar&WrO<^uh{(+Z;E zE;088IqPckbWiv(=VT|hp@3T{qs`(F>PK^uQlfNsaLT18- z;u7DHg;x~!_-HXnzB^ z0jL6y(XP-USb54~DV6^swDX(q8jGG=Medi(Cod2IO#j^LnB9JWzMbB!KvgQ#Jdx*+ zBkNHfYMxC0JX1dinEr1>`7Yo(fO~^u()7UnMP)ag@&&7~3P?lUi<3`@!Bf@jHT}Wz|zf`IK76sHvavQEqOq*=ox{nYqjN z=JTd5#{HM`DyuX8JwWyJMuGV|b*ZU?{Ps!MSO?@MN zGo$b<#auP97;DG}71eo1^Fp4Dkf$TYxEgqr&wJSZWx1O+tndf)Ba5#pJrxRjehYaz z@}Pmwz|HZ8=}gn4=A0aD4T>CNI^ueA4{#sy@8c1SAG?2Yf4UcZ0+5PoA>_F;CE)+v z;rmxQ(%CiSE#Pwi?q@0C()d%_hR@Fdsfc%Ow*f2Q|NY^QKlyi;6|@741JaR>2Cm(6 z4F&Em%K*3hb4@NZY@fz*a<#k9Z!YMHL_ORC+5j_VxBPP~>GDs)d1g7*gd=%D{V?>n z?zrur@7sQ03XqBv2rmUD+Z(a|?wC|nlkxgjRo5WYf$Q&F*xn29Jmi?XUhjg5UD6GaSZ?*gsOnEE#d(1;VL0IzXPlg?HwybNk?3?Z&SsgHd%Dy zN}>mU8%yAU2ubR}LummQZGH9R)x%oUcS=$_l*X_ zgm%7H^9?;+QL{G&B8{ul^|QzsKIgw4;QgBdv;i?-0EJ@n9u5_@e0zYf@Bb~_37Lso zQJ!bhNml*&v#wE9-xH`rDDe6HYr~P~%fWDDrxlbz`i`dkZ>Ti>|Dn8F{W`Qjqh@=frtp&fe~z zKi9EYMxQe9sQ{nR#lRg7`qMwZv)HFT9QGF1P7iHGc_wh1gZ|%w9?ykI%E^(&S5FN+ zg9@MX{K4iAn)K8;O>@znZ7av1Iz-Uaq zKXnb^XKNe6KRd01O%RUFe>@O9e~CrR+?eik literal 0 HcmV?d00001 diff --git a/img/favicon/mstile-144x144.png b/img/favicon/mstile-144x144.png new file mode 100644 index 0000000000000000000000000000000000000000..9bf7987812e31a5a2d1edb350e25285286dc692b GIT binary patch literal 4970 zcmZ{IS5y<)^K}BDH|f1Y04X;jD1m^KphS8LBHl|cQ36O0HCSi@5-EZry@-HRX@VfV z8X-~@2%-0m5K8|19>0fgoi%5#*=OxF^D;AQPMn#^Jr+g*MgRc7f-rzvTw(J6jGp%D zUb#zZyaJHBjz$W7jrhSFa`iZzyJXD1pq+4qOhv~KmY^)Sa$#b)H478 zUZ1RHbJ*1bt&`zBIDqoMliOO7a>da3BaHRw7O7~c)mSehB0B*94kiR#$0~Sw>qB@3 z_gHxEoxj_&Gp$t$gEfOnA6s7KW8u#pJU$wU|3|+_EPgDuy5d*kEc#X>_+CNyY!rpfze(Dn!X!B8ze z6Y95oyLK*gc?jBeph29+(Q1{j0D7nnu4e*0@9N?{iPKd!V5)op| z9s_~Qjl+&-+rOp=z=WVl5jJ5xY)~9`QnTi2ZsHSeJFkGB=~>-7KLc#UE2A$Tus&Kz zP6SH-yPhlzP2{9{$NZ>l?na$TJ4->#o_h&%x9IQdXQ46K#>Mo2l4GwZmB9gMyl~^P z^{T+m7guS|7SHQf04SddO87l{VwOYkEX-@2_`Z_Lm=YBlH5e7udNv(()Ot4OH{EVT zgtMq1b24t%6q~{Te)q=Bcood?{43ZGYd)O2Jo^DqvxYXI3^q?7j~dzq?4zqaLx$T0 zP5MB}QU1}A>rfe?s+-oAd?&X#8Zrm46o8u=+Ot_rJXe_9ML0{^Baa}pyAEcAkLm>U zi{`V}XX#+kU+PU5c0Uqmzv9#j?TdCer|6~|b#%}ksP;|EOVyaoN0pP+lO0fk^;z$1 zNI_tC{qQum-EEXJj}qi-TPf>|{av4YT6N|>m2-K(&}nrPbHMv0iljpxbzpHv6S*sQ z&pGp?!BFnHe1R;V3+Z;cxmI9m$Pbm0L=(Caa@4n&I$-(qiPZKr^OsueumI4B$+6+d zdBn34W*a>JX5GjdF%>J({x(Y5AhA#+)Mm=&MeM3u%;uK?V0b=eBTzTdIDGAM0gFmo z!K^?I6kYdwPtuz5h^XuU-?{YW{QV!jb55)Uih7Jn`~vfRK46-EkoSqZf_-_~7>ngj z2@~IA!UdO%r$#Z9u;{A@5tMl z;9)Bo(Eh`as1uUyt6-_%$-agv8~7T%>_8g$mc84CU^rmrEUs27X3-3r&U1-R^hR z*WQuGfaQ8;lyU)PnX-CXEggsDAT5jIf6;F$brB_GQ|rM}e=^VoYe6h)*NAo3xk=I? zQ~AWbgU*4tXfF+?f{F=un_?}obJT2r6(3)+^)>!<41cELpOW`soY&e*?l+I4o=E#oxT8+BZmS8<+V}&ev}E)?}#@dNcU2 zg(3BX#X>G`;@%8rGM&M~+)rd!SP!TenRfH43ZIR1K2ULd5%S}d$k3-3yO#iKb;62k z=1F{XD+QTqi*z`q)-N%312hMv!cp; z>VLxbu9%&k%aX$<=vQNGG5anU7L21Xz=0>s-2e|C`xrp zk}zm@nE9`G?m@BfyRC!MY@O!cad3%~Fs(2xn+)$bvsF;9z&mJIT~u~<2EeW?x!%6m zKq}i17iDF*cpmA{lTeG#@1=m3?Nu=MY;0oLf+h&u${^QQVn3d0SjdlQ%k45(Z)FsA zQ#WavsIWDb^x3z#n4JQ?w%r#hXl%?F7+@55|1cI#{?i&BOSeQo@z_861#=^1>c1hy z38cSzl%(blggBndMq42Z&9lzbeCu%JaJr+fNsmkKh@}39c`GQZOd43YOaZ zGe7&vYeSVJ=ipD9W6PztFuQ{vD}ESwqiM$_0-+<7kZ?V_v#$(--qWT~?Mv#^M(S*m zWT|mKuiHgsW`=l(@Qc%*r%#$G$KXu**^~qFE zwbDf*uqZYP){*yz)Sr?5tc z<{cc&p!%+^Q#FH<;q{WUnYRBbsNt~8oQJGh6bT%s%tVdaAqm{k%TyVo)*C0bkvbFI z=;RWP`v$M9I_gt^1E#fR2g?q4l+X7KSJiz}FDSJp!)=^#$vB4W_E6z>dt7@He5XQ_ z&zTdZ?@9n$>09Ew%aqs@J?~#{{WVnjJ=z}w9AF2K)tTjF} zj{^Pu-iTd9_5{6Y#+!{!Lhql_6av-crZ|~K@|YdGFCvmuRb)aR@&)tomuwEzCkB$K zFJig67H}%vu^PJ-fsckvfZWBFul*+XTei4eQeiy zTeH5OCHysDy6TLmd4@ho#Z3{W_et=Kot@?>X7q+zvG_(En54GLST)D`e3R>^6Y)mN zw_^WB#wR-8>~I)IQTN|s8upHnFJ(l&@+J5Wx(I8~q>fZch+NbRCcTZ*BRSW4yL;PC?e?# z1M32Ir(0vSOMa7?s4GS5jLw4n7pvj-S>p7;h#Rx+o@aUMqA6ea!4bS!cQaxW@i6dP z<(+d^qLh--xAYmf&jC_@fTt@NCH<0|1_7 zy(zGzgQ^{$oVEwsTNKkPHn|0#RV@8f7VWmdrl7nw{O~8hQSAeU7VVjQZKsl+^$4Eh=|$^EPZv z7>(?ye*m?Nth9@#wYv?T+j5)U^pWm=)ob?Ut^`iX6whXqPWjP86?qTqedvWqVE}SV ze!pc+SIpz`AzD!`6-BQoG^*eCkCd*A)1j|Inr{uFxv~m=H4h$>fJM`uD3l**N-YV& zau{)a$tA@fWW{_glUaeuhn1MteGx6GsMvM;Z^BAYg6t)3VxN#wcs&=e4~_Ux(?-LN zw?j=I(Q)CwY95`fvBp>`{P>XYu`I8?*cUn~?JExDYf?-*T>%y@XI5@{i{B&x*JK{U zCxm|z2zq{of@$1KT)e!|qFKuX5sJs(Z#!c42GG-uHScsdfZ_PCNvTz!5!pYXyWGXu z{d#EZcKOBH!71NEYs6SGeO&I%Bf*~YZCQImv*y!)`w|| z(=;`&y;#cA_h|Xx)H5?Fh2?(KoXpc&MHhRRIh6t4LyzOQDc~&47_YLRV7z~~(s)Z5 zb%XE3kce^F4w6N@_rv^tZggSdW`rYJCt`Wx;odA~PMJBA3_&K7b zuNIm=u==F#lGkSV4~|PzBB#by+Be$bYiZda#qxBYY+J4=h=fx;*8anD7JO<}E52ZO zU*O4;(vU^yh|-K#VTBLsu)Ri6w6;>Rt1BK@J~P)x$Pux|50{QT*UZwn1KtIw0|Q=! zo@^(PgI83=ZwhKM3SBs?s}t=NvI@k%=X=_v40OLRI!;qZTY=5*E$jd;8TdOO1^jE2 z(+WcL*B1+iO84^@j7ky*q&{Ig+9#q)4d(iIh-eq3QAP*YEgGc($zQ1nE?N zbErYkTCKd0t=K9)EbeY+fydKRIv3!ye+GFf0c!NFT3+?JipHnA~(4GH3s9_a`~f;A z1G(JUgZqmrap7dd+#l#~ZZN~)@^Ak60fhmfz4&YFrLC89y{-}iGR0z1Vn;cH_pmRe zD9+Vn{v0KH>G3BwbR&Wmm!*Qlz2x@7l5R^7UX(k%#cGeU$C>1fzFIXA%(2(;qZ=2R zu~Ep_2K#v z;Pc_FU&GC@$K>!$^1Pn^rbaHF!tEO*c91vQc4vNZ$VzmSfk9&ZN%9iibaJK&o^;4M;?xzFtw_FI$hW+-b+N0Fx^E)d%UWY;lB(t&< zJzq+NHF~qfjP_y=evabcrkgU&_zJgPufp+;dAaWY<1O3 zIu*?-4&#^A;msJWG3`?W>Vans0#yyIDbZ#|hnLsnlx&DN;q31x8-b6<^hI|;acv43 zaV#g>^N?Cxx3P-L%kJwjTeg5Otht(IJ5#$$NrJDdtgRsbbA^~g4VPVIUNySYmYuum z`j#K8H|FPI6gDpUqZM$%{_)jMk+i@51AiAse^+&9U)L)DC_|JXiV$T*h^iIjrn>4a z^&3}v^$m3hM6b-`*8d|wd%K|AF#msn^6_!}mB1#%>Vdz7W007SueTe@(^bqLG2Jtn2XX{{R&>QDy)D literal 0 HcmV?d00001 diff --git a/img/favicon/mstile-150x150.png b/img/favicon/mstile-150x150.png new file mode 100644 index 0000000000000000000000000000000000000000..927c2186e152a49b028c9ad0b0649a8bfc8985f1 GIT binary patch literal 5004 zcmb_gXH*kPuuh2dUX&`R2q-o5NGMVwy@e)H0z``R-b*4}xwN1n(m{F?1VXPd0-**J z1f=FlFH)r$#K%47{dqs$zk7D~%5fK2}m z8mcQ!X#IKV6}jfBZm13b)Th#4I8t7%Zy|Nyh5$ggFaUsx0|0(qu}~WTKoA%J*m3{> zlrjMTPT$;iQ{^iGm6N`<7U0jn?Oj)S+7*X7P}dMfy+T0=g0Nik(|88}uu14@shfw+ z{#XcmV{4IzJMgivlA;y9dz;K<+~RjkN=%7~u|uIQzP#62 z2q{48H^of8xqd(^a|f+ah|Harj;wdCu~B@RHuAAJA{c)DP}NekTh-naskYvk7Oub0 zz8AD*wYM0;`SPyr>;JT~k5k$LE?8PYXR5xc-7zJC3vQqDIR^+D}LhkeJ(&6`;(^*)sF)#!&Q zW2+0eF_bo14TzvxBM>u1hjFY+-ME#Dp}F<0zr)Ogfxi2@X%8ZLtOt>r%u_YqvLwsP6UbtG$Q&lFH~)8yWS z7Q?Y_ps9xHI}KQgJo0Qk^%{TVr~0;P%%a+KzR`6xyUM@(#k8di_zQM-21X&_j^2k! zuAEl;s)nJHVwDuCgbic!!{M8gYm)WzIKPm~nZSq$@6Qs7D@GA+*1MC`yMv=j=jnax z`-eOT8w)fn{n!38(wGomOQuP@iBC*R+d1b%DkS+?d_0@JXxcwQ>-pA^JD2sc)d|%t ze^52B(Nur8(Qtv_O!>vje`%<#dq2!F}ed6*A8h z&ZCW#5AL7LW#AiK_9qF#<|J>)nFOM`J;nYfBzo~uHM$sx=-_5z7U4&!;1}`1AGeXKn z#vlp@zs_bvY|G^8uANcr2Wh;^gBEhwyhIm2u(NOMu5jx*+gr4B`L)SvKixqY=Vub5 zac`Ig6dn2HALWbw<+BY(@(lqp zu>tOFO2yRlTrf>6Lvki#*~Q4&#TCjapcMa?tUdU9Jx@MbQ=+_D!miQYMA6n8=BF>? zTXCJkK+Lc2uspO3Y7UIr8*CD{>3Gl<5wnSUP@uU-bOcp!Sqv zj-4#SVdT&S+R%M(JewZ9=t9>qZ0xw7Fb}8`s+Vfo#vTPzEWoy_(FC*r3zeJES`Cj9 zs$3`e;aj7RCCv)Wr_7tjF{*$4a40E*&$nYuGvsmPip2#V>ith_M~8?RE%nj&#l_2N zfBz}{u;LGmt+5sh&Y}sCFO9rpu2>2S+s44ssRF(yh`6-3)OHk=x9s72l)GgX=BBkH zgf+`lCRk!dJQWBy9AE(&F|hig5!;>yb__zC-)H{JG*6fNYUV9S)o7#qhz<{_5DUH; zVzuKjp~LgfpKPj93^e>>P23AT#+na31z)QRkfQ9BLd~3PFyl%; z5y*lFS+K7hrjo$lM6#>ou<9r32-PgrWf3gj8+gyEXO=r3L()8{y{Q&+XI#cBzy;Qd zFZKXS3!Oo8gR-s$`sMIZ_B|2ft>=863nv$`>A?P>ps$hp~BH7or-bo(BpjiMj-@km@6st+fCbZyiPVx#!^y0=+;-y0v8S#@U* z(!b}yz{sQ9!Je&T)xJ^WYuLk>n?3VZDxXWv9S6l6WKnlY^n986I+(Qd%ccEfK32r% zw<^@Kc4%)l2i!8)KpQz#&mQU{7y&Ib)UJOJhjEJ#X7v6oO2b`!X*A+h$zvlcLqGqb&yQJXTQ?9M%d!i8 z&XaKy*LGzG5htxmIa*lIb(Fu3@cFZy<{9JU{EfCsJO`aa!>+r)2bHZEC>lDOSI-1W zfc6J0tgx%E2phT5DHbh%ay=31>qLEYfiVfY$Yje!juV6=;DOH%o7ut}PY6T@F^vOC5KG1^s(|R3=z(MkilbS@LIwsUZO; z9jy{ad>lhPpEGmG;44%ZFpEIC!mFr~MA;{pZM!@+@5(VRnf8-YZ;c?7W?u%a2A{d# zP=rHx?ckX4&Odr|)Ebs4ToBRn@{wgI3c>c`!-NSks75VmeCN;W8E!Aw4U6xaMZP@1 z^s&K4!2^t7z64I2rYE!b_}Y&qy0#?rUeZJIRm0 zoC1U?i6qh2LoTG3<_e(rk_KynX|~+H5Zb+Z-0A*Hr6Zby)`Wi(uj*CI_}uZe zWov+-4Zavhvxrs+h$O32(J=7&MWx~sUdwMxBX^c`Gk+bN{I3VH(CWxL?WmrFyaY>U z(%-Iv`wlw>a;;0f#D~=l6>P>#q1Sztic<-Gbo*;FSt+F0WL1V`Gn}!$L3QjnPoP5G z%`*^~g?x=S3oTg7h*Km$T$F0KA>DnwbAFAi!bO+&>Rg@3SeyvTr&o3Gku%8zJH+A= z0gcFv!lFFTpJ>o9=LU@C=oZBbW**%=5svE?!h4YK+Y~o|@hn56%A((dKBB|jKU$$H zO7?pLJvyZmohx3h(mzU%!ggyT9tYWcd>`=#aB=TsP=N=etvo@yy3<1$oRpWPWL|%# zSJgFls8FDT2Gx}zUKVl8qcBfizt~--T>`nzi^amyAt#_{<4}Kx@rs7Zcs{w-*Lu>& zBv4w%9L*87;>y*t&^Ih{OxbQ4qN7!F(T|!%eva=gv?j>+v_sn>=p99=(mxjId5wai zA60xEn`5$Nx}XTf6oRPi9^Q*@$rj9djChkn6Qu3g9`hiiAX>qUSqYVLs-iPC8#K7= z{YgFh>i<;ah2ipWxxLNuI1jEa%FP!u+^H+H!c&-KWZd=wRUL9wH2A%(MdXNrhS2+= zr>3O}YoMM|u$wZllOZS1YS;%AfbM2J@wq*sMh@LcR=5MwJ|3lxhB+Rr(2~A(Tv{B@ zYjA}hC~2SufW{yz_7_O;ror~a$L5u_zqy~3*S`Py-`~cp{70*7!|tMU?<0+=1nw*J zHO#H(wjnXOF>|!>0+;yE7^BKxl2i@Jze_6u#|P%<&kER7dtSZG_L3DugNn$h%X0Kr@kpxpjpJyP42US)AI` zEn}}ZBU=$b1Sk(i9s?aVm8Y^l`LH%hv6%U|hd1;_As|8U~r6!QgTYdVW^Nm(F60%FL_b?;S#%VyD4%3+^R{#2>GTGrSi6a)Li z0mXKB>aJ|A6-9}doDIiBf4&?}JmDM@ZV|8FLl;F&BrZn4cIXR-uvc;D#IQCOyCgn4 z@sEqwF1>KQ+t~AtA8qxrViq~*VmX^Mu~ctvPezrO!}U&cMAp>w>{og7dPme6ZOS0u z^TYJUax*@#vy7tJfv5T{U^FKb3vc3v=wV-t%ac_A3m|J%za>OGRFdA7`(Kf@`TYfL zR0ILCwmhR=or5nt6DAN}ijLt-+r8pkmZoI`7eC9#Wlg9FK+}TW#gF&j^)cOHs61rg zTm44YnKXVuZojnkeO3=BuN^mc=@A=?mhi3OY!o=Yg~N8BsI(hX=pHWw(q z>KXNZI9;qZ@4g`eKjSE_(0!OX6(Q2ht;~~))@-T+g@Qg&rv@NhjaIK}f$|3&!6Koo zg$*)gH*qs%U}H@=dOH18zg9t2@>5Yq{)MN%RB)U1Qn`~= zs(l-p$3g6~-pQreXb=NGd;t<|@6Cc2gOEt9f_F>SQCqo%Jk+kdi zAx67FG40ThL%WvMGAUaVKII#!uPh}fsN+a}%$l(TD2?H_7>arI4dqbt_w-`&SVZ0S z@brU#)cMNcm<;HTLg%&#{wHs30*4v<(90lXiA6OIc=eor-iq(T@D2lsBjuu_%jbSl zaIekhSqoo&X!d&~{*DuRCRP_`;>m582rl30=_A%%6*DUzFOu@cYF9shu-9(>keYwY z8@fS{xUYJGK73u_vseGdEcrovg8=nTzy&j+p!;X|fX9Fq&+t1#{2o>7= zc5}BjAA|~?XSt4KW!W6RW%u7EhKKDoWb9f+G9f-3G+D6jL9z)liQOjO7FGSfJs&J; zz){8p^B=oJ`>%eXlq$qZT%1NOsbiD&l$kJj-z=}nF-cBV;fGrSE=%Ef2PL(Xyg^nD z2109ni0{k#JdkxeHC^y&dS+QwuPHG{U(L~EbsN>fwpRB;Q0}Oka)tvMTdbo1TmK#* zu%1}FCf!w>H>P@a*M>|-LAXjAEx(vZZ$V~hj;1lkkAp>sZ#nZ|*BM2u8uM>jiLP=3 zh>knxG%28EZ|l9+k6PkBBF?T)o=~r)$7Ss#?a58Ciw0Xz!`Y2B4BhWLy2tv!$%r!r zk`_K!oLhr8y?|w{`PF|4jr>C%hWIQ~gy9x(@1nDJ_^z4G{`e_^> z_FGygxld0)f>`rg!!wfuy(7JRz0|`fop*YYfGtkez(WoB^s6fXx-cWHS`CNi{{y0K BYqS6W literal 0 HcmV?d00001 diff --git a/img/favicon/mstile-310x150.png b/img/favicon/mstile-310x150.png new file mode 100644 index 0000000000000000000000000000000000000000..c85695cabd0b45197038a6008a721d9924e69352 GIT binary patch literal 5490 zcmdT|c{r5c+keJnH-sYlHnyyV>`O|FwFW6m$dO0KnLp7@(fF?0LaZbHeMQ#YF%hrLrEl(nH^(ZYF3800@@?09-r(>_J_)IRFSz z27m<@0MN<;0A5U9!!;dffZ?{8@nzun?^D=Znhy0a2BR#D7^msz;TqieSZ;m*IK_>+ ze9;Cwy7V(F!_hV$T=TcJQ$m@4Vc;~p%q1=+W{7Qc`X&<0TO zEtCuT#nply%<7jbf!XGP1>Rt5JG%{@p{vb^=xYJS8M>ma;oJEEY#c4S*yXTKf}ho< z@%t@^FB#!+EY95#IA^+4I0xWN=h@C~j^0!bNV_{G27WP|%pbVbRr9X|kVT@JC~5?w z1F4-LnF8B(VZrEQSTPLBLK^&JHY^kQd?*f2e2|!AGd7BU*i*4&l$mQkI$$`PwSf_=6mx+rZORz zWslCo>L-ZZwT_<7)X0(PKfte9rc`?~d@=vl&G49_*Q*UlT{TtDa>zd%DC!Q*1qF@4 zs3VJ1`R;<<)u>%mX{%He;vI3dIn&02b{2n^DjnOp<<=S&e-1gcbsT+nvWNUK)tz?j zMTbm!_u6_A&#P@+`Or%I6tULX1#em>q=DG+*58U!A0jlpk!$jfo-|q)5{fvRW2aC3 z)dD$ds|Thi9W3HR{}s?PANG6cz}GZgGWfPgvh$E5FDCq`c+9V>_h`p>9pScZCR{3{ zp)p>Oi9}@v(oZH9xr+2zZz`g>=xX@xhrgnFJ@^-SWb@(tZSlmXB>+Z z-n*R{se3~3d$aqa@D-q-BIg5p~pUEsw`v%dPE<2#?z}j(~8mm-*-26^S3^9XKTb zL4s!_zzg`FW^Bpyb&V@c!QB{b=u?1Y_~&420{rcXS0`sab`mg-C`n>TYbet8%JZk> zQxrVlT(Vy*=?%k#(hN#od}}kphpV5)I=xU1bC=N9UXl^k-M_3CIW0CHMRn>=lg#}3 zcgB75D$Ek?l19MP8L123gNDA!e&svH3vXxIL`x~+ZQ@=v_z)dcFVqjlcHvFkr^Hds z`wmr=*!F52s$c?exSAaz?@%XsvspZ}vi~X}Q3zKolY`^noVk;2n6;y@yDxgvk3JN+ z5D3m)4wLS~%cN4G4&oFHyoz*knypkr0SE4o?4PrW6nfRtu6wvroCsXsqW!`dew{*)%KHSsw1ETwTZyYg}W<5og9q{w> zAEoc0j5VF_SKYrrt@0WIa5ivyAxLelyRcft%Gj8(r_uh)Y~$CBP<0GL-}MCRA$T2p7Fqi{HkIqUcet7| z>_&Y=Ys77;fH(Q|L#i#lOCo40pxR&BJmdPn=;+wZ>KUW&Eo3pLP49_Om~e~m4VES~ zl7dy1&^Fc0xl#2;HreFUr!4N2{3w*vC&=Hjr3it#)#)zVP7CeW`FL2czt_SV>EB(uRB9!te<8P%Ex*<7O3Ix$9iOq5*$+kGF5Ih)Q5ud`sP5As z;kI*mF&O4p{9@_MgduKe?EcIJ{}EyB=T+Ks;9;5E^HYA#-&u)_Evb<5O(=F=EX}!# zZrLxQEWbhOkY;^?*d)CR zxmx`8o~kmjKtutl&M6(JKMxTr6Bt-BlbL<4s4E}k2 z#V}4opH#t(<)}o4V({UfNlB+V?B;W7kW0o{cUyiq8MAEv(FU^7Q zKHYE;(I59vwovd8n+8nGk2DrXInH@}xCxl+Ek5~im_Q#)8?}9Xt7gBX{|BY_kS2`3 zo9twS{%v8-^SLLxc{b|T5jUTvM<8t{At@g;%BnF@YyYdOXnxL_yx*d`a{u6vFI1Q0>Y{$_klwUx*ag>~R`82dSS^R; zE#`wBBqfcw0bIpTAL^AG|JLh5*=y?wl)b|4;c{cQ;nUjMH-o(D>N{V8vwRc+t%<@b z(D@)Ml|S?bM?v#AW@jLC~01!!JD!Lu#QHCtB+((>;x@IFE9tEdu)*@&0S z68F`CV}$u5A#A2rW9r@pbGM=+_upYsxe3w20;Etb4w4}@6Diye;Tf;!X6QMgNtk(kWc$-v}n?MZB#?6bu z+o(Y7jzaffl9N<-szBb@jn@YTBd;jDBAuq;B6-?pz5{kAg{=vyCXk&U)Ke$x`&`Z4 zB=Uj;T@gfYlF}{yE-1k=P{Yq254TR;;ME4aUstyq@khqMcwif42O_L0xDk@S!3q5r zv$DsG-Juf$P=4TFfV6e(Y%SZBAcn&nc_wuf`=7eor6Ey7K8P`qWu6pU6Jv6U&`Z_4EC@y(Qexhkk%f%t<2Nn82*I^AbbSrp zD)(Z7{Hwy{Dm6os`!Toe(rmO}!CH|zL+DC4S$IcnRope2P;AEhOF1Fe?F_~KavkSq zcGB*P(?24<(#Tl@zy{8 zhM0HTyXYNS*+2fiI8PJI`E*W8OKTw4zAJbx6O6d+Tu|KX1I6KhYy3GLM(NrL$(kA+ z`;P(7t$0G;XDseFHPqDdY|i=I!Hy&BLs`O%_Tm z{$LG75Go==+gp~xkmn%dGv4&%-c0al~Nm^X`esb7PTH?-SN77hClsMCOIK-d&S0o=(;G{4P`~ z7%~W3i_U{ecqcnp{-47V$K~RZ34@8_y?`V8@O;O$f+8g96KJ@%ZPb+W!O4KcydxSh ztVaAj%H4AeQl{jiE`Qgk|=YSslff@a6qJ+FS zmIZUNFN^4W;?$KDJ)^AVIu|ZXXi=on>W!jE!53z4CK}`0MOnYWSbk~?#EM8Q@Z;`F zc5%SE(WLiqE1-@W|CiXVbfn*r)d*UTKiFWMnHerPCLR=g)48DE(4si_Cnjx>O&+W~b)WorN%~p=;z_=> z^ZB^?ecsJb^Cv=YkhUsNDZT1z#v@E^xPM_UCGvUZ*!@lNJ7if_lDz4I6|49FK26-B z-J2r4T-Uw1w1bIx-p5>g6C9)mnZv*t?tM!zN*JF7;3aZct zxiKQk8lZ^ItVWRIl@E^uaP;xUC8Yp0QOJ?m-um}5E`93vZc-ukTh>daZF7igjhlOZ z^`DTZI@LX^%rHPZZ;%hHil`J5*CM^V;L1_@b^3z)Zv;Ucsoi|X({*Rhnt+@c9c$SQV_O^8=|K%I%@w%TwP z+0`w|2h`(^HY4==QRb%Xsp%5-9UdvA{z{K2@v@xLcmh?bHoM8>Q&wV9@!dLZEbC8c zCEj*+OSA`6@0sQrt_6xJNwPhA;w`;;eIhl{Hw5IGpnV~s@Wm!?;i1{vJE zjx%%CM!J0P1HF&~o{2XUbxS;Us~L!x!ZTh! z*>ERZg_6h$-f6J9HO{rG_xDV;Bc6zKStw%N@Q<7rKbYVCktU+<6{;1MJ={S$n?^P; zxwZWA-uk-YM>L&2Qyto97Iy-dzj!ha8m-bLSyFotN+|$aaR*x{QzQuh7HHO=b z_j@_+j+2W$ek4>`919f-CtTlq0in&6DIKkWa{0c#H9nLt5(USB;naWJ7;~!-8ic;8 zs*dPQP4+tr{a_W7hGr;;M|!(hiU*g{=5KXg7@SoZ@oDJxINdi0*)n2lgRxxCn>EkQ za*i68-QApRJsa~=j>E$F)9~3yf62@=1w}mYEN#zl#m85II&!mynVg~AYxX`nQ{GW~ z|F`P*htB>h`jLSRzdf$dTqKvYp#p>64oG57H7fN5c<5Rm3AuA<;U8L5_(qJcEX)xgY^^f4Dfzk~fcQr1u8I+DX28b1RZtLMf+tB1k-vf*VkjqhAcd~Q=(mH$0?s9z4BN**^ zUlbGQ@9FL1AsQTp@euXK1iJwMHt*Lu8&kk_8JRAt?ysodXaFw3s_4ebEx~P;ehSVl zdKsts$sI0HZ6n%E8T``rwN0>%u^VSnXsQS-@Nx#P8>(eOO8_V%%gb*KUE=-&!u1c? literal 0 HcmV?d00001 diff --git a/img/favicon/mstile-310x310.png b/img/favicon/mstile-310x310.png new file mode 100644 index 0000000000000000000000000000000000000000..9895ef92fb1f16348b0f39fae819fd47361d62d9 GIT binary patch literal 10189 zcmeHtXIK+!v~Cm;+=%EFMT(%CKtv@Vy@MdiP!$Xagn;zkdq)Je*e4Qtvw(yWsi6cA z5ilSSrS}$!bV3gV&T!8;Kkjqy{eAzO@bJttUz?dV>s#x6-!;$f>)&Jli{~#G48{&< z-7$p0jw6r$Sx-VON!rn4(BXu`ZN1wtSn+GN{m0DE`4t;2Lp>NQSQrM2dIX_t$4P6Qdv{=mM}Jwhxmc)$#TU@iWT75sW|BMScWyrn99$X+NVBsGQ(f)+>FV+2>$j3GH!q(!clM#{?&A};uEjsNS)6Ts^VfIq z&Vvt+zI@M2dGz7I`IoBZQvbgH=Mrzc_Jgx$KWbsC7m-74KbIK{FaLy?s@c)xs(=pB zmF)#T3@K?g&7rPyV4yZremdxH=vMyc_kXiMisXI2W0dI}A$bLIIB-$8N|r1NAoWW~ z^OM(0#L3S9d!gMixQU6yS=dHR3w-x3C=ED&a1{i?GpIs9&O?hucyMt1s{h!!=iMI7 zV`}wgZ>Xu<$_3p+MF8ywo-OkPi?FHrET2;8{3I-Ej1Q#7z7Q>Q9qL5{VS1PxONj|D z4oUduOp)OwaB@1grQ<+_8$cVzv;4lBd5wGT0*Z@eBent07Ks$UK^_47Q>|2)02M6t z2cG5OF|p$?(W{keHW7)`)r*_b%H(@f(R^0u6I|DbHeiISSLSPN@6aA5rn$R}jTGS* zEr7_kw$CS7z(j${dk6GL%>kd@jROABG>?Oc3=)IJTN>J>Wm=!x#FSqsBT2TwF20>y zB22(_u=_}|kVr=PvFfz((cYZeSo_V{c8*js-vG0jif4OQlaFb%YwLT*A2<+C+k&^@`O%5=PTiYj zuIurf8~~HW9nUCl*&dgjEw?uB%~rn;6>h^MA%WT%4m-vfL{-szgI_ix=h2U1)M%n@ zE}n&1$^e=$mft5%`h;_^^h6;|D}rkXW3`WsG7d}gecJJUc8r7TO?1r{?I9@xPV=Oy zWC<60$(rSNy`5c5umt&Q;gGU=yf2z(3FdpUftjb~D*1WWpvaU1V%HyRK`B=vOFm;7_3vGND^lvvqwJY@ zPvvHGmv*k3DQDIVRwN~jkdtIwmoQ;x4Ep>-lFDBu$wnKs<$mP3#P@Uj-S4m*6J@z9 z`6p~rE49FZ1Q~yEON1n~k`c^)gFq547v0PhH47VI=QcH=|K0Z+&%z>g&oinR+Q>{` zs2sWZ=Mt7zB)5wQ>+Tu0_B9V&#OQ0&qVX*K+2QEkz@8eFH{H&rp{+)e z;*a+AWlH=l!uK*braRUn9{SIvaI#4shnXuYlXIjp#_ZfcRYG;Ym-Ds~QUh?;jrNhV z>9cUIQC_}PZo$9%W$@iVX-cxutPv_x4mNiuB6;+T*wPs!;Poj#S^W2+Oq#W|BFu`j zmJcH=Sg8wm2bpo9RUT~uOp*HA-#HgJrRn!al1`R3`6rQCkwA$-JgNr4V^)_*n|%d~ zENu3ko?b(74Sgj4g@nQuyiMYO;_U@m;8h;OD0&&V(Z9s7+-T4`<)BSsi$ZIH``}ef zVU(A_A|zdZPaxg_b0AD!>Du8tkRyAcGU2c}|Mu8KzdwtshMJxO_Sc$H3^(f{8oB2p zm#t>dpGQqa_`i)%tm>12IxJNL#WfrylN%a4d3W~W+}}^wd}CLHj!;tH3EFWuW8v>haZk z(5SlN*u-383vsm?XzJw-9a;gWo=DTpMCAU81Ne%Sd~NF zi}*P0AOO2mYX7Y*NN>yU5%^XiEHwMs6oLnhG4wgdg*jUOzbC zJP9gEHQC0-AqIrV8&EhO#!-hkOBD!t)%Ri5eHkM4b{k8=^gtW(T6?IL-iGn7DxsFl zuJ3E^7xab!ph#=Cu*FT4!@=HLU^o`;e&IG@M*|c{C`;{%k?_HW3O3!?;BdD-8~{e& zQ3JS#8pp&RKVjQ=#il*D^}@XIg*y?h+O~s>?-wN>3J2-;L}x`nZ$r`jh7<31KXWzG(r7AYV3mat74}m_aFD3C2i~@KpFA(8Qk{k=iHZmLFh{UDU z{V=YXpMqQD0+EOm?CS7P;%q`aNhUw`-uz`51o@OmMru?A6eF_^`QF+-u5Csv75k_J zZX687;NU@%@6&0?_xOiDubjv8^VRBk9-C9uY2~rp%WC5O+_hC1qbO7DRu<3`{egH2 z31j3g_u!)7am#Ww8{>`sH`$SFOm6T>*hZ$AX=8PJstyN6(r~aYd%oe<& zJQ_yt6a|X#eSt&OFN3~qi*=fK)G6}HcmUwOeN&3kc5Z#ULs9*Q2V-Le!JZoxQO^R7 zmGy7H4fMTrK|$;y)_QZa3b21@fqu;clmstC5DdIE<6~GNlBm7-=PuZKJsJUu@bU1t zTq-vYEV%6n=iyGD>*Znyt62#TA4I~(&^(H$;yWNv6h=GWrd-855OkW;g=U(FUk;Q=yNWd$2QUhjTmtyg;h%# z@Ye)7i~S4xkIE(+;ThI;jxaiTB5pBaZhU;)6MuNWLYnBNOZ$nBa8K!eMJW*>$4y0f zMb=5Ku6_`p<=o>7>mLlmEk4+cD|TBH7)2N}n7Y3{Qg5pQFsameFl6vGn=FtHH;PR$YlKAi{lbFh0a&x}#>9+^pBg&BODvAX!o(Y_Lo>SwSe8>7cbj zqtLi*byZ8oou`A&&RgQYL@(y6lOZ=6hV}=fa04B!Vc~V!p<-n6Zr3};9zyuIU ze{Q|soSoLUh4yc-UXb6SXv`L5O7DE=_I}t?{-stgHMZ1sx3+4kC`3X@>G#j8^#E;^ zllWSp>5e*^Z$UPM1H*k=MRHGznul}__m<*vxHRhRizF;4T)!+Dqtl_U;)n?k$u>+;{_D!|od zr;sViYd{j*HvsD$t;c+@N}}p)N05gsDMgH8nYqpOI@+s+? zjO|KDOPLq8K5sV1R#d4L(hfk$rX^?RrBb7yV5#wN&2`0I!?t-~@9J5)V*rmcGl6*02=I0-qxp;jEhmL}~ zHDw_R`+tA~MY5OP;@$|n6S&oR+E=-OdC&o)%^#V0E6l8C^Ok;Bj-FdtTZh~JmLxXx zM!Tg`kTcpTJB}6Uiwy$&lRU++<{lRA7yJl$M!a?HDnz}p;9!s-EMNC5%)%)faSG{M z0&$T0po`IdbEO2i7PxCja;N`-$C+5zo+5v|$1a6gEV&%9HB?llRP`*ZGyaC?#V0 z)S<0QZBr&>5JFWFP3Gq*E>AC3azLxm=HZ#0TP7wc(l?sm=+2_xvlMknBPFXFWnYb- zu%%BvB&AY)oZ6XQc40$>r)r#; z(yiU&gUnJ+iH{OtxgWh7awYgkEi44@?fGR78Cy0}>E zIki+hfJQ61d@|RdZ8BF?Nbz#m9mD+T3^uV=fsO-rXF)-`xLSoKjXIRs!?o>Pg%E_i z^Xa{nl*yh0ELQ0~+2-j*`tLg#h)82O88XL$wEmdgtkPtuK*umsg{4(clbs3meSNsw zU1KOw9(XBw9ttJbPm!JleE-NhK$1^9pc7HJFH5(5p?g+zzwn^E1t-lPK4Z>*)(o^g zI2Sifk*zP9AI$#CQlIvdRdhq7AqL-5n=WB9QDnN>Z!<3tIJtHN_j@)QZ50sYJV3_8 zg7u-MXSTB+*N4>dmSuq4Js)k9Yu~(F7@EVSFgQDveDN&$^KeNp`@%|P{>InAnf3wC zZDmv+$5Mq1`39CG?#>Z4u0Fk7(D&tFOR;qEy=9N;>b`*AW@8oQYb-odpOyvB{9Ju$ zj`Il{YR}YkYC5-GaKXKH>6oQu*b;jc?eYqt+TXF9UF71WFche4`178xOONJl#F~N> zDm7&i-=@FmpCl2Wk5gK3N{;ycIL~bWoKDSeOQv;ldx{X6Itu|{HI|{S1T#NXady^ka;=PKf$?<4#h*XkfH*4*o+bI3ZOA= z@vV2k?;*I?&y!%jT#$2bEWle3yQT0$ob8`$d+7S|r6dTbALPuxKjx@P(C8u~`>SjJ zAs4{e41X$@DUtCq5i2pkD=mqD<6$=)4@KTPS%%}r)rpT1sWSi_ z;{mK?t#;#C^!kD~d)?mGB~4a|xtJgA8CPhDQB%KjUwSNsOw*~;D6Zq_-z1S=YGof< zz=k`W0RN(D)}xo^>hT)N0ejrq#mg7YHZU)*%paYaCQ_U6&m;A(R({>WU~PSRG{1(C zg!nsRRR2a>h16@&x;gXr)DxEVXmt3Yncr0kKti41*jOqY+CQ~bY63z;_jE#F5J=Gf z^14UlB0KZ4hG!JrSa)^jGM*onV4C{ua3A);GoKYU$Mb{@Mv*?j1dHe->1;TK$H& z`tdopCu~aH_y@%$_3Wfg%v^Z63^@n?Jn4?PA?XZb5FYT*;xvTl9!nJH)9{7nssoDTmng2= zLYNFH8;a{#?T^BL{?CWj@H_^qjvYS^^JQ;fhO9H=6~)4%>#YsO*;(hCnV+kPsFfqz zAUyZ!@IIkkG$@VYIH~Y#{P<96GF1`c%hhMA2d66B&u>=45%8+I`D3?pAv0HOSFnou zz6!&J=FI<-g=K{tVHS1d2$@2rhJNQLA}6@|M+=}g|6r%;Y2neyq47~S1OW^G%THD| zf#tkv)_!L>avSJcRDE`ibM*R?r4f*N6&QU>{c&nbT9o`4#qqE9aFXrriM>i`at(+! z+q62m+^SjtJjjWSKA_mda)~ip2-My8J11l>9Ha~06B-a|a%e~g!ZRoR|16=nST`X* zVAyPP5;Y8TN;J~LoB^aZtrO@Nq#sglDNP-x2w-s3dCmsAIFJDmk$=d2*nO#{1dX{% zPuSEvc(rLOz=7y=l${hLR(Zu&*=7ks3)Z?^A<`ymqPueK#<&=$oc6J+iJ1o-C5;C0^w2ktY0IZB5oKa&?!U8%>NAM&$^SRJ+yPwqv`Z?+L(iL1nC<(QHtmwCwOZ%QQNk&%c@XVCTv*Zen71zlkuN!V&iVLH+DQB_w@V zt%q5Sc}th}0lp_)ywVPgrdod*{$#VS5`w5I#!N-+iIMj&&!WPRm*U>Gr~3RMM3`5! ztz$#8CnYQsh3z}iOIN_t?PXRs#O$JM@roiy zDx80k9dn>Uo)z}okB4_^k=M<{lTUC3r&HAHhtjB;BF6sw3m33Auu2jT0_Q*BDp*Nr zdwTI&H%JmAKL%7%n>*btQmJK}H*^n+<|ilWNT@pmvoz}roV|M6o?sb8z+J0&epmHl zlFi&_xQ^jZd0z)`3?Z*d?m=<=1#P#D_r++Zpb$`U@L@}ANppKDQFqxi+pa?Dpw!&l z?t~bPB zN=)Lpy|&$~$F>o>Ei!$j!sOQAm%K75|Kyk#T8^!DE*Iflo??V5DL1yXy+S@4skh1a zndH|5*fsv?`E!3k_T4D+@EcGyz{(mj!|mKy9DLWVHui|j1h-cMH)D*sd2TXt*YWUW zCiPSZ?A8=N#YJ>bkH+0LopK|NDU+uueH@S4OmsEEw8UFtdF z3|;z)_N{N~UyayqEhZ-`hRn!s-?ZF)2~Yf3$-cYADcN(}O+tJPY4zJr$8o^^kn?hOm)1O(c&B@pVO;?>)*D=)HDmMY+ zdWRkd+QQpR3R=&s&)CmDocP4nZP;dM5lX(GnhynD*`i8{UPTNpETx}#WI8s(f?bJv z*W8ANhMU8Fvx{|}w{vvYLNs&npZsSu6Vbak{6b_n)$(q26DTEMHkxQHG^}5OByR%x z09ovGxtVBwUqRc5PNLmNFVGPi8!I6v_h{=Po2W!dkWX)cMJ6i0&pt2^-j!<*@->Jc zBw$+789ll)G{m;9y|1H{V2^-&+sIIfrQ}MJ&y^cSN{c&KPM5sJq{(EQJGPb{t%c5#yi1HV3 z61{MUJn4R8p{m+v3};}}eDiCH_#0}e-m8}0V?*6}M$Y#Hf`06BMC@e8nJ^lezETyh zHI?!g9z_0$rIfT=fgHpMzWza?a^buH4@`LM16ZIH5r^9g4gxpb(UC~_0Em*C3>NI=pNN8 zFx{fuPn!b5mJo_`gLrjkJ-RE_$DVhnLP}FE!)%j-c@=?)vzI`pQRhl7pBeG;e>LLP za@zI^*Kn8z(y}<`L1rI9Uc8A#moNw=Pv8t7QTmL*VL(d*w*sIl&+l+o5Yg*2^p0A{ zUU%39D!tbqvH(=N$9K4LcO);jO9Ka`4Qm90HEo6?R6bgis`y9KYS#};P3aei-1M#VXDLIUw!8 zKj2wcrJ5h?3DZS3#Q2+|36Gm8R{Dxo4@Q_WiJjjT@7IlwM;*%|up-Nb+&6i)GL!`+ zIB!In?-GGLZcu%M_qu?AC-Ja6@y`QAVjwOdFV^H z5z-uUnB2KwOz33K?|Yr^0Sm^CtJg5_1#8lYXxVvGg0x`jI+4p_XwG6*C;z|aBDHSG zHh(T61-QN1JFwfOi|UlRPI=-yc*q09QS4Ml{Y@@0bBo@UYWOT9{X?Gj4wADz#bQHM z$YVW26FJhnv=YmG_X0-vt)}BKu#Iyj=%mvg24qyC*Q3Do z07x~(WgpGSX$LTg)F8l}x6vy=C}7=qRLKtc$dp&x&%uf)PE`-J#C3>fnI=wF{E7n?Gi>YOY!wxvdfqn8 z{;1ezLlPYo#v-X6Uy@fM!sGLUHT(t-g_~S9>Yd+C|4}5(n)50^sb&Kt;zP zFG!v=4AfZGX4T1=R1h4lW*`cT6N_c=_K11nNZRZj35+r|lKBA3IRz^Ecb zC5%CR@j{feXyn)Mb?XFI)>zuxnZ~x)|B%$~okK&a+TEvIbJ|bXD1;6zTC?!hc}!OH z(K;cPQ2TXA&W>e(N`(z7xk3Zk^XiI}J&;6v#@N#Kb_X?4T&H0p&bgcmG*^9}=YNf+ zQX$k*o{iHtv-MIM#m;n0NT0*NtbX#RQE^h+k3$ZZ_TlShXagckB0MPIDHqJKsu3*s zv7_ErvGgA9WA?~M>_noi>xA7l{yB4`K2fJR;?wlOkgVAw6T*qaJAua{al3>vVW^6X zp}emS7O8}jRdcG=1B}4heB?!#!mnu16>y1eTj@%P^9vD$x|5xj`7oDFFjj_;3p?O+G~FACnO4DNs{DEzpW}saxTbcwwaa1n;dzjy5;>lC7t3~ zGQ$2B)*$d}A4}}X^>HFY!+yz>zP0=zpC26;q7y%K_a$E%)x>;zjL@M{BNXHW-T*^o z$VDiQGu05Y8nJm@()POjxJGHb}!!V4Zq>$cor`t_$lLX(nW`jOP^Q>dyQ^(?b{y% z51uq1h!k>&m0}^ibQfAtAst%rgH-bH{-?vdAsLc4%KdOF$S&>>()az6{huZ>eh->I zpkR-RPHl!t6nT72g!khj#%iwNP>Ed)frEfax2#ZL}P{8%Q&M|d6z%-3I2$wYLpRFL8 z3DaM+AwUvIe+VUFT)HfDm`>&1=1jc@17dX1wdRR zph}wDVm3VcXU%FkQ0YCU@$vdB_2q}BP|2hjWR?#iFxxRy;#71X{FsOtPr$@{FGRlSy8#sv+=$9-u*<#nhtIt7919D2!~`z2#9xmvj0fs zZeZ@p#qpD@n#=AoR_<@YvW=jUU;45ZRJK&*om~^qhuC*JisPeBp-xFQW@lRmN=& zgnB_CnNN@YJ$LEIS=?+uj*m&C#TckuWi3(VmYKq9NQ&9;0Q7ae13@1=vie?k?Vw*@ zte*VlxBOD)K-(IE$Dm=`m}Rg=cx|YjX+0O5y9i3U;>kJi0WYwA7GX$Amm2i9>p^^E zebee&|IJ-V5x^?#dIj7u zy3}VexEtV4LhuzB#Xw(=!7*civOpi{sbbJKDDpSR&=)8$7{gv0WLy8rF;ml}YSgcK zmE7cn{4)H88dTgb3VUd;AHVs!Hz!GFW)U8Ovgn5MYSAJ<5<>G$jdDb=&D?Le03@7q z5}_}o{^$4q#R86lhb-6Mvl&=E@A&}TfRL}|BVXIczIF;W-geLdCLt~%E-Ee|DlYv{ zTv9<=M&Xw14e?tF;^N*K5A*-G32q*?PWD0n?+Hx&i(JqIli-Jsd<`E5T=Dewuy=B` zyW$(gXLs8f8|c3RHH4E tVClmvZT;OpzW@Br_noCJQY%Xv37h9S=Sx$UPB^k6ps9bSNZsnme*i`;1N8s^ literal 0 HcmV?d00001 diff --git a/img/favicon/mstile-70x70.png b/img/favicon/mstile-70x70.png new file mode 100644 index 0000000000000000000000000000000000000000..cac7d5613c5f78ff37be1b50e3069dd6211b9d30 GIT binary patch literal 3592 zcmZ`+c{J2tAO4ORV`h+L?CYQ;%Dz)s#xB{H7)y4t4`V6Dnq^`r;b)Da#;!!N46-MY zq8Kw-nj%!R*k8T>z305YbI<2K=icXe&gY(c&b{Y68I~4CtOx-F0068e#%Swf#Q!ZA z^my*S;4yX#5MSL(x&Y9e!@Tdoc)Uh=8e3lifLO_6T?zo~{?&g5fJk`&Sak;g%|Za+ z4K3-g(mp1jSIvyjz|r4P(N%N%c!vpVa>;;cnSl|k&cYrlvIzhzk4(_Iw$UHfOJj&! zZ~4gy5npdG$Q0C7Fjh(-(($HTmR_utQkmWsIiq$8Rtf?tzIM`vx24X!Otm*B7*>f7 z?qxnuz!THO&@ZCVy^J2XL`;hJdrDEk#qN#LGVip~syNr*KjQ6dwsvC=vKy&8U7Lx$ z?(%#r(B%II`X8m5<6W(*wer%y8c0W0EEq$kCVmm=q>EP|qYZE=tZ-ShqU+DyNRV&) ze#1re{fp+f7p#_$>xBF>r`br>NG5yDRQIZ}&AqDrO)>s?HzpxQ+s_sc4@Z^jSe{jM zTeO}nY50fN!r}2vRxF}-Qnp*p2E+%~2EV9_n@b#srd~J$^Pqr2UvGKeYLI3^K?n_| z0X23!>gM{1++>ZDQzVvlsADkWB#l$QY4r#+U=>NfqY&_Yd6J|k(A+b1zI`rW;y%Rj zD%D1z#r0OJ|m9nSSn<2j5N%+3gK>V1=0Yv+rGNI;yBwjh8b zDMb8nEK2ViAg_BYK@%AP)Cm_8J(x6c5>4kj_)fN<1yx9bFNWx(tVL0BmAovtqD#@W zhv=bJgY2zW!JZwiaNVW?}y46$_H2A5B)$AOQ$Gg3fbh{_med0 zU9H7-xx7TTkFG}@e1z>#NsU(+wobqWZ*E4vwPD;bB6(BmcICq6yjl3Cxf0g>`TBzG z)1Xk!?U>zW9WeRfsC8LUBtuR~yf!#14)Ik6FRkV}?Z$}uOlTE$Aczd!=?L&SQNL1| zo4o$!RC?@{1wPQX)0dul+Sv#yJoubG;Pj?tF6Q!MK{-T#l?I#DS_2cQKH@EzZ;S%F z);6z?=eWCvAzKVskx^q^B9q!sbsqk!8!fNq%v+`c+g8|&mmb5dgq%*)g`J6Swf~a^ zGQ3mpn%_Y=a+_e>_(ITbN5?XQFfJo{C}Q;F=GHxd5gK-L7A{nyg?ys$^Zrt!teng` z)K-eideRs@C&vk|8Ljv|Vm_|T-KEsS!N0hGxQ>^4DD=fA7D_@Cs>bXKBO2^XIkJW_ zQuX3h{(8Ep)m7O^ zXt7Rc7=qpGzmuOo)#6!jLpu8je78~{T7HHZ!vd8;R4WGFt=^ zuTqP4lyVKddcR2<$mvx3)e6%E5_g8LIje5l+QdKH+7rLy=O9`aD-7AsPub|(Gyard z`}td}6{9(Wh`7d?EH){!lfQ^|Ci+vd+9|U5*&92%j9Yw~aZu5P z-}2)!GkBJ~v!|bH2J7+s-pw#|_iv1dH2(eiP+e8RExx*oaprraIfjiOMzfJMeKdpan_ROj57^RMFQLnF^Kfa=|<##wDe-9frZ6-_FT}=lUr?p-zxbkA~ zXq8Y!^@GMk%?%=sPhm$!lKrK5JO>5}Y|clq)UT}$RaOS~X?Sh+6CRjt}jnw%d78kiHyA*jsRZLOqb zl6&U{e#ICb8g_SL9-0DAJOJ1AGDP%8{B6dzS3Xev1Z`Ye~w%+I8;{M{xr=}d9<2Iw6(GI_Cd$!g~?OE z@wdT0@>$J#UW@3C&|!M7_Mwj)vWUTS;%2(K8Y!lI^5V|Bw+b_PMJ>#j|?0FO)fas397KM%axxDvDyK@JkpuD1y zN@6g@8*xO?QAL_r-AbbF`RjiE{^u-1BWjcuN>N|76&e0`Cm+9yd7y<1@2z(w z=ac<`$WsO-s_kiZ<3Y-}?I-C8pw5-PID7DE3D3pH_}pyuX)xV!V+McZxPjyd6hb}E z32B~JGT{qt&q)zZVoc|5yzwrkSWe9D6VI4vG0z%i%*Av}=ZE^cf^VxO86@wI*jAE9 z-qu=l3*g}w+8L6&wEr`E%VMwVi|Vai-VEz86GC5zz=}q2BzO$y6H~9$BlU8uoI)d6 zm5)^C*#5rK8PFm^*7;ekk*Z4A4yo;n1tIgm28U*S6jsaH1bHN}=K6kNRA$b(bS6qX z2w&l8_SlWfAJbbud`(}#z3Z09^>o5v(D*xj(Uv#6qPGj=F7#jWj~9L)Lf1y~|F}-$ zo1Zf}?a)Hm?NS==dg}i?mKHu9rg$HPk#mPA8a4|BA|tohX*GO z)mSfxl>+xAN&z8)v+x9#SV)DPJs|1Y03|Z{X80jk^;2s$L!gE3bN?jJJoTL&#KRx~ zJPSiIA$qS<5=T=HPXfup8+vCuJNYL+f;p0y6js--q@zBxh2{Cu%M7+Tr*h3Cn$*P5 zuxkmwJW?uX`^0UVCkYpZBZ6T-I{VpEPOwkQAIrs-Dv0Xiu(*}PO*M7r+h2g3}x{Sm(?#Jg3^oHv#q@RFVhI@JEHFg48DS;kkF zx9W-+fT^;M;_U}kf|Y?ZnWP2S{)?z5LD7QTNG(KHl$8J2)Pzfhgg%Y!{xjEGbsOia zX^*BSzPt~5zL?HIiv8+GcW6tUwl^XlaFVNQtp3!8{R~*2A6cz6V#B5RH*Y}JLd35~nY3a=J_JG3tHN0^}-uWU&*A{hDx$_@W}CirhqXjwke4w9gE1cETf zIp!4Bz!B@^f%Vq(4EH_;Kv7;%{+ztxIe8UZc_mF1RZRs&8F>Xwd3jV^9LxV0f? QAGZKZ3@p$MdhSX80cLh;ssI20 literal 0 HcmV?d00001 diff --git a/img/favicon/safari-pinned-tab.svg b/img/favicon/safari-pinned-tab.svg new file mode 100644 index 00000000..d3bed639 --- /dev/null +++ b/img/favicon/safari-pinned-tab.svg @@ -0,0 +1,66 @@ + + + + +Created by potrace 1.11, written by Peter Selinger 2001-2013 + + + + + diff --git a/img/favicon/site.webmanifest b/img/favicon/site.webmanifest new file mode 100644 index 00000000..46299b62 --- /dev/null +++ b/img/favicon/site.webmanifest @@ -0,0 +1,19 @@ +{ + "name": "", + "short_name": "", + "icons": [ + { + "src": "/img/favicon/android-chrome-192x192.png", + "sizes": "192x192", + "type": "image/png" + }, + { + "src": "/img/favicon/android-chrome-512x512.png", + "sizes": "512x512", + "type": "image/png" + } + ], + "theme_color": "#ffffff", + "background_color": "#ffffff", + "display": "standalone" +} diff --git a/img/logo.png b/img/logo.png new file mode 100644 index 0000000000000000000000000000000000000000..c4ebf3cfe84034cdbb4c631273338127dcf14f32 GIT binary patch literal 8111 zcmZ`;bzD@>*S;HcHzF4VRd+g=t7ii<_00agGinw^XJ+-s( zb`bIMb;{el&jbK$LRzXyh@kwP`QU8kC#~out5d)4xdZm^b$Cdk++$;NVqd_K>}L8e zrCNaB(ZrULErOVFL7M{sXnP{bkUyyRIf@UpP1(%}C4BKBWg7&&n`3_>_3PLCX_^GY zq)qRMztQBx;^qBgzQ<20M(i)bES{|8@4;Ji@k9s;fDfQ~I7vP08I3oU*j(dGa0UJu ztcb-K5&_$n;83<9_Lg}8{mEk{?=7Xjp{AywpF|vgc_Z&$=6y}3nrH#|*(~gzE=ofr z?xX5vYrD@DF-#O&+^QqB&_`2V*w96?{PmEU`D4Dmj+;BI6Au#e!(PuZ-C!o5%$vUR z^G&47i{Uv5$JDHeAr_#7WP)8NC;4lsxz6{o`_1)GWEGN_U`U?+EH-9^Xz77?ogfBj zifL?vaH6rf0*+58ibXRVO;kwC?uC3Cyt-Q%s*^ZMtOCeFhWErj%l5rFs(3nwstkH^SzW@fyg}t$>Aq zZ%yG$Ft{Z6d3nk*NkXcG;AObngf3q<3 zX?efW_bREZH(5Y_vdVA7ie^1w` zpwGLaI;SX z=@OgSfnxaQK^3X*R?Q@MynFUJwzbNQXGXjHtE`+to$7X*0><*z>lN^Yy3aB%v@rGr zwGDLHZ{>@82f5UlB@#575;HTZTr3(Fs=w(W*a>GByC2&y66`bA;|<@wxzuLW+r27sW zRxeAjGD4ufQao4xD_~x?eVdvmKXO+wg{|mhEFEPQ7Odw$a@j=*0K1^fd*+T;@EmIB zc8+yZDXJ+pi6MM$zvsXyGV!OWhb?FKd$oWrYTyw%SQh4P6pajzzjHQ7!St}&T!NmD z+Gf4!UEBM9M=Rl%TE<`iF5zmt@0u`_!EXJq@JH&kUN^^W!?fviweVQ=Y%qW#;y#L6 zEZHT_=Tt(ssh6@S$TK-F)(`CWD16FuwrJNg`j9;2Z9Ii*xm)rbXf%j1xF^*w4TdQX z!zJ!0p>yfl-ni9TD!)HPiyr#j?VYL0dZEqrUc_vQA>IdY)J85xC8320wOu{iI9?_Jktk zPzSeKd7S4%Gb>*fEBNm^{hJhEG@HogqXv0;w0HCg1%(~9H87HP#p;N!~^sn2ldJ;9vGqr?`*IkaycHu5OsyuVg{lPyS;j3hsTr9n${n+Ov-Y@b2DUEmXD8nLv2xNUL&dw29;`8WC11gPQlFM zzs4|6@Or=0YTSrmy6FdvYvpciNY>Ua$T2p<=R?BzqI-ZZ1D+zDdpGv#5%`Q-Yyri- zQ~=A~u6tTW&-qooWCDd{acz~C5FcA!!N-(d?!5*uwMJ3o!`CtMm5GFJlh7oLbvvlX zQKmPCgYA)gAawYi^NE&Ko7kfy)83FrK5=NV#`&oqQNL$Fc075G0V)xq`!(O|5aZe}%^COk;V@g4X0t%g%W_ldh8d6!^ zKy)09#!<|4IwQ4U$f?tV+oor~k8Zo{ZpqveCeFVT2q>S;UXE73Ji8X}Zaqi6yOfMT z;x&)Y`ONuoUc7&p4zR~|u$0UOpDz*Il0H0_dcth%K9XB5(KRU(X(RqwY;l-83jFL@kCN%bVQ_V{+c!S?)0Ou)|rf z;lT*>+bp>A7?`(o)n>BzQ5Z${ec{OP(doAInwAf=0_q`G(L#_o|g9+SV%L)PW6AgBaO?pmtad9m@- z;lp*c<+)BP>B>b*6{{VeHaI->GE3D?a-4Ev)%QVY%T?U8(|2-Y_BvMVr_Ek+ye_TG_SPwaRUE+1-oaf>I=HQL2_R`c!dFO?>i~dbV9W9Mg zgF4vgdj|$6c0_MElI~2Za;<+oo3`@y!5zkAzSo{`l2sPDBgT@AOGd`5`RVcD+g`gx zja9dizkka*lyUdiia&c6eW*sGb9JRfodgZNp#FQzyN-0!O4t#RhN1CkrfvPauft$Bd~ zlCSQvff}ifJz9Bhq#An~`zYA0Ebv#q$%QFXy)2_@rmov>(B$eG9VD)dCogWUi9u+~ zLp-@glVH2x`yN=ze3{bP=V~P%i{opaAsHVT=*|D-?^!O-+5HlCdIw*@3(F3&_Npje zK-cmM3kO$z`gE#dX{0%2WX`-c%1=O(;#%mb0cL1Ll<9>1I8$N;;fLe3kTm!B>qbT% zV&-*g5M(UMJ`K}ztV=R6k&P#*BJ(zPqO4jC3a`$}4`Sv($jzBgFRv^U%OCMC1U*fV zk)oEnEfMn8WWOLG*JOjoN-E+708~;)E&rVxsr973ig(F~OH(T~a8)Ohk>BQGsJ#0% zFolf^0KQG^B&)xzkVI7`OKa~VdL z#RSs?fQ$j&()W)_d*nFzwEu$G7w11uhaK$=x}}`l0l~`-2KngIy>5!0_cw#s3x^sm zFY+SwYuzB35H?_eLI0j$7ZK_+4)vv~l?(SAo zbiq4p!-+KQ`vu#h;gU!P_P=)mu z>nf+}`(T~BGve;6OSf*r;BWZ`o9fJSJ=$Xl?=8wi)YAi`UN5VhzK<2ix*M`k4Wx?_ zfA<}uHvi&@wH5{#9eXtMxP~+l5--zh zYM1b5jvQUK65c%CM5D_-7DA2GfHc$2`dr%^ncac={k+q&L-Bc@10O7vp-RM307NnU&b=T% z^;GV6oXq=k(htQG^JXOXRp6e-DaIT4%%IOnxtCsirjK-bV`J9$*56jxRAji_fTi9{ zxy>Szaso7EtoL*LAf6h(hMo}O=0p+%6PM>}u1HHAHmi}oRxli-RsNGTuGq$n?qK=6 zdyJ;X`QOU+ovwg0WJFy-Vr7#GQO?d0+rORs(J|FaVB`J_?8)atasYHO-Nda zBdn%5*pm8;4Wj~27=9W@8T@KkcK#T(@uHC6LoV|y#T*GUUKmY=xG~`p&2;&xc8Q_k z6`g*XHkl%*dDIa+m84DZ#T|1)!V$(d=?{Vr2U;$}Z zC&%z39-0J>NcrZ_fY2UK&_^wewRwaesjX8XEBUb@t>L7t?OcHtlxv%o36c>@uwPMb z?X|HMN~AzVs0E0Fl+khIu!O_n%%6~(g+?@P9HS4rQK4^Cgpmd zUUUb4tY~#|$ePi>c@X&+HxdFp6=A0UciI*r7pyXEt`<726m4QTsY-A8N?$rKO1M;ywpH*W#3y|9|!V4^U$H`4`dx$0FH58kqt(vPLMq|3LP#T!w7sK_`K@R9Xs_s1T0%Bo!AcSpcq85AiTwo za@R`8`3C;2OenG$w=y6hm9pvGfmU@95?cTmANL(bXA1rs@q$u#&e=30hITBMr4%VE zkk*-pwnJ~46H~YXl$_hE;6*iu9qEvR@H_Q%Evzjx&FcxmN?`=-s@Zf9n3C{BBju50 z-2hrN>Is_k6T?3@D-r=p16%b*1fDLTeK9csdarPmu%0MbsinJ<8=p7ZW_T1gZnpLl zs=RrWTyE_%AjHJDL2$x77Gm=y>tF(qk*SQ58J5G8*OjK8@Jw>g>kD?=z@wmJ|*k~E)1`l zMd;qkxqGjMSr&5krgpmsA1UjtxF3uO`lF|AUjaVLp3E41mn)tU+;J|aR<=iGn39nt z?*F5g*2t*wWQM!#*Y_?i0NSvW4{?X?g7w(5J7Op^nNNm?PF%2|@@LUcZ{0nooZtBM zZII&8@;e}cWG;<#o(_-w5v@bd4-HrHL^0cf0InnBMmOjRl{M70a^-%VAZKhSC*^pg zhKAkDfpU3FQnWXL7_ro>Hd6;5--i9GiSezbe#wrKHza>kr=_s0fI8?wRLDOu2I+;c zPfK~%@%@=UJ{aaP*;SdGM?^rsmLGg9E-85Pt@;&3k+~fr1%r)@AX_&DW)wLpJI!d}eJId_Kv|1%+HLeFR; z8vbWO#An)Bvj5onC(q%9Jqm<3$&S?L`1c43I){4Dw3DScI`AN4qFCBuut5aY6$h|{ z&3>uy397DsPq2vUk!Hc>gHh~HZFz@lQJxgGc{~N%ii5?p!PlHAR?vAt^bUFEB&oUE z3LJ(9$fw*WVZdV&`II*M!WcDzL9G&2UY$ZJGz^6HT)rXvd8XFl2U!DOM8Mh=aYo&y ziua5I&|GFv4kpN^zzRQqdRu>s`FdhR%aQ=V?FZXcWEmv;=3}2g5-ezcR6h9oRC{(- zJMi-?PAuRCEzOX@?<^w5BxPyMTS;={gCQ!CnSCBa2W)@Yfu&(<+C7au1sr52O`)py z-c0O}cQFh;fS6eZ9T2`4p1lr}ia_d>vLvZ0iVDt+F4pO0EN&VqUq>(jg&^Fy|> zK&G9kU%Vjp^^lNewnCndn@dKX_&`Va5ygyiQ)!*3(!+-nX+~XkR|8z(7wiQOvds8e z=m6~5Fl^mneq?nbAghui-|-MjVeRJb!PrU#VE=ZBrbliDNJ~o(4WW_G`fU-2*SB_d z)!WrXozKaE2rq0AzSU>zrbf}1*8L;%B8D2W8!w3Lk{m}WpUNGQqfajE0-(hQ6awmH z`Xi{us=Uf#6+V#>SqHN-V;`UV&C24YkAcEl4|&WCmUlXc=azlO!#J$Yf#|U_C2r<$ z7Dg98_$GZna&z&g^ms;Y5F%1v>@MD^hZ<}iV6o$e&2Bf((`YfKlDjALC~jZxi5 zQ)|9eJHfuvRaX0-aXd-`NFT;JhpCi7@+utRCN(wr{9IYE8Q$9YMFBm_vzDfg_fzLW z-{RZ7aFmYXFv|bRu%xYY_U&XAEtRHduC&P2%=FREPv7Mg=g%6Je?=-Zh$whC zx0)apbR&3gJ z!X+;QNw>s0_lbwamg93;IPRdwFWi?SHn0RGf=({*a9^J8`;dJ36P>cPASoDW_JNK@ z`HvNCP>-_{)7kdZx`w7=hr+@@u2*3zy~709FxVS(M?UH;B`3@lRhH<5W|x6KwSuj| z+Ed$X=*GyZiiBVOneLOGp_$>%0$4d2-Rg)8>TS_Hm^4&I5NVCaj;)7V*0}HEDV<7= zSnoxQ+x1@Yt5De$5qm@y&u&G!{xM~-|TLsNNt$9r-WwGc(C-$r6S>m^i zx;?ydP={*dCuwIvZ;pMCeT|fjXU)JhiN(7(03izJ@q*ldungWj0>1{KV^i0xWBCV9 z&=7PZ%3Vm=_&~BU>#KAAc^0zJwjc{Gi*{Fgu8xs`Ct`YV65Dl7OFxRzZxVys5OTGsJR#!P+k-nngZkzQr`ZD34lxe-6E)M4=xf> z5iXElch^sG;)|ChC6X@_$JxE>RQyTIaA7pC z$xy%9s&b&Dm{}nDt6i$?%%n(JWd#1)U)z>nqRC)i;&2Buzlt|8rrBkkoo(^GB8W#X z%i!$;4KX=!BM@phm8;zQJ5fJSn93(T7aeZ$0RV+QyFxH84qmJ#UNo`j*1K-&>-7F30uac{0>* z!9ZQUgu8dl!oOEBB~stOh5y*dD#w2s93)C;JRlw_PTXzK{|l>Y+K6GKztPU z)RvB}ZT@GLhpu8YfcC@qShsYqgy8tbqX5PoTvP$?r%N}@rTnM$>r=C~_YS@0TZeQ| zo>EKB_>!I2-&y-PGARLnx1BLNk@szbet(ePkr+jP&<5r};7gV`f|!^L zVKJN2$8RsvB^5fNM?A`{cFnvUbNBBe0&RI7f_de=$zbo`3YkxsSj1Z2Cs#SLc16#) z{{BH&l`G%sbCq|$p|rPpn+EB`TwS$g&x||@K^B4+f3S?TIV#k+P0aG2CbS|dku1;4 z4<6n&9Z?~1d_)&n$N%k?!2sJrpsZD}k3?ipct!2D1Yr>HLIa64j&39I9%RCNB+oR` zY_j96h57#cw>;3Z&h2lPCnYQgx1c!Qyp-(tmFA`TcXgAsV(Mpvm#!%Rq)Bw*k*^P0 zk_UsR`o|F)gRJQ)?P0i3!L<-XL~Z!bkRe0mftUAN^|*Iq&KhdfiKqdy`oZiIg~D?* zc_A*8U=>(YK%=|J*ohSihJ9bQLZjR$u$%HemVvi|I9cJFq)NB&000-DvQx zinr7k|D?3--9+glBLzS*^zpEMh~dYh54v6w<<;4u%=bJC`=gwg%+7z4+#Vjx{3Jmo zYokMG>xjpbq+E{3EECPS%hT>W)AF~Ww6dCuenUm#-~nNWKo79bpRfBPQ@v)9T@^!X z3nZ3Mhschf$s-$TuknL9ML}vDn#HR}@&}&WWMR24zI}TQ=m%X$UY@iVlAL;g6_YPc zMhuzGqy==dDWm*^`#yd!3N;d*{02zd-F)bvIm;$Ert31uKpT={kzT#__;!g1@N
  • S3k`>N6Ixf%IJBmjqI13l#q7vkT2KYQc=JLAdqW^A Xw)!mVSzHh8aK#TSxyN + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/index.html b/index.html new file mode 100644 index 00000000..2d5b1234 --- /dev/null +++ b/index.html @@ -0,0 +1,1351 @@ + + + + + + + + + + + + + VHDL News + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + +

    Welcome to VHDL News! Please read the guidelines.

    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    + +
    + + #19 + 2 + 1 + + · + 2020/10/09 + + + · + tags: lattice, ice40, vhdl, components, synthesis, ghdl-yosys-plugin + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + Open Source Formal Verification in VHDL + + @Ahmad-Zaklouta +
    +
    + + #13 + 1 + 0 + + · + 2020/09/07 + + +
    + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #10 + 1 + 0 + + · + 2020/09/01 + + + · + tags: learning, fpga, programming + +
    + +
    + + + + +
    +
    + + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
    +
    + + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
    + +
    + + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    +
    + + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
    +
    + + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/index.xml b/index.xml new file mode 100644 index 00000000..b6a80424 --- /dev/null +++ b/index.xml @@ -0,0 +1,335 @@ + + + + VHDL News + https://vhdl.github.io/news/ + Recent content on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + Welcome to VHDL News + https://vhdl.github.io/news/welcome/ + Mon, 01 Jan 0001 00:00:00 +0000 + + https://vhdl.github.io/news/welcome/ + Welcome to VHDL News Hacker News VHDL News is a bit different from other community sites, and we&rsquo;d appreciate it if you&rsquo;d take a minute to read the following as well as the guidelines. +The community of hardware designers is small, open source HDL is a niche compared to other technology communities, and open source VHDL is a subset of the latter. Users/developers are typically lacking time to write proper docs and/or detailed articles to let their projects be known. + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + Open Source Formal Verification in VHDL + https://vhdl.github.io/news/articles/13/ + Mon, 07 Sep 2020 09:57:20 +0000 + + https://vhdl.github.io/news/articles/13/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + Learning FPGA programming, key points for a software developer + https://vhdl.github.io/news/articles/10/ + Tue, 01 Sep 2020 16:45:34 +0000 + + https://vhdl.github.io/news/articles/10/ + dev.to/targeted Learning FPGA programming, key points for a software developer (part 1, the time) Learning FPGA programming, key points for a software developer (part 2, registered logic) Learning FPGA programming, key points for a software developer (part 3, code patterns and inferred behavior) + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + Create your own VVC for UVVM + https://vhdl.github.io/news/articles/7/ + Wed, 19 Aug 2020 12:13:59 +0000 + + https://vhdl.github.io/news/articles/7/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/past/11/index.html b/past/11/index.html new file mode 100644 index 00000000..9ef37595 --- /dev/null +++ b/past/11/index.html @@ -0,0 +1,212 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +

    The third part was published:

    +
    +

    In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time.

    +

    Number of standard VHDL verification frameworks on GitHub over time

    +

    Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. However, when separating professional and academic users we’re starting to see interesting differences and anomalies in the data.

    +

    The full story and the code used to derive these facts are part of an open science project. Everything can be reviewed and the results can be repeated. We encourage contributions and suggestions on other interesting facts that we should derive.

    +

    Lars Asplund linkedin.com/pulse/what-can-github-tell-us-hdl-industry-part-3-lars-asplund/

    +
    + +
    +
    + + + + + + + diff --git a/past/14/index.html b/past/14/index.html new file mode 100644 index 00000000..8d56177f --- /dev/null +++ b/past/14/index.html @@ -0,0 +1,210 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +

    The fourth part was published:

    +
    +

    In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? Especially when most professionals on GitHub don’t share their professional work but rather private projects.

    +

    The first part of this is to accurately establish whether a user on GitHub is a professional or not when the public profile doesn’t share such information. This was a bit of manual work but there are many other sources to that information: copyright notices, Git logs, LinkedIn, Google etc. In the end, only a small fraction of the users couldn’t be identified.

    +

    Second, this study do not expect, nor assume, that the work published by professionals on GitHub is the work produced at their companies. What we study is their practices. Those practices can be the same as the ones used at work or they may choose differently when they can decide on their own. Either way would lead to interesting conclusions. So which one is it? To figure out that we need to analyze where this study is statistically consistent with the Wilson study and where they are significantly different. This is the main theme of the next post but also this week’s findings are touching on the concepts of consistency and significant differences.

    +

    This week we’re looking for anomalies in the data with focus on regional differences. As it turns out only UVM has an even global adoption that is statistically consistent with the global distribution of VHDL users. The other frameworks have global presence but are significantly under and/or over represented in some regions.

    +

    For more information jump directly to the anomaly section of the study or read the full story from the beginning.

    +

    The code used to derive these facts is part of an open science project. Everything can be reviewed and the results can be repeated. We encourage contributions and suggestions on other interesting facts that we should derive.

    +

    Lars Asplund linkedin.com/pulse/what-can-github-tell-us-hdl-industry-part-4-lars-asplund/

    +
    + +
    +
    + + + + + + + diff --git a/past/17/index.html b/past/17/index.html new file mode 100644 index 00000000..8b61db7b --- /dev/null +++ b/past/17/index.html @@ -0,0 +1,198 @@ + + + + + + + + + + + + VHDL News + + | + + How to convert vhdl to other formats + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + +
    +
    +

    I made a list of “How to convert vhdl to …” in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed.

    +

    The format translation can be done with the yosys’s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated).

    +

    In the following examples, ghdl is being used, in what I believe, is the most straightforward way. See ghdl-yosys-plugin#usage for a workflow more adequate for larger projects.

    +
    + +
    +
    + + + + + + + diff --git a/past/19/index.html b/past/19/index.html new file mode 100644 index 00000000..da7930d5 --- /dev/null +++ b/past/19/index.html @@ -0,0 +1,189 @@ + + + + + + + + + + + + VHDL News + + | + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + + + + + diff --git a/past/22/index.html b/past/22/index.html new file mode 100644 index 00000000..06ce7cbc --- /dev/null +++ b/past/22/index.html @@ -0,0 +1,197 @@ + + + + + + + + + + + + VHDL News + + | + + VHDL needs you! + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + +
    +
    +

    Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications.

    +

    Although many people think that the standards community is driven by vendors, that is a mistake noawadays. The working group consists of volunteers, mostly from the user community. At the same time, many people think they are not skilled enough. That’s another mistake. We need all skills, including users who can say ‘I will use that feature if you make it!’. While we always need experienced VHDL users to participate, currently we also need LaTeX users to help out.

    +

    The most immediate task is to migrate existing sources to LaTeX, with minimum to none style/typo fixes; before actual language changes are considered. If you know LaTeX, we need your help! No matter how little or very experienced you are, there is a task for you!

    +

    Find the guidelines for joining the workgroup at TWiki > P1076 > Participating. You can also get in touch with some VASG members at gitter.im/vhdl/General.

    +
    + +
    +
    + + + + + + + diff --git a/past/3/index.html b/past/3/index.html new file mode 100644 index 00000000..6850217f --- /dev/null +++ b/past/3/index.html @@ -0,0 +1,213 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently:

    +
      +
    • How is verification done?
    • +
    • What frameworks are used? Are they used together?
    • +
    • What are the dominant coding styles? Would people align to those if they knew?
    • +
    +

    Knowing these would help the development of VUnit [1]; where do we put our efforts? do we add functionality or reuse functionality from others? where does it make sense to create tighter integrations with other tools? can we avoid spending time on endless indentation and casing discussions? Just let a tool fix it and move on.

    +

    It’s not hard to find strong opinions in every possible direction, but we are looking for more solid facts. Facts can be found where data is, and one of the biggest pile of easy accessible data is GitHub. For that reason, we have mined GitHub for relevant information about HDL projects and processed that data to find the most interesting facts.

    +

    In the first chapter of this series, verification practices are discussed.

    +

    The full story and the code used to derive these facts are also hosted on GitHub and you can read it as it evolves. As with any open-source project we encourage contributions, suggestions, and reviews.

    +

    Lars Asplund https://www.linkedin.com/pulse/what-can-github-tell-us-hdl-industry-lars-asplund/

    +
    + +
    +
    + + + + + + + diff --git a/past/32/index.html b/past/32/index.html new file mode 100644 index 00000000..853601c6 --- /dev/null +++ b/past/32/index.html @@ -0,0 +1,210 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +

    The fifth part was published, titled Wilson Study Comparison:

    +
    +

    Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks.

    +

    The figure below shows the verification landscape when combining the data from GitHub with that of the Wilson study. The confidence intervals (as indicated by the arrows) are narrower for UVM, OSVVM and UVVM because of the larger sample sizes reached when combining data from two studies. The data for VUnit and cocotb builds solely on GitHub which results in wider confidence intervals. This doesn’t change the fact that these two frameworks play a significant role in contemporary verification practices.

    +

    +

    Before reaching this result it is important to also consider any biases involved and other explanations for the data we see. That and more can be found in the Wilson study comparison section of our study.

    +

    The next post will conclude this series and present our conclusions. In addition, we will also discuss the future of open source verification tools.

    +

    The code used to derive these facts are part of an open science project. Everything can be reviewed and the results can be repeated. We encourage contributions and suggestions on other interesting facts that we should derive.

    +

    Lars Asplund linkedin.com/pulse/what-can-github-tell-us-hdl-industry-part-5-lars-asplund

    +
    + +
    +
    + + + + + + + diff --git a/past/35/index.html b/past/35/index.html new file mode 100644 index 00000000..652efe51 --- /dev/null +++ b/past/35/index.html @@ -0,0 +1,207 @@ + + + + + + + + + + + + VHDL News + + | + + CHIPS Alliance Announces Xilinx as its Newest Member + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    Xilinx to continue to drive forward open source FPGA innovation

    +

    SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud.

    +

    “Xilinx has long been an advocate of open standards and open source,” said Tomas Evensen, CTO Open Source at Xilinx. “As a member of the CHIPS Alliance, we look forward to continuing to spearhead open FPGA initiatives to give everyone the opportunity to innovate faster and do more with their designs.”

    +

    Xilinx collaborated with longstanding CHIPS Alliance members Antmicro and Google to develop the FPGA Interchange Format, which helps to lower design barriers by enabling interoperability between open and closed source FPGA toolchains. Xilinx designed its RapidWright open source platform to work with the Interchange Format. RapidWright enables users to customize implementations to their unique challenges and provides a design methodology using pre-implemented modules with a gateway to back-end tools in Vivado.

    +

    “As the inventor of the FPGA, Xilinx is one of the key companies driving forward innovation in this market,” said Rob Mains, General Manager at CHIPS Alliance. “Xilinx has already been working closely with several CHIPS Alliance members around open source efforts, so it’s great to have them under the CHIPS Alliance umbrella as we plan to boost our FPGA efforts this year.”

    +
    + +
    +
    + + + + + + + diff --git a/past/6/index.html b/past/6/index.html new file mode 100644 index 00000000..3e1dcf78 --- /dev/null +++ b/past/6/index.html @@ -0,0 +1,214 @@ + + + + + + + + + + + + VHDL News + + | + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +

    The second article/chapter was published:

    +
    +

    In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we’re looking into what standard verification frameworks are being used. The main conclusions are that:

    +
      +
    • VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub.
    • +
    • Most repositories using more than one framework use VUnit and OSVVM.
    • +
    • More than half of the repositories using OSVVM also use VUnit.
    • +
    • UVM is not combined with any other framework.
    • +
    +

    The full story and the code used to derive these facts are part of an open science project. Everything can be reviewed and the results can be repeated. We encourage contributions and suggestions on other interesting facts that we should derive.

    +

    Lars Asplund https://www.linkedin.com/pulse/what-can-github-tell-us-hdl-industry-part-2-lars-asplund/

    +
    + +
    +
    + + + + + + + diff --git a/past/8/index.html b/past/8/index.html new file mode 100644 index 00000000..05fa822e --- /dev/null +++ b/past/8/index.html @@ -0,0 +1,196 @@ + + + + + + + + + + + + VHDL News + + | + + First VHDL-2019 examples on EDA playground + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + +
    +

    First examples of VHDL-2019 on EDA playground supported by Riviera Pro:

    +
      +
    • some of the new features of the std.env package
    • +
    • private and alias in protected types
    • +
    + +
    +
    + + + + + + + diff --git a/past/index.html b/past/index.html new file mode 100644 index 00000000..45f207b8 --- /dev/null +++ b/past/index.html @@ -0,0 +1,538 @@ + + + + + + + + + + + + VHDL News + + | + + Past + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + +

    Main category for content that is not specific enough to fit in the other categories.

    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    + +
    + + #19 + 2 + 1 + + · + 2020/10/09 + + + · + tags: lattice, ice40, vhdl, components, synthesis, ghdl-yosys-plugin + +
    + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/past/index.xml b/past/index.xml new file mode 100644 index 00000000..5089f1bc --- /dev/null +++ b/past/index.xml @@ -0,0 +1,117 @@ + + + + Past on VHDL News + https://vhdl.github.io/news/past/ + Recent content in Past on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/show/16/index.html b/show/16/index.html new file mode 100644 index 00000000..fb58a078 --- /dev/null +++ b/show/16/index.html @@ -0,0 +1,198 @@ + + + + + + + + + + + + VHDL News + + | + + Combining VUnit tests with cocotb components + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    + +
    +
    + + + + + + + diff --git a/show/30/index.html b/show/30/index.html new file mode 100644 index 00000000..2cdc6b90 --- /dev/null +++ b/show/30/index.html @@ -0,0 +1,209 @@ + + + + + + + + + + + + VHDL News + + | + + Open Source Verification Bundle (OSVB) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. The purpose of this bundle is twofold:

    +
      +
    • +

      Allow users of any of the frameworks/methodologies to share some plumbing with others, so that communities can share testbenches written in any framework without having to learn a new workflow from scratch.

      +
    • +
    • +

      Reduce the maintenance burden of the projects by focusing on the features which are unique to a particular framework/methodology, instead of reinventing the wheel.

      +
    • +
    +
    + +
    +
    + + + + + + + diff --git a/show/5/index.html b/show/5/index.html new file mode 100644 index 00000000..499f903e --- /dev/null +++ b/show/5/index.html @@ -0,0 +1,202 @@ + + + + + + + + + + + + VHDL News + + | + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +

    A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys).

    +

    This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. You can comment out failing assertions if you want to have a successful proof or simulation if you want. You can change them to see what happens.

    + +
    +
    + + + + + + + diff --git a/show/index.html b/show/index.html new file mode 100644 index 00000000..cd36795b --- /dev/null +++ b/show/index.html @@ -0,0 +1,280 @@ + + + + + + + + + + + + VHDL News + + | + + Show + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/show/index.xml b/show/index.xml new file mode 100644 index 00000000..43749934 --- /dev/null +++ b/show/index.xml @@ -0,0 +1,42 @@ + + + + Show on VHDL News + https://vhdl.github.io/news/show/ + Recent content in Show on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/sitemap.xml b/sitemap.xml new file mode 100644 index 00000000..a7e2ea9d --- /dev/null +++ b/sitemap.xml @@ -0,0 +1,760 @@ + + + + + https://vhdl.github.io/news/welcome/ + + + + https://vhdl.github.io/news/past/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/show/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/articles/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tools/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/cores/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/ + 2022-02-05T15:46:32+00:00 + 0 + + + + https://vhdl.github.io/news/tags/cfu/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/cpu/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/custom-function/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/gdb/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/neoled/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/neorv32/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/cores/36/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/ocd/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/pwm/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/riscv/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/soc/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/spi/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/stream/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/trng/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/twi/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/vhdl/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/wishbone/ + 2022-02-05T15:46:32+00:00 + + + + https://vhdl.github.io/news/tags/chipalliance/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/past/35/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/fpga/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/interchange/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/rapidwright/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/schema/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/xilinx/ + 2022-02-05T15:33:11+00:00 + + + + https://vhdl.github.io/news/tags/methodology/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tags/osvvm/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/articles/33/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tags/unification/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tags/uvvm/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tags/verification/ + 2021-10-30T02:23:50+00:00 + + + + https://vhdl.github.io/news/tags/cocotb/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/tags/study/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/tags/uvm/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/tags/vunit/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/past/32/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/tags/wilson/ + 2021-10-27T22:36:39+00:00 + + + + https://vhdl.github.io/news/tags/foss/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/tags/ghdl/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/articles/31/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/tags/simulation/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/tags/synthesis/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/tags/workshop/ + 2021-10-21T15:39:55+00:00 + + + + https://vhdl.github.io/news/tags/iverilog/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/show/30/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/osvb/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/renode/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/svunit/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/verilator/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/yosys/ + 2021-10-20T01:13:27+00:00 + + + + https://vhdl.github.io/news/tags/dfu-util/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/eccprog/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/eda/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/fritzing/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/ghdl-yosys-plugin/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/graphviz/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/gtkwave/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/icesprog/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/icestorm/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/kicad/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tools/27/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/msys2/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/nextpnr/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/ngspice/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/openfpgaloader/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/openocd/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/package/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/prjtrellis/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/windows/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/yices2/ + 2021-01-19T06:41:45+00:00 + + + + https://vhdl.github.io/news/tags/examples/ + 2020-12-02T05:34:29+00:00 + + + + https://vhdl.github.io/news/tags/fomu/ + 2020-12-02T05:34:29+00:00 + + + + https://vhdl.github.io/news/articles/26/ + 2020-12-02T05:34:29+00:00 + + + + https://vhdl.github.io/news/tags/verilog/ + 2020-12-02T05:34:29+00:00 + + + + https://vhdl.github.io/news/tags/assertions/ + 2020-11-30T19:50:15+00:00 + + + + https://vhdl.github.io/news/tags/cryptography/ + 2020-11-30T19:50:15+00:00 + + + + https://vhdl.github.io/news/tags/psl/ + 2020-11-30T19:50:15+00:00 + + + + https://vhdl.github.io/news/cores/24/ + 2020-11-30T19:50:15+00:00 + + + + https://vhdl.github.io/news/tools/23/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/debian/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/docker/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/podman/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/symbiyosys/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/z3/ + 2020-11-23T09:09:05+00:00 + + + + https://vhdl.github.io/news/tags/gitlab/ + 2020-11-13T14:39:38+00:00 + + + + https://vhdl.github.io/news/tags/latex/ + 2020-11-13T14:39:38+00:00 + + + + https://vhdl.github.io/news/tags/lrm/ + 2020-11-13T14:39:38+00:00 + + + + https://vhdl.github.io/news/tags/vasg/ + 2020-11-13T14:39:38+00:00 + + + + https://vhdl.github.io/news/past/22/ + 2020-11-13T14:39:38+00:00 + + + + https://vhdl.github.io/news/tags/microwatt/ + 2020-10-27T06:45:43+00:00 + + + + https://vhdl.github.io/news/cores/21/ + 2020-10-27T06:45:43+00:00 + + + + https://vhdl.github.io/news/tools/21/ + 2020-10-27T06:45:43+00:00 + + + + https://vhdl.github.io/news/tags/openisa/ + 2020-10-27T06:45:43+00:00 + + + + https://vhdl.github.io/news/tags/power/ + 2020-10-27T06:45:43+00:00 + + + + https://vhdl.github.io/news/tags/lpf/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tags/pcf/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tags/sdc/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tools/20/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tags/ucf/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tags/xdc/ + 2020-10-22T21:52:32+00:00 + + + + https://vhdl.github.io/news/tags/components/ + 2020-10-09T14:54:20+00:00 + + + + https://vhdl.github.io/news/tags/ice40/ + 2020-10-09T14:54:20+00:00 + + + + https://vhdl.github.io/news/tags/lattice/ + 2020-10-09T14:54:20+00:00 + + + + https://vhdl.github.io/news/past/19/ + 2020-10-09T14:54:20+00:00 + + + + https://vhdl.github.io/news/tags/container/ + 2020-10-09T08:48:50+00:00 + + + + https://vhdl.github.io/news/tools/18/ + 2020-10-09T08:48:50+00:00 + + + + https://vhdl.github.io/news/tags/programming/ + 2020-10-09T08:48:50+00:00 + + + + https://vhdl.github.io/news/tags/btor2/ + 2020-09-28T15:23:01+00:00 + + + + https://vhdl.github.io/news/tags/edif/ + 2020-09-28T15:23:01+00:00 + + + + https://vhdl.github.io/news/tags/firrtl/ + 2020-09-28T15:23:01+00:00 + + + + https://vhdl.github.io/news/past/17/ + 2020-09-28T15:23:01+00:00 + + + + https://vhdl.github.io/news/tags/smt2/ + 2020-09-28T15:23:01+00:00 + + + + https://vhdl.github.io/news/tags/co-simulation/ + 2020-09-28T06:09:01+00:00 + + + + https://vhdl.github.io/news/show/16/ + 2020-09-28T06:09:01+00:00 + + + + https://vhdl.github.io/news/tags/python/ + 2020-09-28T06:09:01+00:00 + + + + https://vhdl.github.io/news/tags/testing/ + 2020-09-28T06:09:01+00:00 + + + + https://vhdl.github.io/news/tags/altera/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/exercises/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/learning/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/modelsim/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/articles/15/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/teaching/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/videos/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/tags/youtube/ + 2020-09-18T03:59:05+00:00 + + + + https://vhdl.github.io/news/past/14/ + 2020-09-18T00:54:44+00:00 + + + + https://vhdl.github.io/news/articles/13/ + 2020-09-07T09:57:20+00:00 + + + + https://vhdl.github.io/news/past/11/ + 2020-09-02T21:33:51+00:00 + + + + https://vhdl.github.io/news/articles/10/ + 2020-09-01T16:45:34+00:00 + + + + https://vhdl.github.io/news/tags/ieee/ + 2020-08-28T17:27:03+00:00 + + + + https://vhdl.github.io/news/tags/vhdl-2019/ + 2020-08-28T17:27:03+00:00 + + + + https://vhdl.github.io/news/tags/vhdlwhiz/ + 2020-08-28T17:27:03+00:00 + + + + https://vhdl.github.io/news/articles/9/ + 2020-08-28T17:27:03+00:00 + + + + https://vhdl.github.io/news/tags/eda-playground/ + 2020-08-22T20:37:41+00:00 + + + + https://vhdl.github.io/news/past/8/ + 2020-08-22T20:37:41+00:00 + + + + https://vhdl.github.io/news/tags/riviera/ + 2020-08-22T20:37:41+00:00 + + + + https://vhdl.github.io/news/articles/7/ + 2020-08-19T12:13:59+00:00 + + + + https://vhdl.github.io/news/past/6/ + 2020-08-19T07:38:22+00:00 + + + + https://vhdl.github.io/news/show/5/ + 2020-08-18T16:31:26+00:00 + + + + https://vhdl.github.io/news/tags/formal-verification/ + 2020-08-18T16:31:26+00:00 + + + + https://vhdl.github.io/news/tags/functional-coverage/ + 2020-08-18T16:31:26+00:00 + + + + https://vhdl.github.io/news/articles/4/ + 2020-08-18T15:03:07+00:00 + + + + https://vhdl.github.io/news/tags/mentor/ + 2020-08-18T15:03:07+00:00 + + + + https://vhdl.github.io/news/past/3/ + 2020-08-18T14:51:44+00:00 + + + + https://vhdl.github.io/news/articles/2/ + 2020-08-18T14:51:02+00:00 + + + \ No newline at end of file diff --git a/tags/altera/index.html b/tags/altera/index.html new file mode 100644 index 00000000..f60819e5 --- /dev/null +++ b/tags/altera/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + altera + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/altera/index.xml b/tags/altera/index.xml new file mode 100644 index 00000000..c532c3f8 --- /dev/null +++ b/tags/altera/index.xml @@ -0,0 +1,23 @@ + + + + altera on VHDL News + https://vhdl.github.io/news/tags/altera/ + Recent content in altera on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/assertions/index.html b/tags/assertions/index.html new file mode 100644 index 00000000..d58a1f16 --- /dev/null +++ b/tags/assertions/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + assertions + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/assertions/index.xml b/tags/assertions/index.xml new file mode 100644 index 00000000..f404f5cf --- /dev/null +++ b/tags/assertions/index.xml @@ -0,0 +1,35 @@ + + + + assertions on VHDL News + https://vhdl.github.io/news/tags/assertions/ + Recent content in assertions on VHDL News + Hugo -- gohugo.io + Mon, 30 Nov 2020 19:50:15 +0000 + + + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/btor2/index.html b/tags/btor2/index.html new file mode 100644 index 00000000..a8bbc070 --- /dev/null +++ b/tags/btor2/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + btor2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/btor2/index.xml b/tags/btor2/index.xml new file mode 100644 index 00000000..760dc77d --- /dev/null +++ b/tags/btor2/index.xml @@ -0,0 +1,25 @@ + + + + btor2 on VHDL News + https://vhdl.github.io/news/tags/btor2/ + Recent content in btor2 on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 15:23:01 +0000 + + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/cfu/index.html b/tags/cfu/index.html new file mode 100644 index 00000000..3d15eac7 --- /dev/null +++ b/tags/cfu/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + CFU + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/cfu/index.xml b/tags/cfu/index.xml new file mode 100644 index 00000000..e12ae779 --- /dev/null +++ b/tags/cfu/index.xml @@ -0,0 +1,24 @@ + + + + CFU on VHDL News + https://vhdl.github.io/news/tags/cfu/ + Recent content in CFU on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/chipalliance/index.html b/tags/chipalliance/index.html new file mode 100644 index 00000000..edd0ba30 --- /dev/null +++ b/tags/chipalliance/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + CHIPAlliance + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/chipalliance/index.xml b/tags/chipalliance/index.xml new file mode 100644 index 00000000..886ea64e --- /dev/null +++ b/tags/chipalliance/index.xml @@ -0,0 +1,24 @@ + + + + CHIPAlliance on VHDL News + https://vhdl.github.io/news/tags/chipalliance/ + Recent content in CHIPAlliance on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + \ No newline at end of file diff --git a/tags/co-simulation/index.html b/tags/co-simulation/index.html new file mode 100644 index 00000000..8a44ebd2 --- /dev/null +++ b/tags/co-simulation/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + co-simulation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/co-simulation/index.xml b/tags/co-simulation/index.xml new file mode 100644 index 00000000..41c17f02 --- /dev/null +++ b/tags/co-simulation/index.xml @@ -0,0 +1,23 @@ + + + + co-simulation on VHDL News + https://vhdl.github.io/news/tags/co-simulation/ + Recent content in co-simulation on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 06:09:01 +0000 + + + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + \ No newline at end of file diff --git a/tags/cocotb/index.html b/tags/cocotb/index.html new file mode 100644 index 00000000..8e28b79b --- /dev/null +++ b/tags/cocotb/index.html @@ -0,0 +1,487 @@ + + + + + + + + + + + + VHDL News + + | + + cocotb + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/cocotb/index.xml b/tags/cocotb/index.xml new file mode 100644 index 00000000..3d87673c --- /dev/null +++ b/tags/cocotb/index.xml @@ -0,0 +1,95 @@ + + + + cocotb on VHDL News + https://vhdl.github.io/news/tags/cocotb/ + Recent content in cocotb on VHDL News + Hugo -- gohugo.io + Wed, 27 Oct 2021 22:36:39 +0000 + + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/components/index.html b/tags/components/index.html new file mode 100644 index 00000000..1b98907d --- /dev/null +++ b/tags/components/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + components + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/components/index.xml b/tags/components/index.xml new file mode 100644 index 00000000..448e5b97 --- /dev/null +++ b/tags/components/index.xml @@ -0,0 +1,23 @@ + + + + components on VHDL News + https://vhdl.github.io/news/tags/components/ + Recent content in components on VHDL News + Hugo -- gohugo.io + Fri, 09 Oct 2020 14:54:20 +0000 + + + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + \ No newline at end of file diff --git a/tags/container/index.html b/tags/container/index.html new file mode 100644 index 00000000..d7815114 --- /dev/null +++ b/tags/container/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + container + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/container/index.xml b/tags/container/index.xml new file mode 100644 index 00000000..f24441f7 --- /dev/null +++ b/tags/container/index.xml @@ -0,0 +1,23 @@ + + + + container on VHDL News + https://vhdl.github.io/news/tags/container/ + Recent content in container on VHDL News + Hugo -- gohugo.io + Fri, 09 Oct 2020 08:48:50 +0000 + + + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + \ No newline at end of file diff --git a/tags/cpu/index.html b/tags/cpu/index.html new file mode 100644 index 00000000..ffa1720f --- /dev/null +++ b/tags/cpu/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + cpu + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/cpu/index.xml b/tags/cpu/index.xml new file mode 100644 index 00000000..9e4b4d4c --- /dev/null +++ b/tags/cpu/index.xml @@ -0,0 +1,24 @@ + + + + cpu on VHDL News + https://vhdl.github.io/news/tags/cpu/ + Recent content in cpu on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/cryptography/index.html b/tags/cryptography/index.html new file mode 100644 index 00000000..c5be02ea --- /dev/null +++ b/tags/cryptography/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + cryptography + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/cryptography/index.xml b/tags/cryptography/index.xml new file mode 100644 index 00000000..9d6102d1 --- /dev/null +++ b/tags/cryptography/index.xml @@ -0,0 +1,25 @@ + + + + cryptography on VHDL News + https://vhdl.github.io/news/tags/cryptography/ + Recent content in cryptography on VHDL News + Hugo -- gohugo.io + Mon, 30 Nov 2020 19:50:15 +0000 + + + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + \ No newline at end of file diff --git a/tags/custom-function/index.html b/tags/custom-function/index.html new file mode 100644 index 00000000..cd825ef3 --- /dev/null +++ b/tags/custom-function/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + custom-function + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/custom-function/index.xml b/tags/custom-function/index.xml new file mode 100644 index 00000000..3ef12355 --- /dev/null +++ b/tags/custom-function/index.xml @@ -0,0 +1,24 @@ + + + + custom-function on VHDL News + https://vhdl.github.io/news/tags/custom-function/ + Recent content in custom-function on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/debian/index.html b/tags/debian/index.html new file mode 100644 index 00000000..e634b48c --- /dev/null +++ b/tags/debian/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + debian + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/debian/index.xml b/tags/debian/index.xml new file mode 100644 index 00000000..56372fc3 --- /dev/null +++ b/tags/debian/index.xml @@ -0,0 +1,26 @@ + + + + debian on VHDL News + https://vhdl.github.io/news/tags/debian/ + Recent content in debian on VHDL News + Hugo -- gohugo.io + Mon, 23 Nov 2020 09:09:05 +0000 + + + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/dfu-util/index.html b/tags/dfu-util/index.html new file mode 100644 index 00000000..7213a4bd --- /dev/null +++ b/tags/dfu-util/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + dfu-util + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/dfu-util/index.xml b/tags/dfu-util/index.xml new file mode 100644 index 00000000..8e0317c1 --- /dev/null +++ b/tags/dfu-util/index.xml @@ -0,0 +1,23 @@ + + + + dfu-util on VHDL News + https://vhdl.github.io/news/tags/dfu-util/ + Recent content in dfu-util on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/docker/index.html b/tags/docker/index.html new file mode 100644 index 00000000..6fb7b2bb --- /dev/null +++ b/tags/docker/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + docker + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/docker/index.xml b/tags/docker/index.xml new file mode 100644 index 00000000..849252a2 --- /dev/null +++ b/tags/docker/index.xml @@ -0,0 +1,35 @@ + + + + docker on VHDL News + https://vhdl.github.io/news/tags/docker/ + Recent content in docker on VHDL News + Hugo -- gohugo.io + Mon, 23 Nov 2020 09:09:05 +0000 + + + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + \ No newline at end of file diff --git a/tags/eccprog/index.html b/tags/eccprog/index.html new file mode 100644 index 00000000..bbc22a72 --- /dev/null +++ b/tags/eccprog/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + eccprog + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/eccprog/index.xml b/tags/eccprog/index.xml new file mode 100644 index 00000000..6e3dab97 --- /dev/null +++ b/tags/eccprog/index.xml @@ -0,0 +1,23 @@ + + + + eccprog on VHDL News + https://vhdl.github.io/news/tags/eccprog/ + Recent content in eccprog on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/eda-playground/index.html b/tags/eda-playground/index.html new file mode 100644 index 00000000..e06bc41e --- /dev/null +++ b/tags/eda-playground/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + eda-playground + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/eda-playground/index.xml b/tags/eda-playground/index.xml new file mode 100644 index 00000000..bb429b63 --- /dev/null +++ b/tags/eda-playground/index.xml @@ -0,0 +1,24 @@ + + + + eda-playground on VHDL News + https://vhdl.github.io/news/tags/eda-playground/ + Recent content in eda-playground on VHDL News + Hugo -- gohugo.io + Sat, 22 Aug 2020 20:37:41 +0000 + + + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + \ No newline at end of file diff --git a/tags/eda/index.html b/tags/eda/index.html new file mode 100644 index 00000000..ef8b429a --- /dev/null +++ b/tags/eda/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + EDA + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/eda/index.xml b/tags/eda/index.xml new file mode 100644 index 00000000..2026bb4c --- /dev/null +++ b/tags/eda/index.xml @@ -0,0 +1,23 @@ + + + + EDA on VHDL News + https://vhdl.github.io/news/tags/eda/ + Recent content in EDA on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/edif/index.html b/tags/edif/index.html new file mode 100644 index 00000000..84b10a5c --- /dev/null +++ b/tags/edif/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + edif + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/edif/index.xml b/tags/edif/index.xml new file mode 100644 index 00000000..efb03149 --- /dev/null +++ b/tags/edif/index.xml @@ -0,0 +1,25 @@ + + + + edif on VHDL News + https://vhdl.github.io/news/tags/edif/ + Recent content in edif on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 15:23:01 +0000 + + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/examples/index.html b/tags/examples/index.html new file mode 100644 index 00000000..4b0ba556 --- /dev/null +++ b/tags/examples/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + examples + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/examples/index.xml b/tags/examples/index.xml new file mode 100644 index 00000000..5f371916 --- /dev/null +++ b/tags/examples/index.xml @@ -0,0 +1,24 @@ + + + + examples on VHDL News + https://vhdl.github.io/news/tags/examples/ + Recent content in examples on VHDL News + Hugo -- gohugo.io + Wed, 02 Dec 2020 05:34:29 +0000 + + + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + \ No newline at end of file diff --git a/tags/exercises/index.html b/tags/exercises/index.html new file mode 100644 index 00000000..6e452031 --- /dev/null +++ b/tags/exercises/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + exercises + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/exercises/index.xml b/tags/exercises/index.xml new file mode 100644 index 00000000..33e93616 --- /dev/null +++ b/tags/exercises/index.xml @@ -0,0 +1,23 @@ + + + + exercises on VHDL News + https://vhdl.github.io/news/tags/exercises/ + Recent content in exercises on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/firrtl/index.html b/tags/firrtl/index.html new file mode 100644 index 00000000..b10cf2e1 --- /dev/null +++ b/tags/firrtl/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + firrtl + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/firrtl/index.xml b/tags/firrtl/index.xml new file mode 100644 index 00000000..66275181 --- /dev/null +++ b/tags/firrtl/index.xml @@ -0,0 +1,25 @@ + + + + firrtl on VHDL News + https://vhdl.github.io/news/tags/firrtl/ + Recent content in firrtl on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 15:23:01 +0000 + + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/fomu/index.html b/tags/fomu/index.html new file mode 100644 index 00000000..5cceb88c --- /dev/null +++ b/tags/fomu/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + fomu + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/fomu/index.xml b/tags/fomu/index.xml new file mode 100644 index 00000000..b4e1329a --- /dev/null +++ b/tags/fomu/index.xml @@ -0,0 +1,24 @@ + + + + fomu on VHDL News + https://vhdl.github.io/news/tags/fomu/ + Recent content in fomu on VHDL News + Hugo -- gohugo.io + Wed, 02 Dec 2020 05:34:29 +0000 + + + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + \ No newline at end of file diff --git a/tags/formal-verification/index.html b/tags/formal-verification/index.html new file mode 100644 index 00000000..f5c04cff --- /dev/null +++ b/tags/formal-verification/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + formal-verification + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/formal-verification/index.xml b/tags/formal-verification/index.xml new file mode 100644 index 00000000..6a0977fc --- /dev/null +++ b/tags/formal-verification/index.xml @@ -0,0 +1,24 @@ + + + + formal-verification on VHDL News + https://vhdl.github.io/news/tags/formal-verification/ + Recent content in formal-verification on VHDL News + Hugo -- gohugo.io + Tue, 18 Aug 2020 16:31:26 +0000 + + + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/foss/index.html b/tags/foss/index.html new file mode 100644 index 00000000..4b77af60 --- /dev/null +++ b/tags/foss/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + foss + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/foss/index.xml b/tags/foss/index.xml new file mode 100644 index 00000000..038e4477 --- /dev/null +++ b/tags/foss/index.xml @@ -0,0 +1,26 @@ + + + + foss on VHDL News + https://vhdl.github.io/news/tags/foss/ + Recent content in foss on VHDL News + Hugo -- gohugo.io + Thu, 21 Oct 2021 15:39:55 +0000 + + + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + \ No newline at end of file diff --git a/tags/fpga/index.html b/tags/fpga/index.html new file mode 100644 index 00000000..6176ed25 --- /dev/null +++ b/tags/fpga/index.html @@ -0,0 +1,301 @@ + + + + + + + + + + + + VHDL News + + | + + fpga + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/fpga/index.xml b/tags/fpga/index.xml new file mode 100644 index 00000000..83517797 --- /dev/null +++ b/tags/fpga/index.xml @@ -0,0 +1,54 @@ + + + + fpga on VHDL News + https://vhdl.github.io/news/tags/fpga/ + Recent content in fpga on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + Learning FPGA programming, key points for a software developer + https://vhdl.github.io/news/articles/10/ + Tue, 01 Sep 2020 16:45:34 +0000 + + https://vhdl.github.io/news/articles/10/ + dev.to/targeted Learning FPGA programming, key points for a software developer (part 1, the time) Learning FPGA programming, key points for a software developer (part 2, registered logic) Learning FPGA programming, key points for a software developer (part 3, code patterns and inferred behavior) + + + + \ No newline at end of file diff --git a/tags/fritzing/index.html b/tags/fritzing/index.html new file mode 100644 index 00000000..c114b97d --- /dev/null +++ b/tags/fritzing/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + fritzing + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/fritzing/index.xml b/tags/fritzing/index.xml new file mode 100644 index 00000000..8da091d6 --- /dev/null +++ b/tags/fritzing/index.xml @@ -0,0 +1,23 @@ + + + + fritzing on VHDL News + https://vhdl.github.io/news/tags/fritzing/ + Recent content in fritzing on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/functional-coverage/index.html b/tags/functional-coverage/index.html new file mode 100644 index 00000000..3bcdbc92 --- /dev/null +++ b/tags/functional-coverage/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + functional-coverage + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/functional-coverage/index.xml b/tags/functional-coverage/index.xml new file mode 100644 index 00000000..4ccc905d --- /dev/null +++ b/tags/functional-coverage/index.xml @@ -0,0 +1,24 @@ + + + + functional-coverage on VHDL News + https://vhdl.github.io/news/tags/functional-coverage/ + Recent content in functional-coverage on VHDL News + Hugo -- gohugo.io + Tue, 18 Aug 2020 16:31:26 +0000 + + + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/gdb/index.html b/tags/gdb/index.html new file mode 100644 index 00000000..f3220320 --- /dev/null +++ b/tags/gdb/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + gdb + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/gdb/index.xml b/tags/gdb/index.xml new file mode 100644 index 00000000..3bc2ceec --- /dev/null +++ b/tags/gdb/index.xml @@ -0,0 +1,24 @@ + + + + gdb on VHDL News + https://vhdl.github.io/news/tags/gdb/ + Recent content in gdb on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/ghdl-yosys-plugin/index.html b/tags/ghdl-yosys-plugin/index.html new file mode 100644 index 00000000..722fd476 --- /dev/null +++ b/tags/ghdl-yosys-plugin/index.html @@ -0,0 +1,259 @@ + + + + + + + + + + + + VHDL News + + | + + ghdl-yosys-plugin + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/ghdl-yosys-plugin/index.xml b/tags/ghdl-yosys-plugin/index.xml new file mode 100644 index 00000000..cf8d73de --- /dev/null +++ b/tags/ghdl-yosys-plugin/index.xml @@ -0,0 +1,43 @@ + + + + ghdl-yosys-plugin on VHDL News + https://vhdl.github.io/news/tags/ghdl-yosys-plugin/ + Recent content in ghdl-yosys-plugin on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/ghdl/index.html b/tags/ghdl/index.html new file mode 100644 index 00000000..da9f3714 --- /dev/null +++ b/tags/ghdl/index.html @@ -0,0 +1,637 @@ + + + + + + + + + + + + VHDL News + + | + + ghdl + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/ghdl/index.xml b/tags/ghdl/index.xml new file mode 100644 index 00000000..7b364ce5 --- /dev/null +++ b/tags/ghdl/index.xml @@ -0,0 +1,140 @@ + + + + ghdl on VHDL News + https://vhdl.github.io/news/tags/ghdl/ + Recent content in ghdl on VHDL News + Hugo -- gohugo.io + Thu, 21 Oct 2021 15:39:55 +0000 + + + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/gitlab/index.html b/tags/gitlab/index.html new file mode 100644 index 00000000..0cf5445e --- /dev/null +++ b/tags/gitlab/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + GitLab + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/gitlab/index.xml b/tags/gitlab/index.xml new file mode 100644 index 00000000..76a96008 --- /dev/null +++ b/tags/gitlab/index.xml @@ -0,0 +1,24 @@ + + + + GitLab on VHDL News + https://vhdl.github.io/news/tags/gitlab/ + Recent content in GitLab on VHDL News + Hugo -- gohugo.io + Fri, 13 Nov 2020 14:39:38 +0000 + + + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + \ No newline at end of file diff --git a/tags/graphviz/index.html b/tags/graphviz/index.html new file mode 100644 index 00000000..8bfc285f --- /dev/null +++ b/tags/graphviz/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + Graphviz + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/graphviz/index.xml b/tags/graphviz/index.xml new file mode 100644 index 00000000..bc8c9b4c --- /dev/null +++ b/tags/graphviz/index.xml @@ -0,0 +1,23 @@ + + + + Graphviz on VHDL News + https://vhdl.github.io/news/tags/graphviz/ + Recent content in Graphviz on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/gtkwave/index.html b/tags/gtkwave/index.html new file mode 100644 index 00000000..2597ca91 --- /dev/null +++ b/tags/gtkwave/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + gtkwave + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/gtkwave/index.xml b/tags/gtkwave/index.xml new file mode 100644 index 00000000..1866c951 --- /dev/null +++ b/tags/gtkwave/index.xml @@ -0,0 +1,35 @@ + + + + gtkwave on VHDL News + https://vhdl.github.io/news/tags/gtkwave/ + Recent content in gtkwave on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/ice40/index.html b/tags/ice40/index.html new file mode 100644 index 00000000..f9d2d834 --- /dev/null +++ b/tags/ice40/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + ice40 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/ice40/index.xml b/tags/ice40/index.xml new file mode 100644 index 00000000..a3d56ef5 --- /dev/null +++ b/tags/ice40/index.xml @@ -0,0 +1,23 @@ + + + + ice40 on VHDL News + https://vhdl.github.io/news/tags/ice40/ + Recent content in ice40 on VHDL News + Hugo -- gohugo.io + Fri, 09 Oct 2020 14:54:20 +0000 + + + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + \ No newline at end of file diff --git a/tags/icesprog/index.html b/tags/icesprog/index.html new file mode 100644 index 00000000..88c259df --- /dev/null +++ b/tags/icesprog/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + icesprog + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/icesprog/index.xml b/tags/icesprog/index.xml new file mode 100644 index 00000000..8df19a15 --- /dev/null +++ b/tags/icesprog/index.xml @@ -0,0 +1,23 @@ + + + + icesprog on VHDL News + https://vhdl.github.io/news/tags/icesprog/ + Recent content in icesprog on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/icestorm/index.html b/tags/icestorm/index.html new file mode 100644 index 00000000..3e8ab058 --- /dev/null +++ b/tags/icestorm/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + icestorm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/icestorm/index.xml b/tags/icestorm/index.xml new file mode 100644 index 00000000..3382bb96 --- /dev/null +++ b/tags/icestorm/index.xml @@ -0,0 +1,35 @@ + + + + icestorm on VHDL News + https://vhdl.github.io/news/tags/icestorm/ + Recent content in icestorm on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/ieee/index.html b/tags/ieee/index.html new file mode 100644 index 00000000..787f5166 --- /dev/null +++ b/tags/ieee/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + ieee + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
    +
    + + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/ieee/index.xml b/tags/ieee/index.xml new file mode 100644 index 00000000..70853a1b --- /dev/null +++ b/tags/ieee/index.xml @@ -0,0 +1,23 @@ + + + + ieee on VHDL News + https://vhdl.github.io/news/tags/ieee/ + Recent content in ieee on VHDL News + Hugo -- gohugo.io + Fri, 28 Aug 2020 17:27:03 +0000 + + + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + \ No newline at end of file diff --git a/tags/index.html b/tags/index.html new file mode 100644 index 00000000..1150f43f --- /dev/null +++ b/tags/index.html @@ -0,0 +1,940 @@ + + + + + + + + + + + + VHDL News + + | + + Posts tagged with + + Tags + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    +
    + + +
    + CFU + 1 +
    +
    + + +
    + cpu + 1 +
    +
    + + +
    + custom-function + 1 +
    +
    + + +
    + gdb + 1 +
    +
    + + +
    + NEOLED + 1 +
    +
    + + +
    + neorv32 + 1 +
    +
    + + +
    + OCD + 1 +
    +
    + + +
    + PWM + 1 +
    +
    + + +
    + riscv + 1 +
    +
    + + +
    + soc + 1 +
    +
    + + +
    + SPI + 1 +
    +
    + + +
    + stream + 1 +
    +
    + + +
    + TRNG + 1 +
    +
    + + +
    + TWI + 1 +
    +
    + + +
    + vhdl + 7 +
    +
    + + +
    + wishbone + 1 +
    +
    + + +
    + CHIPAlliance + 1 +
    +
    + + +
    + fpga + 4 +
    +
    + + +
    + interchange + 1 +
    +
    + + +
    + rapidwright + 1 +
    +
    + + +
    + schema + 1 +
    +
    + + +
    + xilinx + 1 +
    +
    + + +
    + methodology + 1 +
    +
    + + +
    + osvvm + 9 +
    +
    + + +
    + unification + 1 +
    +
    + + +
    + uvvm + 9 +
    +
    + + +
    + verification + 14 +
    +
    + + +
    + cocotb + 8 +
    +
    + + +
    + study + 6 +
    +
    + + +
    + uvm + 6 +
    +
    + + +
    + vunit + 8 +
    +
    + + +
    + wilson + 1 +
    +
    + + +
    + foss + 1 +
    +
    + + +
    + ghdl + 12 +
    +
    + + +
    + simulation + 7 +
    +
    + + +
    + synthesis + 8 +
    +
    + + +
    + workshop + 2 +
    +
    + + +
    + iverilog + 2 +
    +
    + + +
    + osvb + 1 +
    +
    + + +
    + renode + 1 +
    +
    + + +
    + svunit + 1 +
    +
    + + +
    + verilator + 2 +
    +
    + + +
    + yosys + 7 +
    +
    + + +
    + dfu-util + 1 +
    +
    + + +
    + eccprog + 1 +
    +
    + + +
    + EDA + 1 +
    +
    + + +
    + fritzing + 1 +
    +
    + + +
    + ghdl-yosys-plugin + 3 +
    +
    + + +
    + Graphviz + 1 +
    +
    + + +
    + gtkwave + 2 +
    +
    + + +
    + icesprog + 1 +
    +
    + + +
    + icestorm + 2 +
    +
    + + +
    + KiCad + 1 +
    +
    + + +
    + MSYS2 + 1 +
    +
    + + +
    + nextpnr + 2 +
    +
    + + +
    + ngspice + 1 +
    +
    + + +
    + openFPGALoader + 1 +
    +
    + + +
    + OpenOCD + 1 +
    +
    + + +
    + package + 1 +
    +
    + + +
    + prjtrellis + 2 +
    +
    + + +
    + Windows + 1 +
    +
    + + +
    + yices2 + 1 +
    +
    + + +
    + examples + 1 +
    +
    + + +
    + fomu + 1 +
    +
    + + +
    + verilog + 2 +
    +
    + + +
    + assertions + 2 +
    +
    + + +
    + cryptography + 1 +
    +
    + + +
    + psl + 2 +
    +
    + + +
    + debian + 1 +
    +
    + + +
    + docker + 2 +
    +
    + + +
    + podman + 1 +
    +
    + + +
    + symbiyosys + 2 +
    +
    + + +
    + z3 + 1 +
    +
    + + +
    + GitLab + 1 +
    +
    + + +
    + LaTeX + 1 +
    +
    + + +
    + LRM + 1 +
    +
    + + +
    + VASG + 1 +
    +
    + + +
    + microwatt + 2 +
    +
    + + +
    + openisa + 2 +
    +
    + + +
    + power + 2 +
    +
    + + +
    + lpf + 1 +
    +
    + + +
    + pcf + 1 +
    +
    + + +
    + sdc + 1 +
    +
    + + +
    + ucf + 1 +
    +
    + + +
    + xdc + 1 +
    +
    + + +
    + components + 1 +
    +
    + + +
    + ice40 + 1 +
    +
    + + +
    + lattice + 1 +
    +
    + + +
    + container + 1 +
    +
    + + +
    + programming + 2 +
    +
    + + +
    + btor2 + 1 +
    +
    + + +
    + edif + 1 +
    +
    + + +
    + firrtl + 1 +
    +
    + + +
    + smt2 + 1 +
    +
    + + +
    + co-simulation + 1 +
    +
    + + +
    + python + 1 +
    +
    + + +
    + testing + 1 +
    +
    + + +
    + altera + 1 +
    +
    + + +
    + exercises + 1 +
    +
    + + +
    + learning + 2 +
    +
    + + +
    + modelsim + 1 +
    +
    + + +
    + teaching + 1 +
    +
    + + +
    + videos + 1 +
    +
    + + +
    + youtube + 1 +
    +
    + + +
    + ieee + 1 +
    +
    + + +
    + vhdl-2019 + 2 +
    +
    + + +
    + vhdlwhiz + 1 +
    +
    + + +
    + eda-playground + 1 +
    +
    + + +
    + riviera + 1 +
    +
    + + +
    + formal-verification + 1 +
    +
    + + +
    + functional-coverage + 1 +
    +
    + + +
    + mentor + 1 +
    +
    + +
    +
    + +
    +
    + + + + diff --git a/tags/index.xml b/tags/index.xml new file mode 100644 index 00000000..203e5c83 --- /dev/null +++ b/tags/index.xml @@ -0,0 +1,1022 @@ + + + + Tags on VHDL News + https://vhdl.github.io/news/tags/ + Recent content in Tags on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + CFU + https://vhdl.github.io/news/tags/cfu/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/cfu/ + + + + + cpu + https://vhdl.github.io/news/tags/cpu/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/cpu/ + + + + + custom-function + https://vhdl.github.io/news/tags/custom-function/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/custom-function/ + + + + + gdb + https://vhdl.github.io/news/tags/gdb/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/gdb/ + + + + + NEOLED + https://vhdl.github.io/news/tags/neoled/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/neoled/ + + + + + neorv32 + https://vhdl.github.io/news/tags/neorv32/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/neorv32/ + + + + + OCD + https://vhdl.github.io/news/tags/ocd/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/ocd/ + + + + + PWM + https://vhdl.github.io/news/tags/pwm/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/pwm/ + + + + + riscv + https://vhdl.github.io/news/tags/riscv/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/riscv/ + + + + + soc + https://vhdl.github.io/news/tags/soc/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/soc/ + + + + + SPI + https://vhdl.github.io/news/tags/spi/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/spi/ + + + + + stream + https://vhdl.github.io/news/tags/stream/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/stream/ + + + + + TRNG + https://vhdl.github.io/news/tags/trng/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/trng/ + + + + + TWI + https://vhdl.github.io/news/tags/twi/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/twi/ + + + + + vhdl + https://vhdl.github.io/news/tags/vhdl/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/vhdl/ + + + + + wishbone + https://vhdl.github.io/news/tags/wishbone/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/tags/wishbone/ + + + + + CHIPAlliance + https://vhdl.github.io/news/tags/chipalliance/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/chipalliance/ + + + + + fpga + https://vhdl.github.io/news/tags/fpga/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/fpga/ + + + + + interchange + https://vhdl.github.io/news/tags/interchange/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/interchange/ + + + + + rapidwright + https://vhdl.github.io/news/tags/rapidwright/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/rapidwright/ + + + + + schema + https://vhdl.github.io/news/tags/schema/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/schema/ + + + + + xilinx + https://vhdl.github.io/news/tags/xilinx/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/tags/xilinx/ + + + + + methodology + https://vhdl.github.io/news/tags/methodology/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/tags/methodology/ + + + + + osvvm + https://vhdl.github.io/news/tags/osvvm/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/tags/osvvm/ + + + + + unification + https://vhdl.github.io/news/tags/unification/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/tags/unification/ + + + + + uvvm + https://vhdl.github.io/news/tags/uvvm/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/tags/uvvm/ + + + + + verification + https://vhdl.github.io/news/tags/verification/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/tags/verification/ + + + + + cocotb + https://vhdl.github.io/news/tags/cocotb/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/tags/cocotb/ + + + + + study + https://vhdl.github.io/news/tags/study/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/tags/study/ + + + + + uvm + https://vhdl.github.io/news/tags/uvm/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/tags/uvm/ + + + + + vunit + https://vhdl.github.io/news/tags/vunit/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/tags/vunit/ + + + + + wilson + https://vhdl.github.io/news/tags/wilson/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/tags/wilson/ + + + + + foss + https://vhdl.github.io/news/tags/foss/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/tags/foss/ + + + + + ghdl + https://vhdl.github.io/news/tags/ghdl/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/tags/ghdl/ + + + + + simulation + https://vhdl.github.io/news/tags/simulation/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/tags/simulation/ + + + + + synthesis + https://vhdl.github.io/news/tags/synthesis/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/tags/synthesis/ + + + + + workshop + https://vhdl.github.io/news/tags/workshop/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/tags/workshop/ + + + + + iverilog + https://vhdl.github.io/news/tags/iverilog/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/iverilog/ + + + + + osvb + https://vhdl.github.io/news/tags/osvb/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/osvb/ + + + + + renode + https://vhdl.github.io/news/tags/renode/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/renode/ + + + + + svunit + https://vhdl.github.io/news/tags/svunit/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/svunit/ + + + + + verilator + https://vhdl.github.io/news/tags/verilator/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/verilator/ + + + + + yosys + https://vhdl.github.io/news/tags/yosys/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/tags/yosys/ + + + + + dfu-util + https://vhdl.github.io/news/tags/dfu-util/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/dfu-util/ + + + + + eccprog + https://vhdl.github.io/news/tags/eccprog/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/eccprog/ + + + + + EDA + https://vhdl.github.io/news/tags/eda/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/eda/ + + + + + fritzing + https://vhdl.github.io/news/tags/fritzing/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/fritzing/ + + + + + ghdl-yosys-plugin + https://vhdl.github.io/news/tags/ghdl-yosys-plugin/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/ghdl-yosys-plugin/ + + + + + Graphviz + https://vhdl.github.io/news/tags/graphviz/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/graphviz/ + + + + + gtkwave + https://vhdl.github.io/news/tags/gtkwave/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/gtkwave/ + + + + + icesprog + https://vhdl.github.io/news/tags/icesprog/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/icesprog/ + + + + + icestorm + https://vhdl.github.io/news/tags/icestorm/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/icestorm/ + + + + + KiCad + https://vhdl.github.io/news/tags/kicad/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/kicad/ + + + + + MSYS2 + https://vhdl.github.io/news/tags/msys2/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/msys2/ + + + + + nextpnr + https://vhdl.github.io/news/tags/nextpnr/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/nextpnr/ + + + + + ngspice + https://vhdl.github.io/news/tags/ngspice/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/ngspice/ + + + + + openFPGALoader + https://vhdl.github.io/news/tags/openfpgaloader/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/openfpgaloader/ + + + + + OpenOCD + https://vhdl.github.io/news/tags/openocd/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/openocd/ + + + + + package + https://vhdl.github.io/news/tags/package/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/package/ + + + + + prjtrellis + https://vhdl.github.io/news/tags/prjtrellis/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/prjtrellis/ + + + + + Windows + https://vhdl.github.io/news/tags/windows/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/windows/ + + + + + yices2 + https://vhdl.github.io/news/tags/yices2/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tags/yices2/ + + + + + examples + https://vhdl.github.io/news/tags/examples/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/tags/examples/ + + + + + fomu + https://vhdl.github.io/news/tags/fomu/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/tags/fomu/ + + + + + verilog + https://vhdl.github.io/news/tags/verilog/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/tags/verilog/ + + + + + assertions + https://vhdl.github.io/news/tags/assertions/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/tags/assertions/ + + + + + cryptography + https://vhdl.github.io/news/tags/cryptography/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/tags/cryptography/ + + + + + psl + https://vhdl.github.io/news/tags/psl/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/tags/psl/ + + + + + debian + https://vhdl.github.io/news/tags/debian/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tags/debian/ + + + + + docker + https://vhdl.github.io/news/tags/docker/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tags/docker/ + + + + + podman + https://vhdl.github.io/news/tags/podman/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tags/podman/ + + + + + symbiyosys + https://vhdl.github.io/news/tags/symbiyosys/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tags/symbiyosys/ + + + + + z3 + https://vhdl.github.io/news/tags/z3/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tags/z3/ + + + + + GitLab + https://vhdl.github.io/news/tags/gitlab/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/tags/gitlab/ + + + + + LaTeX + https://vhdl.github.io/news/tags/latex/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/tags/latex/ + + + + + LRM + https://vhdl.github.io/news/tags/lrm/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/tags/lrm/ + + + + + VASG + https://vhdl.github.io/news/tags/vasg/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/tags/vasg/ + + + + + microwatt + https://vhdl.github.io/news/tags/microwatt/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tags/microwatt/ + + + + + openisa + https://vhdl.github.io/news/tags/openisa/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tags/openisa/ + + + + + power + https://vhdl.github.io/news/tags/power/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tags/power/ + + + + + lpf + https://vhdl.github.io/news/tags/lpf/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tags/lpf/ + + + + + pcf + https://vhdl.github.io/news/tags/pcf/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tags/pcf/ + + + + + sdc + https://vhdl.github.io/news/tags/sdc/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tags/sdc/ + + + + + ucf + https://vhdl.github.io/news/tags/ucf/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tags/ucf/ + + + + + xdc + https://vhdl.github.io/news/tags/xdc/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tags/xdc/ + + + + + components + https://vhdl.github.io/news/tags/components/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/tags/components/ + + + + + ice40 + https://vhdl.github.io/news/tags/ice40/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/tags/ice40/ + + + + + lattice + https://vhdl.github.io/news/tags/lattice/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/tags/lattice/ + + + + + container + https://vhdl.github.io/news/tags/container/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tags/container/ + + + + + programming + https://vhdl.github.io/news/tags/programming/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tags/programming/ + + + + + btor2 + https://vhdl.github.io/news/tags/btor2/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/tags/btor2/ + + + + + edif + https://vhdl.github.io/news/tags/edif/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/tags/edif/ + + + + + firrtl + https://vhdl.github.io/news/tags/firrtl/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/tags/firrtl/ + + + + + smt2 + https://vhdl.github.io/news/tags/smt2/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/tags/smt2/ + + + + + co-simulation + https://vhdl.github.io/news/tags/co-simulation/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/tags/co-simulation/ + + + + + python + https://vhdl.github.io/news/tags/python/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/tags/python/ + + + + + testing + https://vhdl.github.io/news/tags/testing/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/tags/testing/ + + + + + altera + https://vhdl.github.io/news/tags/altera/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/altera/ + + + + + exercises + https://vhdl.github.io/news/tags/exercises/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/exercises/ + + + + + learning + https://vhdl.github.io/news/tags/learning/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/learning/ + + + + + modelsim + https://vhdl.github.io/news/tags/modelsim/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/modelsim/ + + + + + teaching + https://vhdl.github.io/news/tags/teaching/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/teaching/ + + + + + videos + https://vhdl.github.io/news/tags/videos/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/videos/ + + + + + youtube + https://vhdl.github.io/news/tags/youtube/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/tags/youtube/ + + + + + ieee + https://vhdl.github.io/news/tags/ieee/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/tags/ieee/ + + + + + vhdl-2019 + https://vhdl.github.io/news/tags/vhdl-2019/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/tags/vhdl-2019/ + + + + + vhdlwhiz + https://vhdl.github.io/news/tags/vhdlwhiz/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/tags/vhdlwhiz/ + + + + + eda-playground + https://vhdl.github.io/news/tags/eda-playground/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/tags/eda-playground/ + + + + + riviera + https://vhdl.github.io/news/tags/riviera/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/tags/riviera/ + + + + + formal-verification + https://vhdl.github.io/news/tags/formal-verification/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/tags/formal-verification/ + + + + + functional-coverage + https://vhdl.github.io/news/tags/functional-coverage/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/tags/functional-coverage/ + + + + + mentor + https://vhdl.github.io/news/tags/mentor/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/tags/mentor/ + + + + + \ No newline at end of file diff --git a/tags/interchange/index.html b/tags/interchange/index.html new file mode 100644 index 00000000..4e35ed87 --- /dev/null +++ b/tags/interchange/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + interchange + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/interchange/index.xml b/tags/interchange/index.xml new file mode 100644 index 00000000..4bbf8424 --- /dev/null +++ b/tags/interchange/index.xml @@ -0,0 +1,24 @@ + + + + interchange on VHDL News + https://vhdl.github.io/news/tags/interchange/ + Recent content in interchange on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + \ No newline at end of file diff --git a/tags/iverilog/index.html b/tags/iverilog/index.html new file mode 100644 index 00000000..77f9d163 --- /dev/null +++ b/tags/iverilog/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + iverilog + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/iverilog/index.xml b/tags/iverilog/index.xml new file mode 100644 index 00000000..1f66d1a0 --- /dev/null +++ b/tags/iverilog/index.xml @@ -0,0 +1,32 @@ + + + + iverilog on VHDL News + https://vhdl.github.io/news/tags/iverilog/ + Recent content in iverilog on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/kicad/index.html b/tags/kicad/index.html new file mode 100644 index 00000000..0080da7f --- /dev/null +++ b/tags/kicad/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + KiCad + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/kicad/index.xml b/tags/kicad/index.xml new file mode 100644 index 00000000..81b98719 --- /dev/null +++ b/tags/kicad/index.xml @@ -0,0 +1,23 @@ + + + + KiCad on VHDL News + https://vhdl.github.io/news/tags/kicad/ + Recent content in KiCad on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/latex/index.html b/tags/latex/index.html new file mode 100644 index 00000000..be4d4f8c --- /dev/null +++ b/tags/latex/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + LaTeX + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/latex/index.xml b/tags/latex/index.xml new file mode 100644 index 00000000..609cdc9f --- /dev/null +++ b/tags/latex/index.xml @@ -0,0 +1,24 @@ + + + + LaTeX on VHDL News + https://vhdl.github.io/news/tags/latex/ + Recent content in LaTeX on VHDL News + Hugo -- gohugo.io + Fri, 13 Nov 2020 14:39:38 +0000 + + + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + \ No newline at end of file diff --git a/tags/lattice/index.html b/tags/lattice/index.html new file mode 100644 index 00000000..b2ebeaef --- /dev/null +++ b/tags/lattice/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + lattice + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/lattice/index.xml b/tags/lattice/index.xml new file mode 100644 index 00000000..3a146c2b --- /dev/null +++ b/tags/lattice/index.xml @@ -0,0 +1,23 @@ + + + + lattice on VHDL News + https://vhdl.github.io/news/tags/lattice/ + Recent content in lattice on VHDL News + Hugo -- gohugo.io + Fri, 09 Oct 2020 14:54:20 +0000 + + + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + \ No newline at end of file diff --git a/tags/learning/index.html b/tags/learning/index.html new file mode 100644 index 00000000..b8133bb3 --- /dev/null +++ b/tags/learning/index.html @@ -0,0 +1,226 @@ + + + + + + + + + + + + VHDL News + + | + + learning + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    + +
    + + #10 + 1 + 0 + + · + 2020/09/01 + + + · + tags: learning, fpga, programming + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/learning/index.xml b/tags/learning/index.xml new file mode 100644 index 00000000..4fc0afaa --- /dev/null +++ b/tags/learning/index.xml @@ -0,0 +1,32 @@ + + + + learning on VHDL News + https://vhdl.github.io/news/tags/learning/ + Recent content in learning on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + Learning FPGA programming, key points for a software developer + https://vhdl.github.io/news/articles/10/ + Tue, 01 Sep 2020 16:45:34 +0000 + + https://vhdl.github.io/news/articles/10/ + dev.to/targeted Learning FPGA programming, key points for a software developer (part 1, the time) Learning FPGA programming, key points for a software developer (part 2, registered logic) Learning FPGA programming, key points for a software developer (part 3, code patterns and inferred behavior) + + + + \ No newline at end of file diff --git a/tags/lpf/index.html b/tags/lpf/index.html new file mode 100644 index 00000000..15c6d8aa --- /dev/null +++ b/tags/lpf/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + lpf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/lpf/index.xml b/tags/lpf/index.xml new file mode 100644 index 00000000..8901bc42 --- /dev/null +++ b/tags/lpf/index.xml @@ -0,0 +1,23 @@ + + + + lpf on VHDL News + https://vhdl.github.io/news/tags/lpf/ + Recent content in lpf on VHDL News + Hugo -- gohugo.io + Thu, 22 Oct 2020 21:52:32 +0000 + + + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + \ No newline at end of file diff --git a/tags/lrm/index.html b/tags/lrm/index.html new file mode 100644 index 00000000..f8cf3314 --- /dev/null +++ b/tags/lrm/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + LRM + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/lrm/index.xml b/tags/lrm/index.xml new file mode 100644 index 00000000..f6829764 --- /dev/null +++ b/tags/lrm/index.xml @@ -0,0 +1,24 @@ + + + + LRM on VHDL News + https://vhdl.github.io/news/tags/lrm/ + Recent content in LRM on VHDL News + Hugo -- gohugo.io + Fri, 13 Nov 2020 14:39:38 +0000 + + + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + \ No newline at end of file diff --git a/tags/mentor/index.html b/tags/mentor/index.html new file mode 100644 index 00000000..d5032791 --- /dev/null +++ b/tags/mentor/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + mentor + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/mentor/index.xml b/tags/mentor/index.xml new file mode 100644 index 00000000..834adf88 --- /dev/null +++ b/tags/mentor/index.xml @@ -0,0 +1,23 @@ + + + + mentor on VHDL News + https://vhdl.github.io/news/tags/mentor/ + Recent content in mentor on VHDL News + Hugo -- gohugo.io + Tue, 18 Aug 2020 15:03:07 +0000 + + + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + \ No newline at end of file diff --git a/tags/methodology/index.html b/tags/methodology/index.html new file mode 100644 index 00000000..73e60141 --- /dev/null +++ b/tags/methodology/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + methodology + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/methodology/index.xml b/tags/methodology/index.xml new file mode 100644 index 00000000..eead6e6f --- /dev/null +++ b/tags/methodology/index.xml @@ -0,0 +1,24 @@ + + + + methodology on VHDL News + https://vhdl.github.io/news/tags/methodology/ + Recent content in methodology on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + \ No newline at end of file diff --git a/tags/microwatt/index.html b/tags/microwatt/index.html new file mode 100644 index 00000000..001aebc2 --- /dev/null +++ b/tags/microwatt/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + microwatt + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/microwatt/index.xml b/tags/microwatt/index.xml new file mode 100644 index 00000000..c123a35e --- /dev/null +++ b/tags/microwatt/index.xml @@ -0,0 +1,38 @@ + + + + microwatt on VHDL News + https://vhdl.github.io/news/tags/microwatt/ + Recent content in microwatt on VHDL News + Hugo -- gohugo.io + Tue, 27 Oct 2020 06:45:43 +0000 + + + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + \ No newline at end of file diff --git a/tags/modelsim/index.html b/tags/modelsim/index.html new file mode 100644 index 00000000..6bc858d1 --- /dev/null +++ b/tags/modelsim/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + modelsim + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/modelsim/index.xml b/tags/modelsim/index.xml new file mode 100644 index 00000000..222fd7b3 --- /dev/null +++ b/tags/modelsim/index.xml @@ -0,0 +1,23 @@ + + + + modelsim on VHDL News + https://vhdl.github.io/news/tags/modelsim/ + Recent content in modelsim on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/msys2/index.html b/tags/msys2/index.html new file mode 100644 index 00000000..7a6df94a --- /dev/null +++ b/tags/msys2/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + MSYS2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/msys2/index.xml b/tags/msys2/index.xml new file mode 100644 index 00000000..1d962b2d --- /dev/null +++ b/tags/msys2/index.xml @@ -0,0 +1,23 @@ + + + + MSYS2 on VHDL News + https://vhdl.github.io/news/tags/msys2/ + Recent content in MSYS2 on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/neoled/index.html b/tags/neoled/index.html new file mode 100644 index 00000000..7d685157 --- /dev/null +++ b/tags/neoled/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + NEOLED + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/neoled/index.xml b/tags/neoled/index.xml new file mode 100644 index 00000000..bd6d0830 --- /dev/null +++ b/tags/neoled/index.xml @@ -0,0 +1,24 @@ + + + + NEOLED on VHDL News + https://vhdl.github.io/news/tags/neoled/ + Recent content in NEOLED on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/neorv32/index.html b/tags/neorv32/index.html new file mode 100644 index 00000000..4468e5d8 --- /dev/null +++ b/tags/neorv32/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + neorv32 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/neorv32/index.xml b/tags/neorv32/index.xml new file mode 100644 index 00000000..d999ea75 --- /dev/null +++ b/tags/neorv32/index.xml @@ -0,0 +1,24 @@ + + + + neorv32 on VHDL News + https://vhdl.github.io/news/tags/neorv32/ + Recent content in neorv32 on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/nextpnr/index.html b/tags/nextpnr/index.html new file mode 100644 index 00000000..bdb85214 --- /dev/null +++ b/tags/nextpnr/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + nextpnr + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/nextpnr/index.xml b/tags/nextpnr/index.xml new file mode 100644 index 00000000..ce955814 --- /dev/null +++ b/tags/nextpnr/index.xml @@ -0,0 +1,35 @@ + + + + nextpnr on VHDL News + https://vhdl.github.io/news/tags/nextpnr/ + Recent content in nextpnr on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/ngspice/index.html b/tags/ngspice/index.html new file mode 100644 index 00000000..35a5ba57 --- /dev/null +++ b/tags/ngspice/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + ngspice + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/ngspice/index.xml b/tags/ngspice/index.xml new file mode 100644 index 00000000..3a2643ca --- /dev/null +++ b/tags/ngspice/index.xml @@ -0,0 +1,23 @@ + + + + ngspice on VHDL News + https://vhdl.github.io/news/tags/ngspice/ + Recent content in ngspice on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/ocd/index.html b/tags/ocd/index.html new file mode 100644 index 00000000..ac38b629 --- /dev/null +++ b/tags/ocd/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + OCD + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/ocd/index.xml b/tags/ocd/index.xml new file mode 100644 index 00000000..d3643770 --- /dev/null +++ b/tags/ocd/index.xml @@ -0,0 +1,24 @@ + + + + OCD on VHDL News + https://vhdl.github.io/news/tags/ocd/ + Recent content in OCD on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/openfpgaloader/index.html b/tags/openfpgaloader/index.html new file mode 100644 index 00000000..6eb42490 --- /dev/null +++ b/tags/openfpgaloader/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + openFPGALoader + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/openfpgaloader/index.xml b/tags/openfpgaloader/index.xml new file mode 100644 index 00000000..96f3dfbf --- /dev/null +++ b/tags/openfpgaloader/index.xml @@ -0,0 +1,23 @@ + + + + openFPGALoader on VHDL News + https://vhdl.github.io/news/tags/openfpgaloader/ + Recent content in openFPGALoader on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/openisa/index.html b/tags/openisa/index.html new file mode 100644 index 00000000..ef3e30d6 --- /dev/null +++ b/tags/openisa/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + openisa + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/openisa/index.xml b/tags/openisa/index.xml new file mode 100644 index 00000000..004f626c --- /dev/null +++ b/tags/openisa/index.xml @@ -0,0 +1,38 @@ + + + + openisa on VHDL News + https://vhdl.github.io/news/tags/openisa/ + Recent content in openisa on VHDL News + Hugo -- gohugo.io + Tue, 27 Oct 2020 06:45:43 +0000 + + + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + \ No newline at end of file diff --git a/tags/openocd/index.html b/tags/openocd/index.html new file mode 100644 index 00000000..c82feb0d --- /dev/null +++ b/tags/openocd/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + OpenOCD + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/openocd/index.xml b/tags/openocd/index.xml new file mode 100644 index 00000000..c25ab7df --- /dev/null +++ b/tags/openocd/index.xml @@ -0,0 +1,23 @@ + + + + OpenOCD on VHDL News + https://vhdl.github.io/news/tags/openocd/ + Recent content in OpenOCD on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/osvb/index.html b/tags/osvb/index.html new file mode 100644 index 00000000..23434fb3 --- /dev/null +++ b/tags/osvb/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + osvb + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/osvb/index.xml b/tags/osvb/index.xml new file mode 100644 index 00000000..4f28ba69 --- /dev/null +++ b/tags/osvb/index.xml @@ -0,0 +1,23 @@ + + + + osvb on VHDL News + https://vhdl.github.io/news/tags/osvb/ + Recent content in osvb on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + \ No newline at end of file diff --git a/tags/osvvm/index.html b/tags/osvvm/index.html new file mode 100644 index 00000000..353b5193 --- /dev/null +++ b/tags/osvvm/index.html @@ -0,0 +1,511 @@ + + + + + + + + + + + + VHDL News + + | + + osvvm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/osvvm/index.xml b/tags/osvvm/index.xml new file mode 100644 index 00000000..b67b2f35 --- /dev/null +++ b/tags/osvvm/index.xml @@ -0,0 +1,105 @@ + + + + osvvm on VHDL News + https://vhdl.github.io/news/tags/osvvm/ + Recent content in osvvm on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/package/index.html b/tags/package/index.html new file mode 100644 index 00000000..45398e15 --- /dev/null +++ b/tags/package/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + package + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/package/index.xml b/tags/package/index.xml new file mode 100644 index 00000000..b7f2bb1d --- /dev/null +++ b/tags/package/index.xml @@ -0,0 +1,23 @@ + + + + package on VHDL News + https://vhdl.github.io/news/tags/package/ + Recent content in package on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/pcf/index.html b/tags/pcf/index.html new file mode 100644 index 00000000..0cf2cfa8 --- /dev/null +++ b/tags/pcf/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + pcf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/pcf/index.xml b/tags/pcf/index.xml new file mode 100644 index 00000000..8389ab6f --- /dev/null +++ b/tags/pcf/index.xml @@ -0,0 +1,23 @@ + + + + pcf on VHDL News + https://vhdl.github.io/news/tags/pcf/ + Recent content in pcf on VHDL News + Hugo -- gohugo.io + Thu, 22 Oct 2020 21:52:32 +0000 + + + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + \ No newline at end of file diff --git a/tags/podman/index.html b/tags/podman/index.html new file mode 100644 index 00000000..60b935f7 --- /dev/null +++ b/tags/podman/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + podman + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/podman/index.xml b/tags/podman/index.xml new file mode 100644 index 00000000..d82f993f --- /dev/null +++ b/tags/podman/index.xml @@ -0,0 +1,26 @@ + + + + podman on VHDL News + https://vhdl.github.io/news/tags/podman/ + Recent content in podman on VHDL News + Hugo -- gohugo.io + Mon, 23 Nov 2020 09:09:05 +0000 + + + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/power/index.html b/tags/power/index.html new file mode 100644 index 00000000..84035f0c --- /dev/null +++ b/tags/power/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + power + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/power/index.xml b/tags/power/index.xml new file mode 100644 index 00000000..bfa8e442 --- /dev/null +++ b/tags/power/index.xml @@ -0,0 +1,38 @@ + + + + power on VHDL News + https://vhdl.github.io/news/tags/power/ + Recent content in power on VHDL News + Hugo -- gohugo.io + Tue, 27 Oct 2020 06:45:43 +0000 + + + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + \ No newline at end of file diff --git a/tags/prjtrellis/index.html b/tags/prjtrellis/index.html new file mode 100644 index 00000000..75b356a8 --- /dev/null +++ b/tags/prjtrellis/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + prjtrellis + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/prjtrellis/index.xml b/tags/prjtrellis/index.xml new file mode 100644 index 00000000..6aa27f5d --- /dev/null +++ b/tags/prjtrellis/index.xml @@ -0,0 +1,35 @@ + + + + prjtrellis on VHDL News + https://vhdl.github.io/news/tags/prjtrellis/ + Recent content in prjtrellis on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tags/programming/index.html b/tags/programming/index.html new file mode 100644 index 00000000..3082fd53 --- /dev/null +++ b/tags/programming/index.html @@ -0,0 +1,226 @@ + + + + + + + + + + + + VHDL News + + | + + programming + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + +
    + + #10 + 1 + 0 + + · + 2020/09/01 + + + · + tags: learning, fpga, programming + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/programming/index.xml b/tags/programming/index.xml new file mode 100644 index 00000000..89bb3466 --- /dev/null +++ b/tags/programming/index.xml @@ -0,0 +1,32 @@ + + + + programming on VHDL News + https://vhdl.github.io/news/tags/programming/ + Recent content in programming on VHDL News + Hugo -- gohugo.io + Fri, 09 Oct 2020 08:48:50 +0000 + + + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + Learning FPGA programming, key points for a software developer + https://vhdl.github.io/news/articles/10/ + Tue, 01 Sep 2020 16:45:34 +0000 + + https://vhdl.github.io/news/articles/10/ + dev.to/targeted Learning FPGA programming, key points for a software developer (part 1, the time) Learning FPGA programming, key points for a software developer (part 2, registered logic) Learning FPGA programming, key points for a software developer (part 3, code patterns and inferred behavior) + + + + \ No newline at end of file diff --git a/tags/psl/index.html b/tags/psl/index.html new file mode 100644 index 00000000..6e893320 --- /dev/null +++ b/tags/psl/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + psl + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/psl/index.xml b/tags/psl/index.xml new file mode 100644 index 00000000..f7807fe2 --- /dev/null +++ b/tags/psl/index.xml @@ -0,0 +1,35 @@ + + + + psl on VHDL News + https://vhdl.github.io/news/tags/psl/ + Recent content in psl on VHDL News + Hugo -- gohugo.io + Mon, 30 Nov 2020 19:50:15 +0000 + + + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/pwm/index.html b/tags/pwm/index.html new file mode 100644 index 00000000..5e578d72 --- /dev/null +++ b/tags/pwm/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + PWM + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/pwm/index.xml b/tags/pwm/index.xml new file mode 100644 index 00000000..493fc067 --- /dev/null +++ b/tags/pwm/index.xml @@ -0,0 +1,24 @@ + + + + PWM on VHDL News + https://vhdl.github.io/news/tags/pwm/ + Recent content in PWM on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/python/index.html b/tags/python/index.html new file mode 100644 index 00000000..21785c91 --- /dev/null +++ b/tags/python/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + python + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/python/index.xml b/tags/python/index.xml new file mode 100644 index 00000000..e483553c --- /dev/null +++ b/tags/python/index.xml @@ -0,0 +1,23 @@ + + + + python on VHDL News + https://vhdl.github.io/news/tags/python/ + Recent content in python on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 06:09:01 +0000 + + + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + \ No newline at end of file diff --git a/tags/rapidwright/index.html b/tags/rapidwright/index.html new file mode 100644 index 00000000..0006dcd8 --- /dev/null +++ b/tags/rapidwright/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + rapidwright + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/rapidwright/index.xml b/tags/rapidwright/index.xml new file mode 100644 index 00000000..06b2a246 --- /dev/null +++ b/tags/rapidwright/index.xml @@ -0,0 +1,24 @@ + + + + rapidwright on VHDL News + https://vhdl.github.io/news/tags/rapidwright/ + Recent content in rapidwright on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + \ No newline at end of file diff --git a/tags/renode/index.html b/tags/renode/index.html new file mode 100644 index 00000000..55fba0e1 --- /dev/null +++ b/tags/renode/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + renode + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/renode/index.xml b/tags/renode/index.xml new file mode 100644 index 00000000..afae61ec --- /dev/null +++ b/tags/renode/index.xml @@ -0,0 +1,23 @@ + + + + renode on VHDL News + https://vhdl.github.io/news/tags/renode/ + Recent content in renode on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + \ No newline at end of file diff --git a/tags/riscv/index.html b/tags/riscv/index.html new file mode 100644 index 00000000..3750de32 --- /dev/null +++ b/tags/riscv/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + riscv + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/riscv/index.xml b/tags/riscv/index.xml new file mode 100644 index 00000000..cc83d56c --- /dev/null +++ b/tags/riscv/index.xml @@ -0,0 +1,24 @@ + + + + riscv on VHDL News + https://vhdl.github.io/news/tags/riscv/ + Recent content in riscv on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/riviera/index.html b/tags/riviera/index.html new file mode 100644 index 00000000..f39daf3d --- /dev/null +++ b/tags/riviera/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + riviera + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/riviera/index.xml b/tags/riviera/index.xml new file mode 100644 index 00000000..77b1b4f9 --- /dev/null +++ b/tags/riviera/index.xml @@ -0,0 +1,24 @@ + + + + riviera on VHDL News + https://vhdl.github.io/news/tags/riviera/ + Recent content in riviera on VHDL News + Hugo -- gohugo.io + Sat, 22 Aug 2020 20:37:41 +0000 + + + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + \ No newline at end of file diff --git a/tags/schema/index.html b/tags/schema/index.html new file mode 100644 index 00000000..ec0c7493 --- /dev/null +++ b/tags/schema/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + schema + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/schema/index.xml b/tags/schema/index.xml new file mode 100644 index 00000000..eed15b89 --- /dev/null +++ b/tags/schema/index.xml @@ -0,0 +1,24 @@ + + + + schema on VHDL News + https://vhdl.github.io/news/tags/schema/ + Recent content in schema on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + \ No newline at end of file diff --git a/tags/sdc/index.html b/tags/sdc/index.html new file mode 100644 index 00000000..5e0b113a --- /dev/null +++ b/tags/sdc/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + sdc + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/sdc/index.xml b/tags/sdc/index.xml new file mode 100644 index 00000000..146cd9b8 --- /dev/null +++ b/tags/sdc/index.xml @@ -0,0 +1,23 @@ + + + + sdc on VHDL News + https://vhdl.github.io/news/tags/sdc/ + Recent content in sdc on VHDL News + Hugo -- gohugo.io + Thu, 22 Oct 2020 21:52:32 +0000 + + + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + \ No newline at end of file diff --git a/tags/simulation/index.html b/tags/simulation/index.html new file mode 100644 index 00000000..8425dc58 --- /dev/null +++ b/tags/simulation/index.html @@ -0,0 +1,418 @@ + + + + + + + + + + + + VHDL News + + | + + simulation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
    +
    + + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
    + +
    + + + + +
    + +
    + + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/simulation/index.xml b/tags/simulation/index.xml new file mode 100644 index 00000000..539ac361 --- /dev/null +++ b/tags/simulation/index.xml @@ -0,0 +1,86 @@ + + + + simulation on VHDL News + https://vhdl.github.io/news/tags/simulation/ + Recent content in simulation on VHDL News + Hugo -- gohugo.io + Thu, 21 Oct 2021 15:39:55 +0000 + + + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + Create your own VVC for UVVM + https://vhdl.github.io/news/articles/7/ + Wed, 19 Aug 2020 12:13:59 +0000 + + https://vhdl.github.io/news/articles/7/ + + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + \ No newline at end of file diff --git a/tags/smt2/index.html b/tags/smt2/index.html new file mode 100644 index 00000000..bd72b509 --- /dev/null +++ b/tags/smt2/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + smt2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/smt2/index.xml b/tags/smt2/index.xml new file mode 100644 index 00000000..94c0902a --- /dev/null +++ b/tags/smt2/index.xml @@ -0,0 +1,25 @@ + + + + smt2 on VHDL News + https://vhdl.github.io/news/tags/smt2/ + Recent content in smt2 on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 15:23:01 +0000 + + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/soc/index.html b/tags/soc/index.html new file mode 100644 index 00000000..1698db6a --- /dev/null +++ b/tags/soc/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + soc + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/soc/index.xml b/tags/soc/index.xml new file mode 100644 index 00000000..80955a27 --- /dev/null +++ b/tags/soc/index.xml @@ -0,0 +1,24 @@ + + + + soc on VHDL News + https://vhdl.github.io/news/tags/soc/ + Recent content in soc on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/spi/index.html b/tags/spi/index.html new file mode 100644 index 00000000..384ba848 --- /dev/null +++ b/tags/spi/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + SPI + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/spi/index.xml b/tags/spi/index.xml new file mode 100644 index 00000000..6806a175 --- /dev/null +++ b/tags/spi/index.xml @@ -0,0 +1,24 @@ + + + + SPI on VHDL News + https://vhdl.github.io/news/tags/spi/ + Recent content in SPI on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/stream/index.html b/tags/stream/index.html new file mode 100644 index 00000000..b5c2b532 --- /dev/null +++ b/tags/stream/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + stream + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/stream/index.xml b/tags/stream/index.xml new file mode 100644 index 00000000..bc3e1c6c --- /dev/null +++ b/tags/stream/index.xml @@ -0,0 +1,24 @@ + + + + stream on VHDL News + https://vhdl.github.io/news/tags/stream/ + Recent content in stream on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/study/index.html b/tags/study/index.html new file mode 100644 index 00000000..f4d00823 --- /dev/null +++ b/tags/study/index.html @@ -0,0 +1,403 @@ + + + + + + + + + + + + VHDL News + + | + + study + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/study/index.xml b/tags/study/index.xml new file mode 100644 index 00000000..853d84ac --- /dev/null +++ b/tags/study/index.xml @@ -0,0 +1,77 @@ + + + + study on VHDL News + https://vhdl.github.io/news/tags/study/ + Recent content in study on VHDL News + Hugo -- gohugo.io + Wed, 27 Oct 2021 22:36:39 +0000 + + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/svunit/index.html b/tags/svunit/index.html new file mode 100644 index 00000000..cb3741b4 --- /dev/null +++ b/tags/svunit/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + svunit + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/svunit/index.xml b/tags/svunit/index.xml new file mode 100644 index 00000000..75403723 --- /dev/null +++ b/tags/svunit/index.xml @@ -0,0 +1,23 @@ + + + + svunit on VHDL News + https://vhdl.github.io/news/tags/svunit/ + Recent content in svunit on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + \ No newline at end of file diff --git a/tags/symbiyosys/index.html b/tags/symbiyosys/index.html new file mode 100644 index 00000000..0bf67f3b --- /dev/null +++ b/tags/symbiyosys/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + symbiyosys + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/symbiyosys/index.xml b/tags/symbiyosys/index.xml new file mode 100644 index 00000000..72c40d37 --- /dev/null +++ b/tags/symbiyosys/index.xml @@ -0,0 +1,36 @@ + + + + symbiyosys on VHDL News + https://vhdl.github.io/news/tags/symbiyosys/ + Recent content in symbiyosys on VHDL News + Hugo -- gohugo.io + Mon, 23 Nov 2020 09:09:05 +0000 + + + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/synthesis/index.html b/tags/synthesis/index.html new file mode 100644 index 00000000..da5a4db4 --- /dev/null +++ b/tags/synthesis/index.html @@ -0,0 +1,460 @@ + + + + + + + + + + + + VHDL News + + | + + synthesis + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    + +
    + + #19 + 2 + 1 + + · + 2020/10/09 + + + · + tags: lattice, ice40, vhdl, components, synthesis, ghdl-yosys-plugin + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/synthesis/index.xml b/tags/synthesis/index.xml new file mode 100644 index 00000000..1751c233 --- /dev/null +++ b/tags/synthesis/index.xml @@ -0,0 +1,98 @@ + + + + synthesis on VHDL News + https://vhdl.github.io/news/tags/synthesis/ + Recent content in synthesis on VHDL News + Hugo -- gohugo.io + Thu, 21 Oct 2021 15:39:55 +0000 + + + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/cores/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/cores/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/teaching/index.html b/tags/teaching/index.html new file mode 100644 index 00000000..ac024c4f --- /dev/null +++ b/tags/teaching/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + teaching + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/teaching/index.xml b/tags/teaching/index.xml new file mode 100644 index 00000000..224fee74 --- /dev/null +++ b/tags/teaching/index.xml @@ -0,0 +1,23 @@ + + + + teaching on VHDL News + https://vhdl.github.io/news/tags/teaching/ + Recent content in teaching on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/testing/index.html b/tags/testing/index.html new file mode 100644 index 00000000..cb26b754 --- /dev/null +++ b/tags/testing/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + testing + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/testing/index.xml b/tags/testing/index.xml new file mode 100644 index 00000000..f33065c9 --- /dev/null +++ b/tags/testing/index.xml @@ -0,0 +1,23 @@ + + + + testing on VHDL News + https://vhdl.github.io/news/tags/testing/ + Recent content in testing on VHDL News + Hugo -- gohugo.io + Mon, 28 Sep 2020 06:09:01 +0000 + + + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + \ No newline at end of file diff --git a/tags/trng/index.html b/tags/trng/index.html new file mode 100644 index 00000000..2eef2b7c --- /dev/null +++ b/tags/trng/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + TRNG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/trng/index.xml b/tags/trng/index.xml new file mode 100644 index 00000000..1586bc8d --- /dev/null +++ b/tags/trng/index.xml @@ -0,0 +1,24 @@ + + + + TRNG on VHDL News + https://vhdl.github.io/news/tags/trng/ + Recent content in TRNG on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/twi/index.html b/tags/twi/index.html new file mode 100644 index 00000000..bff0f39b --- /dev/null +++ b/tags/twi/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + TWI + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/twi/index.xml b/tags/twi/index.xml new file mode 100644 index 00000000..6c8e331b --- /dev/null +++ b/tags/twi/index.xml @@ -0,0 +1,24 @@ + + + + TWI on VHDL News + https://vhdl.github.io/news/tags/twi/ + Recent content in TWI on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/ucf/index.html b/tags/ucf/index.html new file mode 100644 index 00000000..c5daffbc --- /dev/null +++ b/tags/ucf/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + ucf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/ucf/index.xml b/tags/ucf/index.xml new file mode 100644 index 00000000..12fec8b0 --- /dev/null +++ b/tags/ucf/index.xml @@ -0,0 +1,23 @@ + + + + ucf on VHDL News + https://vhdl.github.io/news/tags/ucf/ + Recent content in ucf on VHDL News + Hugo -- gohugo.io + Thu, 22 Oct 2020 21:52:32 +0000 + + + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + \ No newline at end of file diff --git a/tags/unification/index.html b/tags/unification/index.html new file mode 100644 index 00000000..187afdc3 --- /dev/null +++ b/tags/unification/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + unification + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/unification/index.xml b/tags/unification/index.xml new file mode 100644 index 00000000..50b7a990 --- /dev/null +++ b/tags/unification/index.xml @@ -0,0 +1,24 @@ + + + + unification on VHDL News + https://vhdl.github.io/news/tags/unification/ + Recent content in unification on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + \ No newline at end of file diff --git a/tags/uvm/index.html b/tags/uvm/index.html new file mode 100644 index 00000000..2a3426c4 --- /dev/null +++ b/tags/uvm/index.html @@ -0,0 +1,403 @@ + + + + + + + + + + + + VHDL News + + | + + uvm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/uvm/index.xml b/tags/uvm/index.xml new file mode 100644 index 00000000..0d9bef94 --- /dev/null +++ b/tags/uvm/index.xml @@ -0,0 +1,77 @@ + + + + uvm on VHDL News + https://vhdl.github.io/news/tags/uvm/ + Recent content in uvm on VHDL News + Hugo -- gohugo.io + Wed, 27 Oct 2021 22:36:39 +0000 + + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/uvvm/index.html b/tags/uvvm/index.html new file mode 100644 index 00000000..73f092c2 --- /dev/null +++ b/tags/uvvm/index.html @@ -0,0 +1,511 @@ + + + + + + + + + + + + VHDL News + + | + + uvvm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
    +
    + + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/uvvm/index.xml b/tags/uvvm/index.xml new file mode 100644 index 00000000..17eb8c53 --- /dev/null +++ b/tags/uvvm/index.xml @@ -0,0 +1,105 @@ + + + + uvvm on VHDL News + https://vhdl.github.io/news/tags/uvvm/ + Recent content in uvvm on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + Create your own VVC for UVVM + https://vhdl.github.io/news/articles/7/ + Wed, 19 Aug 2020 12:13:59 +0000 + + https://vhdl.github.io/news/articles/7/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/vasg/index.html b/tags/vasg/index.html new file mode 100644 index 00000000..39d2c080 --- /dev/null +++ b/tags/vasg/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + VASG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/vasg/index.xml b/tags/vasg/index.xml new file mode 100644 index 00000000..8173fc03 --- /dev/null +++ b/tags/vasg/index.xml @@ -0,0 +1,24 @@ + + + + VASG on VHDL News + https://vhdl.github.io/news/tags/vasg/ + Recent content in VASG on VHDL News + Hugo -- gohugo.io + Fri, 13 Nov 2020 14:39:38 +0000 + + + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + \ No newline at end of file diff --git a/tags/verification/index.html b/tags/verification/index.html new file mode 100644 index 00000000..a1a92982 --- /dev/null +++ b/tags/verification/index.html @@ -0,0 +1,694 @@ + + + + + + + + + + + + VHDL News + + | + + verification + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
    +
    + + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
    + +
    + + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    +
    + + + Create your own VVC for UVVM + + @Ahmad-Zaklouta +
    +
    + + #7 + 1 + 6 + + · + 2020/08/19 + + + · + tags: verification, simulation, uvvm + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #4 + 4 + 0 + + · + 2020/08/18 + + + · + tags: verification, simulation, osvvm, mentor + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/verification/index.xml b/tags/verification/index.xml new file mode 100644 index 00000000..942e64b5 --- /dev/null +++ b/tags/verification/index.xml @@ -0,0 +1,154 @@ + + + + verification on VHDL News + https://vhdl.github.io/news/tags/verification/ + Recent content in verification on VHDL News + Hugo -- gohugo.io + Sat, 30 Oct 2021 02:23:50 +0000 + + + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + Create your own VVC for UVVM + https://vhdl.github.io/news/articles/7/ + Wed, 19 Aug 2020 12:13:59 +0000 + + https://vhdl.github.io/news/articles/7/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + Addressing VHDL Verification Challenges with OSVVM + https://vhdl.github.io/news/articles/4/ + Tue, 18 Aug 2020 15:03:07 +0000 + + https://vhdl.github.io/news/articles/4/ + An introduction to the capabilities of OSVVM utility and verification component libraries. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/verilator/index.html b/tags/verilator/index.html new file mode 100644 index 00000000..9d4fd579 --- /dev/null +++ b/tags/verilator/index.html @@ -0,0 +1,235 @@ + + + + + + + + + + + + VHDL News + + | + + verilator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/verilator/index.xml b/tags/verilator/index.xml new file mode 100644 index 00000000..360e8420 --- /dev/null +++ b/tags/verilator/index.xml @@ -0,0 +1,32 @@ + + + + verilator on VHDL News + https://vhdl.github.io/news/tags/verilator/ + Recent content in verilator on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/verilog/index.html b/tags/verilog/index.html new file mode 100644 index 00000000..a26c411e --- /dev/null +++ b/tags/verilog/index.html @@ -0,0 +1,226 @@ + + + + + + + + + + + + VHDL News + + | + + verilog + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/verilog/index.xml b/tags/verilog/index.xml new file mode 100644 index 00000000..994d907f --- /dev/null +++ b/tags/verilog/index.xml @@ -0,0 +1,35 @@ + + + + verilog on VHDL News + https://vhdl.github.io/news/tags/verilog/ + Recent content in verilog on VHDL News + Hugo -- gohugo.io + Wed, 02 Dec 2020 05:34:29 +0000 + + + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + \ No newline at end of file diff --git a/tags/vhdl-2019/index.html b/tags/vhdl-2019/index.html new file mode 100644 index 00000000..e85a7e8a --- /dev/null +++ b/tags/vhdl-2019/index.html @@ -0,0 +1,217 @@ + + + + + + + + + + + + VHDL News + + | + + vhdl-2019 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
    +
    + + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
    + +
    + + + + +
    + +
    + + #8 + 3 + 0 + + · + 2020/08/22 + + + · + tags: vhdl-2019, verification, eda-playground, riviera + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/vhdl-2019/index.xml b/tags/vhdl-2019/index.xml new file mode 100644 index 00000000..5a67277d --- /dev/null +++ b/tags/vhdl-2019/index.xml @@ -0,0 +1,33 @@ + + + + vhdl-2019 on VHDL News + https://vhdl.github.io/news/tags/vhdl-2019/ + Recent content in vhdl-2019 on VHDL News + Hugo -- gohugo.io + Fri, 28 Aug 2020 17:27:03 +0000 + + + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + First VHDL-2019 examples on EDA playground + https://vhdl.github.io/news/past/8/ + Sat, 22 Aug 2020 20:37:41 +0000 + + https://vhdl.github.io/news/past/8/ + First examples of VHDL-2019 on EDA playground supported by Riviera Pro: + some of the new features of the std.env package private and alias in protected types + + + + \ No newline at end of file diff --git a/tags/vhdl/index.html b/tags/vhdl/index.html new file mode 100644 index 00000000..034f4655 --- /dev/null +++ b/tags/vhdl/index.html @@ -0,0 +1,409 @@ + + + + + + + + + + + + VHDL News + + | + + vhdl + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + +
    + + #33 + 0 + 0 + + · + 2021/10/30 + + + · + tags: vhdl, verification, methodology, osvvm, uvvm, unification + +
    + +
    + + + + +
    + +
    + + #31 + 0 + 0 + + · + 2021/10/21 + + + · + tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl + +
    + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + VHDL needs you! + + @umarcor +
    +
    + + #22 + 2 + 0 + + · + 2020/11/13 + + + · + tags: vhdl, LRM, VASG, LaTeX, GitLab + +
    + +
    + + + + +
    + +
    + + #19 + 2 + 1 + + · + 2020/10/09 + + + · + tags: lattice, ice40, vhdl, components, synthesis, ghdl-yosys-plugin + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/vhdl/index.xml b/tags/vhdl/index.xml new file mode 100644 index 00000000..c1f978bf --- /dev/null +++ b/tags/vhdl/index.xml @@ -0,0 +1,86 @@ + + + + vhdl on VHDL News + https://vhdl.github.io/news/tags/vhdl/ + Recent content in vhdl on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + OSVVM & UVVM: Differences and Unification + https://vhdl.github.io/news/articles/33/ + Sat, 30 Oct 2021 02:23:50 +0000 + + https://vhdl.github.io/news/articles/33/ + As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM. +At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM. + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + VHDL needs you! + https://vhdl.github.io/news/past/22/ + Fri, 13 Nov 2020 14:39:38 +0000 + + https://vhdl.github.io/news/past/22/ + Are you familiar or experienced with LaTeX? In preparation for the next revision, the VHDL Analysis and Standarisation Group (VASG) decided to migrate the sources of the IEEE Std 1076-2019 Language Reference Manual (LRM) from a closed source binary file based tool (Adobe FrameMaker) to an open source text based solution (LaTeX); together with using a forge (gitlab.com/IEEE-P1076) for coordinating and keeping track of the modifications. +Although many people think that the standards community is driven by vendors, that is a mistake noawadays. + + + + What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? + https://vhdl.github.io/news/past/19/ + Fri, 09 Oct 2020 14:54:20 +0000 + + https://vhdl.github.io/news/past/19/ + + + + + \ No newline at end of file diff --git a/tags/vhdlwhiz/index.html b/tags/vhdlwhiz/index.html new file mode 100644 index 00000000..aa412272 --- /dev/null +++ b/tags/vhdlwhiz/index.html @@ -0,0 +1,184 @@ + + + + + + + + + + + + VHDL News + + | + + vhdlwhiz + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + What’s new in VHDL-2019 - VHDLwhiz + + @tmeissner +
    +
    + + #9 + 2 + 0 + + · + 2020/08/28 + + + · + tags: vhdl-2019, ieee, verification, vhdlwhiz + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/vhdlwhiz/index.xml b/tags/vhdlwhiz/index.xml new file mode 100644 index 00000000..6b0c767b --- /dev/null +++ b/tags/vhdlwhiz/index.xml @@ -0,0 +1,23 @@ + + + + vhdlwhiz on VHDL News + https://vhdl.github.io/news/tags/vhdlwhiz/ + Recent content in vhdlwhiz on VHDL News + Hugo -- gohugo.io + Fri, 28 Aug 2020 17:27:03 +0000 + + + + + + What’s new in VHDL-2019 - VHDLwhiz + https://vhdl.github.io/news/articles/9/ + Fri, 28 Aug 2020 17:27:03 +0000 + + https://vhdl.github.io/news/articles/9/ + + + + + \ No newline at end of file diff --git a/tags/videos/index.html b/tags/videos/index.html new file mode 100644 index 00000000..7b16ffd5 --- /dev/null +++ b/tags/videos/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + videos + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/videos/index.xml b/tags/videos/index.xml new file mode 100644 index 00000000..a6fd16de --- /dev/null +++ b/tags/videos/index.xml @@ -0,0 +1,23 @@ + + + + videos on VHDL News + https://vhdl.github.io/news/tags/videos/ + Recent content in videos on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/vunit/index.html b/tags/vunit/index.html new file mode 100644 index 00000000..37e8b829 --- /dev/null +++ b/tags/vunit/index.html @@ -0,0 +1,487 @@ + + + + + + + + + + + + VHDL News + + | + + vunit + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/vunit/index.xml b/tags/vunit/index.xml new file mode 100644 index 00000000..c30b631d --- /dev/null +++ b/tags/vunit/index.xml @@ -0,0 +1,95 @@ + + + + vunit on VHDL News + https://vhdl.github.io/news/tags/vunit/ + Recent content in vunit on VHDL News + Hugo -- gohugo.io + Wed, 27 Oct 2021 22:36:39 +0000 + + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + Combining VUnit tests with cocotb components + https://vhdl.github.io/news/show/16/ + Mon, 28 Sep 2020 06:09:01 +0000 + + https://vhdl.github.io/news/show/16/ + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 4) + https://vhdl.github.io/news/past/14/ + Fri, 18 Sep 2020 00:54:44 +0000 + + https://vhdl.github.io/news/past/14/ + The fourth part was published: + In part 3 of our GitHub study we presented the number of users on GitHub doing their VHDL verification with one or more of the analyzed frameworks: VUnit, OSVVM, UVVM, UVM, and cocotb. The results, especially that for the professional users, came as a bit of a surprise which lead to interesting discussions in the comments of the post. Can a study of professional users on GitHub really say something about professional practices behind company walls? + + + + What Can GitHub Tell Us About the HDL Industry? (Part 3) + https://vhdl.github.io/news/past/11/ + Wed, 02 Sep 2020 21:33:51 +0000 + + https://vhdl.github.io/news/past/11/ + The third part was published: + In the second article about our GitHub study we analyzed the popularity of standard verification frameworks in VHDL repositories. This time we extend on those findings by analyzing the Git history of those repositories to find the number of users and examine how that changed over time. + +Looking at users rather than repositories doesn’t have a drastic effect to the overall picture. VUnit is still the most commonly used verification framework on GitHub. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 2) + https://vhdl.github.io/news/past/6/ + Wed, 19 Aug 2020 07:38:22 +0000 + + https://vhdl.github.io/news/past/6/ + The second article/chapter was published: + In the first article about our GitHub study we focused on the presence of tests in HDL repositories. This time we&rsquo;re looking into what standard verification frameworks are being used. The main conclusions are that: + VUnit is the most used verification framework for professional and academic VHDL repositories on GitHub. Most repositories using more than one framework use VUnit and OSVVM. More than half of the repositories using OSVVM also use VUnit. + + + + What Can GitHub Tell Us About the HDL Industry? (Part 1) + https://vhdl.github.io/news/past/3/ + Tue, 18 Aug 2020 14:51:44 +0000 + + https://vhdl.github.io/news/past/3/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + What Can GitHub Tell Us About the HDL Industry? + https://vhdl.github.io/news/articles/2/ + Tue, 18 Aug 2020 14:51:02 +0000 + + https://vhdl.github.io/news/articles/2/ + During the last few years we’ve had many discussions within the VUnit community where we failed to reach a conclusion because we don’t fully know how people at large are working with design and verification. Some questions arise frequently: + How is verification done? What frameworks are used? Are they used together? What are the dominant coding styles? Would people align to those if they knew? Knowing these would help the development of VUnit [1]; where do we put our efforts? + + + + \ No newline at end of file diff --git a/tags/wilson/index.html b/tags/wilson/index.html new file mode 100644 index 00000000..7d0e1fbd --- /dev/null +++ b/tags/wilson/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + wilson + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/wilson/index.xml b/tags/wilson/index.xml new file mode 100644 index 00000000..aff3d9ae --- /dev/null +++ b/tags/wilson/index.xml @@ -0,0 +1,24 @@ + + + + wilson on VHDL News + https://vhdl.github.io/news/tags/wilson/ + Recent content in wilson on VHDL News + Hugo -- gohugo.io + Wed, 27 Oct 2021 22:36:39 +0000 + + + + + + What Can GitHub Tell Us About the HDL Industry? (Part 5) + https://vhdl.github.io/news/past/32/ + Wed, 27 Oct 2021 22:36:39 +0000 + + https://vhdl.github.io/news/past/32/ + The fifth part was published, titled Wilson Study Comparison: + Part 5 of this series compares our GitHub-based research on the verification frameworks used for VHDL designs with the findings in the Wilson Research Group functional verification study. Our analysis shows that the derived GitHub data confirms the Wilson study results for UVM, OSVVM, and UVVM but it also shows that the Wilson study misses a large part of the overall picture by not including all commonly used frameworks. + + + + \ No newline at end of file diff --git a/tags/windows/index.html b/tags/windows/index.html new file mode 100644 index 00000000..d411068d --- /dev/null +++ b/tags/windows/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + Windows + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/windows/index.xml b/tags/windows/index.xml new file mode 100644 index 00000000..f069db54 --- /dev/null +++ b/tags/windows/index.xml @@ -0,0 +1,23 @@ + + + + Windows on VHDL News + https://vhdl.github.io/news/tags/windows/ + Recent content in Windows on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/wishbone/index.html b/tags/wishbone/index.html new file mode 100644 index 00000000..49d14469 --- /dev/null +++ b/tags/wishbone/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + wishbone + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/wishbone/index.xml b/tags/wishbone/index.xml new file mode 100644 index 00000000..f4adaeea --- /dev/null +++ b/tags/wishbone/index.xml @@ -0,0 +1,24 @@ + + + + wishbone on VHDL News + https://vhdl.github.io/news/tags/wishbone/ + Recent content in wishbone on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:46:32 +0000 + + + + + + NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL + https://vhdl.github.io/news/cores/36/ + Sat, 05 Feb 2022 15:46:32 +0000 + + https://vhdl.github.io/news/cores/36/ + The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based on the RISC-V NEORV32 CPU. The project is intended as auxiliary processor in larger SoC designs or as ready-to-go stand-alone custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. +Special focus is paid on execution safety to provide defined and predictable behavior at any time. Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions are executed. + + + + \ No newline at end of file diff --git a/tags/workshop/index.html b/tags/workshop/index.html new file mode 100644 index 00000000..b9af56b9 --- /dev/null +++ b/tags/workshop/index.html @@ -0,0 +1,226 @@ + + + + + + + + + + + + VHDL News + + | + + workshop + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/workshop/index.xml b/tags/workshop/index.xml new file mode 100644 index 00000000..40adca09 --- /dev/null +++ b/tags/workshop/index.xml @@ -0,0 +1,36 @@ + + + + workshop on VHDL News + https://vhdl.github.io/news/tags/workshop/ + Recent content in workshop on VHDL News + Hugo -- gohugo.io + Thu, 21 Oct 2021 15:39:55 +0000 + + + + + + Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry + https://vhdl.github.io/news/articles/31/ + Thu, 21 Oct 2021 15:39:55 +0000 + + https://vhdl.github.io/news/articles/31/ + @rodrigomelo9: + Happy to help again in an Abdus Salam International Centre for Theoretical Physics (ICTP) workshop talking about #VHDL and #FOSS for #FPGA + Links to slides (and coming soon the recording) of the mini crash course about VHDL: + http://indico.ictp.it/event/9644/session/2/contribution/11/material/slides/ http://indico.ictp.it/event/9644/session/3/contribution/14/material/slides/ + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + \ No newline at end of file diff --git a/tags/xdc/index.html b/tags/xdc/index.html new file mode 100644 index 00000000..a0f58bca --- /dev/null +++ b/tags/xdc/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + xdc + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    +
    + + + + diff --git a/tags/xdc/index.xml b/tags/xdc/index.xml new file mode 100644 index 00000000..a637c135 --- /dev/null +++ b/tags/xdc/index.xml @@ -0,0 +1,23 @@ + + + + xdc on VHDL News + https://vhdl.github.io/news/tags/xdc/ + Recent content in xdc on VHDL News + Hugo -- gohugo.io + Thu, 22 Oct 2020 21:52:32 +0000 + + + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + \ No newline at end of file diff --git a/tags/xilinx/index.html b/tags/xilinx/index.html new file mode 100644 index 00000000..11aa7c4e --- /dev/null +++ b/tags/xilinx/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + xilinx + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/xilinx/index.xml b/tags/xilinx/index.xml new file mode 100644 index 00000000..a568df87 --- /dev/null +++ b/tags/xilinx/index.xml @@ -0,0 +1,24 @@ + + + + xilinx on VHDL News + https://vhdl.github.io/news/tags/xilinx/ + Recent content in xilinx on VHDL News + Hugo -- gohugo.io + Sat, 05 Feb 2022 15:33:11 +0000 + + + + + + CHIPS Alliance Announces Xilinx as its Newest Member + https://vhdl.github.io/news/past/35/ + Sat, 05 Feb 2022 15:33:11 +0000 + + https://vhdl.github.io/news/past/35/ + Xilinx to continue to drive forward open source FPGA innovation +SAN FRANCISCO, Feb. 3, 2022 – CHIPS Alliance, the leading consortium advancing common and open hardware for interfaces, processors and systems, today announced that Xilinx, Inc. (NASDAQ: XLNX) has joined the CHIPS Alliance organization. Xilinx is a leader in adaptive computing, providing highly-flexible programmable silicon, enabled by a suite of advanced software and tools to drive rapid innovation across a wide span of industries and technologies – from consumer to cars to the cloud. + + + + \ No newline at end of file diff --git a/tags/yices2/index.html b/tags/yices2/index.html new file mode 100644 index 00000000..dc3c16b6 --- /dev/null +++ b/tags/yices2/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + yices2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/yices2/index.xml b/tags/yices2/index.xml new file mode 100644 index 00000000..9a5ddd7c --- /dev/null +++ b/tags/yices2/index.xml @@ -0,0 +1,23 @@ + + + + yices2 on VHDL News + https://vhdl.github.io/news/tags/yices2/ + Recent content in yices2 on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + \ No newline at end of file diff --git a/tags/yosys/index.html b/tags/yosys/index.html new file mode 100644 index 00000000..13f20d6d --- /dev/null +++ b/tags/yosys/index.html @@ -0,0 +1,436 @@ + + + + + + + + + + + + VHDL News + + | + + yosys + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + How to convert vhdl to other formats + + @tmeissner +
    +
    + + #17 + 3 + 0 + + · + 2020/09/28 + + + · + tags: ghdl, ghdl-yosys-plugin, yosys, synthesis, verilog, btor2, smt2, edif, firrtl + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tags/yosys/index.xml b/tags/yosys/index.xml new file mode 100644 index 00000000..efb785d1 --- /dev/null +++ b/tags/yosys/index.xml @@ -0,0 +1,86 @@ + + + + yosys on VHDL News + https://vhdl.github.io/news/tags/yosys/ + Recent content in yosys on VHDL News + Hugo -- gohugo.io + Wed, 20 Oct 2021 01:13:27 +0000 + + + + + + Open Source Verification Bundle (OSVB) + https://vhdl.github.io/news/show/30/ + Wed, 20 Oct 2021 01:13:27 +0000 + + https://vhdl.github.io/news/show/30/ + OSVB gathers the most popular open source verification Frameworks and Methodologies for VHDL and System Verilog: cocotb, OSVVM, SVUnit, UVVM, VUnit. Each of them was created and is maintained by different groups of people, in different contexts and with different backgrounds. All evolved into standalonish solutions involving build and test execution helpers, along with verification components for standard interfaces. However, each project prioritised certain features, while others didn’t receive so much care. + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Mixed HDL on Fomu, with GHDL and Yosys + https://vhdl.github.io/news/articles/26/ + Wed, 02 Dec 2020 05:34:29 +0000 + + https://vhdl.github.io/news/articles/26/ + Section Mixed HDL on Fomu of the FPGA Tomu Workshop contains Makefile based examples for synthesising mixed language (VHDL and Verilog) designs using open source tooling. Find sources at im-tomu/fomu-workshop: mixed-hdl/blink. +NOTE: The workshop uses im-tomu/fomu-toolchain, which is based on open-tool-forge/fpga-toolchain. Hence, makefiles expect ghdl-yosys-plugin to be built into Yosys. Other packaging solutions can be used too, but using ghdl-yosys-plugin as a module requires adding -m ghdl to the yosys call in the Makefile. + + + + VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect + https://vhdl.github.io/news/cores/24/ + Mon, 30 Nov 2020 19:50:15 +0000 + + https://vhdl.github.io/news/cores/24/ + Cryptography IP-cores &amp; tests written in VHDL / Verilog. +The components in this repository are not intended as productional code. They serve as proof of concept, for example how to implement a pipeline using only (local) variables instead of (global) signals. Furthermore they were used how to do a VHDL-to-Verilog conversion for learning purposes. +The testbenches to verify AES and CTR-AES are examples how useful GHDLs VHPIdirect is. They use openSSL as reference models to check the correctness of the VHDL implementation. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + How to convert vhdl to other formats + https://vhdl.github.io/news/past/17/ + Mon, 28 Sep 2020 15:23:01 +0000 + + https://vhdl.github.io/news/past/17/ + I made a list of &ldquo;How to convert vhdl to &hellip;&rdquo; in hopes it gets indexed by the search engines. All commands are similar, they are listed so common search phrases get indexed. +The format translation can be done with the yosys&rsquo;s write_*commands. Therefore, in order to them to work, ghdl, yosys and ghdl-yosys-plugin have to be installed (make sure they are updated). +In the following examples, ghdl is being used, in what I believe, is the most straightforward way. + + + + Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) + https://vhdl.github.io/news/show/5/ + Tue, 18 Aug 2020 16:31:26 +0000 + + https://vhdl.github.io/news/show/5/ + A collection of examples of using PSL (Property Specification Language) for functional and formal verification of VHDL designs with GHDL (and Yosys / SymbiYosys). +This is a project with the purpose to get a current state of PSL implementation in GHDL. It probably will find unsupported PSL features, incorrect implemented features or simple bugs like GHDL crashs. It is also intended for experiments with PSL when learning the language. You can play around with the examples, as they are pretty simple. + + + + \ No newline at end of file diff --git a/tags/youtube/index.html b/tags/youtube/index.html new file mode 100644 index 00000000..60b3503e --- /dev/null +++ b/tags/youtube/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + youtube + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + +
    +
    + + + SusanaCanel - Proyectos VHDL + + @umarcor +
    + + +
    + + + + +
    +
    + + + + diff --git a/tags/youtube/index.xml b/tags/youtube/index.xml new file mode 100644 index 00000000..34ae9d01 --- /dev/null +++ b/tags/youtube/index.xml @@ -0,0 +1,23 @@ + + + + youtube on VHDL News + https://vhdl.github.io/news/tags/youtube/ + Recent content in youtube on VHDL News + Hugo -- gohugo.io + Fri, 18 Sep 2020 03:59:05 +0000 + + + + + + SusanaCanel - Proyectos VHDL + https://vhdl.github.io/news/articles/15/ + Fri, 18 Sep 2020 03:59:05 +0000 + + https://vhdl.github.io/news/articles/15/ + Youtube channel SusanaCanel contains 100+ videos (in spanish) about learning VHDL. The GitHub repository contains the sources used in the videos. + + + + \ No newline at end of file diff --git a/tags/z3/index.html b/tags/z3/index.html new file mode 100644 index 00000000..ef429fec --- /dev/null +++ b/tags/z3/index.html @@ -0,0 +1,193 @@ + + + + + + + + + + + + VHDL News + + | + + z3 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + +
    + + + + diff --git a/tags/z3/index.xml b/tags/z3/index.xml new file mode 100644 index 00000000..18efde14 --- /dev/null +++ b/tags/z3/index.xml @@ -0,0 +1,26 @@ + + + + z3 on VHDL News + https://vhdl.github.io/news/tags/z3/ + Recent content in z3 on VHDL News + Hugo -- gohugo.io + Mon, 23 Nov 2020 09:09:05 +0000 + + + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + \ No newline at end of file diff --git a/tools/18/index.html b/tools/18/index.html new file mode 100644 index 00000000..9a7c04b1 --- /dev/null +++ b/tools/18/index.html @@ -0,0 +1,198 @@ + + + + + + + + + + + + VHDL News + + | + + Docker dashboard (on Windows and Mac OS) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    + +
    +
    + + + + + + + diff --git a/tools/20/index.html b/tools/20/index.html new file mode 100644 index 00000000..6448bbff --- /dev/null +++ b/tools/20/index.html @@ -0,0 +1,201 @@ + + + + + + + + + + + + VHDL News + + | + + Structured constraint files for HDL designs targeting FPGA boards + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + +
    +
    +

    Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources.

    +
    + +
    +
    + + + + + + + diff --git a/tools/21/index.html b/tools/21/index.html new file mode 100644 index 00000000..c6642322 --- /dev/null +++ b/tools/21/index.html @@ -0,0 +1,211 @@ + + + + + + + + + + + + VHDL News + + | + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools

    +

    Speakers: Anton Blanchard, IBM & Tristan Gingold, CERN

    +

    Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux.

    +

    From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs.

    +

    This presentation will give an overview of the Microwatt core. It will also include an overview of GHDL and how it can be used for both simulation and synthesis of a medium complexity VHDL project.

    +
    + +
    +
    + + + + + + + diff --git a/tools/23/index.html b/tools/23/index.html new file mode 100644 index 00000000..a7721831 --- /dev/null +++ b/tools/23/index.html @@ -0,0 +1,212 @@ + + + + + + + + + + + + VHDL News + + | + + Building and deploying container images for open source EDA + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    hdl/containers: README.md

    +

    This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines.

    +
    +
    +

    ghdl/docker: DEPRECATED.md

    +

    Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository.

    +
    + +
    +
    + + + + + + + diff --git a/tools/27/index.html b/tools/27/index.html new file mode 100644 index 00000000..ed2b8a5c --- /dev/null +++ b/tools/27/index.html @@ -0,0 +1,201 @@ + + + + + + + + + + + + VHDL News + + | + + MINGW-packages for Electronic Design Automation (EDA) + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + + +
    + + + +
    + + + +
    +
    +

    This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl.github.io/MINGW-packages.

    +
    + +
    +
    + + + + + + + diff --git a/tools/index.html b/tools/index.html new file mode 100644 index 00000000..53b04335 --- /dev/null +++ b/tools/index.html @@ -0,0 +1,364 @@ + + + + + + + + + + + + VHDL News + + | + + Tools + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + +

    References to projects that provide tooling around VHDL or which are otherwise useful in the context of open source VHDL design and documentation.

    +
    + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + + + +
    + + + + +
    + +
    + + #20 + 1 + 0 + + · + 2020/10/22 + + + + + hdl/constraints + + + + · + tags: fpga, synthesis, xdc, lpf, pcf, ucf, sdc + +
    + +
    + + + + +
    + + + +
    + + + + +
    +
    + + + + diff --git a/tools/index.xml b/tools/index.xml new file mode 100644 index 00000000..0b2d5d85 --- /dev/null +++ b/tools/index.xml @@ -0,0 +1,65 @@ + + + + Tools on VHDL News + https://vhdl.github.io/news/tools/ + Recent content in Tools on VHDL News + Hugo -- gohugo.io + Tue, 19 Jan 2021 06:41:45 +0000 + + + + + + MINGW-packages for Electronic Design Automation (EDA) + https://vhdl.github.io/news/tools/27/ + Tue, 19 Jan 2021 06:41:45 +0000 + + https://vhdl.github.io/news/tools/27/ + This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl. + + + + Building and deploying container images for open source EDA + https://vhdl.github.io/news/tools/23/ + Mon, 23 Nov 2020 09:09:05 +0000 + + https://vhdl.github.io/news/tools/23/ + hdl/containers: README.md +This repository contains scripts and GitHub Actions (GHA) YAML workflows for building, testing and deploying OCI images (aka Docker images) including open source EDA tooling. All of them are pushed to hub.docker.com/u/hdlc. See hdl.github.io/containers for further details and contributing guidelines. + ghdl/docker: DEPRECATED.md +Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. + + + + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools + https://vhdl.github.io/news/tools/21/ + Tue, 27 Oct 2020 06:45:43 +0000 + + https://vhdl.github.io/news/tools/21/ + Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools +Speakers: Anton Blanchard, IBM &amp; Tristan Gingold, CERN +Microwatt is a 64 bit POWER OpenISA soft processor, written in VHDL. Over time it has grown from supporting Micropython, to Zephyr and most recently Linux. +From its original inception, Microwatt has relied heavily on GHDL, the Open Source VHDL simulator. GHDL has very recently added synthesis support and together with Yosys and Nextpnr allows for a completely open source toolchain for FPGAs. + + + + Structured constraint files for HDL designs targeting FPGA boards + https://vhdl.github.io/news/tools/20/ + Thu, 22 Oct 2020 21:52:32 +0000 + + https://vhdl.github.io/news/tools/20/ + Any HDL design targeting FPGA boards needs constraint files in a vendor/tool specific format. Constraints are typically tied to the board and the interfaces, but not to the actual design. Therefore, copying them is inefficient and increases the maintenance burden of projects including multiple designs to be tested on several boards. This repository provides constraint definitions in a standardised and distributed format, fot decoupling board details from design sources. + + + + Docker dashboard (on Windows and Mac OS) + https://vhdl.github.io/news/tools/18/ + Fri, 09 Oct 2020 08:48:50 +0000 + + https://vhdl.github.io/news/tools/18/ + + + + + \ No newline at end of file diff --git a/welcome/index.html b/welcome/index.html new file mode 100644 index 00000000..9801b975 --- /dev/null +++ b/welcome/index.html @@ -0,0 +1,187 @@ + + + + + + + + + + + + VHDL News + + | + + Welcome to VHDL News + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    + +
    +

    Welcome to VHDL News

    +

    Hacker News VHDL News is a bit different from other community sites, and we’d appreciate it if you’d take a minute to read the following as well as the guidelines.

    +

    The community of hardware designers is small, open source HDL is a niche compared to other technology communities, and open source VHDL is a subset of the latter. Users/developers are typically lacking time to write proper docs and/or detailed articles to let their projects be known. When they do, it is scattered in different sites/channels, so communication is diffusse although strong binds between users and projects exist. However, most of them do edit sources and/or reply to issues in GitHub almost daily.

    +

    VN is an experiment. Our hypothesis is that we can build bridges in the community by providing a hub that allows to participate with minimum overhead. Hence, VN is essentially GitHub Issues on steroids. By creating a new issue, users can share references/links to News, Articles, Tools and/or Cores, or they can Show their work in progress. Depending on the type of content, some metadata can be provided. Then, other users can react or comment in the issue, can share references to specific comments, can cross-ref discussions, etc. by using the regular GitHub features they are used to. A GitHub Actions workflow is used for retrieving the content from issues and for providing a web site with more details and alternative/additional sorting strategies.

    +

    Essentially there are two rules here: don’t post or upvote crap links, and don’t be rude or dumb in comment threads.

    +

    A crap link is one that’s only superficially interesting. Stories on HN VN don’t have to be about hacking VHDL , because good hackers hardware designers aren’t only interested in hacking VHDL , but they do have to be deeply interesting.

    +

    What does “deeply interesting” mean? It means stuff that teaches you about the world. A story about a robbery, for example, would probably not be deeply interesting. But if this robbery was a sign of some bigger, underlying trend, perhaps it could be.

    +

    The worst thing to post or upvote is something that’s intensely but shallowly interesting: gossip about famous people, funny or cute pictures or videos, partisan political articles, etc. If you let that sort of thing onto a news site, it will push aside the deeply interesting stuff, which tends to be quieter.

    +

    The most important principle on HN VN , though, is to make thoughtful comments. Thoughtful in both senses: civil and substantial.

    +

    The test for substance is a lot like it is for links. Does your comment teach us anything? There are two ways to do that: by pointing out some consideration that hadn’t previously been mentioned, and by giving more information about the topic, perhaps from personal experience. Whereas comments like “LOL!” or worse still, “That’s retarded!” teach us nothing.

    +

    Empty comments can be ok if they’re positive. There’s nothing wrong with submitting a comment saying just “Thanks.” What we especially discourage are comments that are empty and negative—comments that are mere name-calling.

    +

    Which brings us to the most important principle on HN VN : civility. Since long before the web, the anonymity of online conversation has lured people into being much ruder than they’d be in person. So the principle here is: don’t say anything you wouldn’t say face to face. This doesn’t mean you can’t disagree. But disagree without calling names. If you’re right, your argument will be more convincing without them.

    +

    Guidelines

    +

    There are five mutually exclusive categories:

    +
      +
    • News: main category for content that is not specific enough to fit in the other categories.
    • +
    • Show: equivalent to Show HN.
    • +
    • Articles: references to elaborated readings such as docs, papers, books, guides, wikis, etc.
    • +
    • Tools: references to projects that provide tooling around VHDL or which are otherwise useful in the context of open source VHDL design and documentation.
    • +
    • Cores: similar to opencores.org, freerangefactory.org/core or librecores.org, yet another attempt at building a registry of open source VHDL cores, simulation models and implementation constraints.
    • +
    +

    When creating a new issue, select the category where you want your submission to be published. All of the submissions must start with a code block, where the metadata is provided.

    +
    +

    NOTE: GitHub supports Markdown frontmatter fields in the preview of sources in the repository, but not in Issues. That’s why a code block is used.

    +
    +

    The only required field is the URL to the content you want to announce. Depending on the category, other optional fields can be provided. Those are listed in the template when a new issue is created; just remove the ones you don’t need/use.

    +

    Unlike News or Show, which are for rather ephemeral references, Articles, Tools and/or Cores are expected to be long going resources that evolve over time. Hence, both users and submitters should be aware that Articles, Tools and/or Cores might change and should change. As a result, it is ok to publish News which announce some relevant change/release/update in projects that are already listed in some other category. By the same token, the metadata in VN should be updated as the references evolve. Particularly, there is an optional field named related which allows to specify multiple submissions that are related to each other.

    +

    On top of that, users are expected to follow Hacker News Guidelines in this site too.

    +

    How to create the content

    +

    VN is not meant to host the content, but the references only, along with a short description. However, the reference is an URL that can point to any public content hosted anywhere. Hence, it is not required to format the content as a fancy website. Plain references to the preview of markup files is ok too.

    + +
    +
    + + + + + + +