From 5f69fc86be9787696e988b85481097bb67e6495a Mon Sep 17 00:00:00 2001 From: yinon Date: Tue, 13 Jul 2021 15:53:25 +0300 Subject: [PATCH] feat(vwc-text): 1st draft to support font faces (#942) * feat(vwc-text): 1st draft to support font faces * text component 80% * font face types autogenerated * story * supports font faces * documentation * story introduction * adding ui-tests * tests * tests pass * story correct path * update text * typo * unrelated * syntax * irrelevant FONT_FACES_SUPPORTED * temporary modification * removed irrelevant files * restored modification * added doc visualizations * typo * add type ramp instead * semantics * typo * update a11y test Co-authored-by: tveinfeld <67224311+tveinfeld@users.noreply.github.com> Co-authored-by: Yonatan Kra --- __snapshots__/vwc-text.md | 10 ++++ common/design-tokens/package.json | 2 +- .../src/builders/typography/index.js | 2 + .../typography.sass-mixins.js} | 0 .../builders/typography/typography.types.js | 53 ++++++++++++++++++ common/design-tokens/src/index.js | 5 +- .../design-tokens/templates/ts-enum.template | 42 ++++++++++++++ components/text/assets/images/type-ramp.jpeg | Bin 0 -> 99106 bytes components/text/package.json | 41 ++++++++++++++ components/text/readme.md | 52 +++++++++++++++++ components/text/src/vwc-text-base.ts | 15 +++++ components/text/src/vwc-text.scss | 18 ++++++ components/text/src/vwc-text.ts | 17 ++++++ components/text/stories/arg-types.js | 12 ++++ .../text/stories/text-introduction.config.mjs | 23 ++++++++ components/text/stories/text.stories.js | 18 ++++++ components/text/test/text.a11y.test.js | 23 ++++++++ components/text/test/text.font-face.test.js | 41 ++++++++++++++ components/text/test/text.test.js | 32 +++++++++++ components/text/tsconfig.json | 15 +++++ ui-tests/snapshots/vwc-text.png | Bin 0 -> 138684 bytes ui-tests/tests/vwc-text/index.js | 32 +++++++++++ yarn.lock | 10 ++-- 23 files changed, 455 insertions(+), 8 deletions(-) create mode 100644 __snapshots__/vwc-text.md create mode 100644 common/design-tokens/src/builders/typography/index.js rename common/design-tokens/src/builders/{render-typography.js => typography/typography.sass-mixins.js} (100%) create mode 100644 common/design-tokens/src/builders/typography/typography.types.js create mode 100644 common/design-tokens/templates/ts-enum.template create mode 100644 components/text/assets/images/type-ramp.jpeg create mode 100644 components/text/package.json create mode 100644 components/text/readme.md create mode 100644 components/text/src/vwc-text-base.ts create mode 100644 components/text/src/vwc-text.scss create mode 100644 components/text/src/vwc-text.ts create mode 100644 components/text/stories/arg-types.js create mode 100644 components/text/stories/text-introduction.config.mjs create mode 100644 components/text/stories/text.stories.js create mode 100644 components/text/test/text.a11y.test.js create mode 100644 components/text/test/text.font-face.test.js create mode 100644 components/text/test/text.test.js create mode 100644 components/text/tsconfig.json create mode 100644 ui-tests/snapshots/vwc-text.png create mode 100644 ui-tests/tests/vwc-text/index.js diff --git a/__snapshots__/vwc-text.md b/__snapshots__/vwc-text.md new file mode 100644 index 000000000..c704a5d9a --- /dev/null +++ b/__snapshots__/vwc-text.md @@ -0,0 +1,10 @@ +# `vwc-text` + +#### `should internal contents` + +```html + + + +``` + diff --git a/common/design-tokens/package.json b/common/design-tokens/package.json index 65c016342..ea1a43064 100644 --- a/common/design-tokens/package.json +++ b/common/design-tokens/package.json @@ -27,6 +27,6 @@ "@vonage/vvd-design-tokens-properties": "0.6.3", "lodash": "^4.17.21", "ramda": "^0.27.1", - "style-dictionary": "^2.10.3" + "style-dictionary": "^3.0.1" } } diff --git a/common/design-tokens/src/builders/typography/index.js b/common/design-tokens/src/builders/typography/index.js new file mode 100644 index 000000000..cce740b63 --- /dev/null +++ b/common/design-tokens/src/builders/typography/index.js @@ -0,0 +1,2 @@ +export { render as renderTypographySassMixin } from './typography.sass-mixins.js'; +export { render as renderTypographyTypes } from './typography.types.js'; diff --git a/common/design-tokens/src/builders/render-typography.js b/common/design-tokens/src/builders/typography/typography.sass-mixins.js similarity index 100% rename from common/design-tokens/src/builders/render-typography.js rename to common/design-tokens/src/builders/typography/typography.sass-mixins.js diff --git a/common/design-tokens/src/builders/typography/typography.types.js b/common/design-tokens/src/builders/typography/typography.types.js new file mode 100644 index 000000000..6a7eccf68 --- /dev/null +++ b/common/design-tokens/src/builders/typography/typography.types.js @@ -0,0 +1,53 @@ +import { resolve } from 'path'; + +import StyleDictionaryPackage from 'style-dictionary'; +import fs from 'fs'; +import _ from 'lodash'; + +const propertiesPath = resolve('../../node_modules/@vonage/vvd-design-tokens-properties'); + + +StyleDictionaryPackage.registerFormat({ + name: 'custom/format/enums', + formatter: _.template( + fs.readFileSync(resolve('templates/ts-enum.template')) + ), +}); + +// HAVE THE STYLE DICTIONARY CONFIG DYNAMICALLY GENERATED +function getStyleDictionaryConfig() { + return { + source: [ + `${propertiesPath}/globals/typography/*.json`, + `${propertiesPath}/typography/web.json`, + ], + platforms: { + ts: { + transformGroup: 'js', + buildPath: `${resolve()}/`, + files: [ + { + destination: 'build/types/font-faces.ts', + format: 'custom/format/enums', + mapName: 'VVDFontFace', + filter: { + attributes: { + category: 'typography' + } + }, + } + ] + } + } + }; +} + + +// eslint-disable-next-line @typescript-eslint/explicit-module-boundary-types +export const render = () => { + console.log('\nšŸ”\x1b[2mProcessing typography types\x1b[0m'); + + StyleDictionaryPackage.extend(getStyleDictionaryConfig()).buildPlatform('ts'); + + console.log('\n\x1b[2m================================================================\x1b[0m'); +}; diff --git a/common/design-tokens/src/index.js b/common/design-tokens/src/index.js index 9ba2707d6..73a6a1045 100644 --- a/common/design-tokens/src/index.js +++ b/common/design-tokens/src/index.js @@ -1,6 +1,6 @@ import { render as schemesRender } from './builders/render-schemes.js'; import { render as schemesCouplingRender } from './builders/render-schemes-coupling.js'; -import { render as typographyRender } from './builders/render-typography.js'; +import { renderTypographySassMixin, renderTypographyTypes } from './builders/typography/index.js'; console.log('\n\x1b[32m=== Design tokens build started ====\x1b[0m'); @@ -8,6 +8,7 @@ console.log('\n\x1b[32m=== Design tokens build started ====\x1b[0m'); schemesRender(); schemesCouplingRender(); -typographyRender(); +renderTypographySassMixin(); +renderTypographyTypes(); console.log('\n\x1b[32m=== Design tokens build completed ====\n\x1b[0m'); diff --git a/common/design-tokens/templates/ts-enum.template b/common/design-tokens/templates/ts-enum.template new file mode 100644 index 000000000..6dd2b347c --- /dev/null +++ b/common/design-tokens/templates/ts-enum.template @@ -0,0 +1,42 @@ +<% + // for backward compatibility we need to have the user explicitly hide it + const showFileHeader = (this.options && this.options.hasOwnProperty('showFileHeader')) ? this.options.showFileHeader : true; + if(showFileHeader) { + let header = ''; + header += "/*\n Do not edit directly"; + header += "\n Generated on " + new Date().toUTCString(); + header += "\n*/\n"; + print(header); + print('\n'); + } + + const types = allProperties.map(({ attributes: { type } }) => type); + + const typesSet = new Set(types); + + print(`export enum ${this.mapName||'tokens'} {`); + + function toKebab(str) { + return str.replace(/[_\s]+/g, '-') + } + + function toTitle(str) { + return toKebab(str) + .split('-') + .map(word => { + return word.slice(0, 1).toUpperCase() + word.slice(1) + }) + .join('') + } + + var indent = ' '; + + print( + Array.from(typesSet).map(type => + `\n${indent}${toTitle(type)} = '${type}'` + ) + ); + + + print(`\n}`); +%> diff --git a/components/text/assets/images/type-ramp.jpeg b/components/text/assets/images/type-ramp.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..9877ab02a662e7c91d4e18ae5a5c9ce806296c8f GIT binary patch literal 99106 zcmeFYWmufc(l$DH2o~Ifli)7F-GjT^;DJDp;1YBoxH|-Qh9H4pAq001G6Z*mJA7m% zS$o0Sdw=`9=e^GPvwOJa>8ifFy6LC8y1J_8X7Xkp@JL2NS^@wC1pq+ZegHSq08zk$ z+l2T4@!>;66jWqX6ioC-kI*rRuyAfaM0A8Cgtvcm)QnUVRE*SO9863cV)7yaBJu`m zY6dR$E~%+QH=h7V4-leY?VzC00XLrkgtwAmpl%c3&jbgL0Q&$2`sOR(!B6(V>7Ql) z%NgiCHX*sG0wgXhX1jd>JC4-Esme%zW|^x-*5zK6xzpfZ+9Tu)S~d#-pG6G03no=Y z9iA|S)8#jKP`cj$S^$6{P6N6hIl^l-wI7vmikC&k^tY0?0t2sll%JFzJvjT?my(ub zS-}R8CJ1BgH`|24e*>6D(kOJZd~E#T+(~ag?4-!l zFx#Va_XYrPQ=v|u%GcM=elhJb(0}&&_QkkQ7Jt-m1G-psue{KIy*6Ffj8hb#K4y2I z-*({a*kSmiFE(?D;f^c%>TdabUnDEOhxNK&vqyhNsMx399pk2aYgV<5M!P*aLopQ7 zq<(S(@Znc^t65_}WHWIC5O7E9#F#7n9(mi;VeOu}5E8cI50LZ|pimEZ5WWLpIc_ zN}w$E0IgN^SCiVAbdqos$OC?~)$rNwzBu5CXAvzflkeQt=!31|!Ryy!meH)wW#O+h1 zE_9boKg`TL!aRN+0IDA$t*5d?*J$#xV5Gq(Si6hkBoP4)b`h#!Xkdp==FkldKT<;p z)$9LOFW@LqCD(peDh?f%YWav--v;Jj^{jgF5Svi7BK>S55*99dm?}Nq+z0cJBGOaZNeX3w`|~M*cN#0(YkFx!k>u zl88#5A}0A4oC|4 z*7MAC(lOT+7~Dv@1ZvtmZ~G5C(;2*0Kaz*qY-Z8lE5MbkxZF$gx$T-=REa3!`tHmx z1puQ~q-M7%5C}TZ8H(lm7&m6Geycj{+yV_i=RxaIEnJPPS-~51@HYU^MqSxhoJEQn zTfa9)u{ZO>g4;e>MmIkr#2rDYk~vtjpK;{*(a+li*PnIpGY0@5VW+H*AW^8D&3xEC zlG)||sd#>u{<9}Rzgo$`o+oVsqy0s^-a$jU8u6Npq$CzQhtOR(N?=uvc}grQW=U_G zl;iPY?Pc?7#bJj`tJq@8T_ga7JmfQ6GWaeR$}Gw^Fe$KhlwNH-32ul^3e8$rvd0wII5##JQbJKRn8}z(#w=oOtLUny8J zQLP`63|Hi9w!NmA`60(R>+Tf4Tk+phJL`WdpI`kO>px*YWt%(G5MzimhNTzokKF*o zG@6pIlW$*foX^kd|Iuo?emz95)ttwo+h;e%cUozY*gtkK<*}DFYInx*yBCdHYIAgr ztPRz`SDfX1jxS~mLe)fyt!mH8jm{c)Oe%ih_*saJ2iO?u2bOX3m>&Q`#lCo|tiDYk zm?}R+jo|Y6DT+ka8`~66sUh@(M7Z76vo*{1Mg+8@4)fzfaYDk*^ZTyL4pxHrQB*s8 zeqe65?lXeV%zD@MV}n0wxi$uw0z}Q-Wc8;%8GsQgF9%MhJVLW+uyw{TZB~NMuY%u? zUmJ$@cCvbJ4sGUd_P>0NJCvGdgs!Viy^5Ft@21V{7nF;c9ei|}+%UXbhY-bFYJlr0 z(G#ttz{vIO4!biC&Xb2xAW)ajT_Au!95a|0gSq7{8^;n4&oa^4Q>0zbGExuYeQ+^E zkR2B*?Crh9MTnNgx@P*eD1;8wVWW(_wvI;-*j~2!a+ZXLLMzWW^Nv&`Y!I!+deO=e z;+pnOvD#Wvrgj02?OXr|DOrLR=jY~rr^0_mpzcK82cn|BywCcNXhKf5DieV>?MCT| z2Lihe19Xi^UVUM2op=3Pe0~aG(C7{*YMkPjLP5ER&rvYgKtHHX;o@Z-F*I+A=6FbY zi+WsGHVJNY={uTEYk2HNGVnNPh;J`z>YP~`uT+D$=$9beMo>e$OP+>vNtxN$YWUlR z&^@aHwHLR_kz-4c%UsU+M|(de;#$OPGv6nE{M9CxACy{!w(Be%Mm}N5$#zKUMxu<{#g` zH7#hmn1{%P7}e)5Wk0ge?PlkWpE}3XcicUd8wT|575|-tuZpwgyEKp~>q+%mPVm@S zjZ^a!^|7wj+>N^j{=1rO;&so|YBE5ZBe(|z3_nd3l54xi)ygq6$}=iGLc(^+6Lypt zCNKB69Mn~2<@mKUf5UAA{Cl#ZIg2RE=!h$&G~VSHS`p$jBy~7?ti3f$0{Q&L{qdw% ze_CjeSDZ5XB+I(KR3qEW*D6!1!42mrqa|K}rr{mz{{w=zUg$oW`&r9<)<2_V_I_9* zKNEwB)?`w09&FzMEn=b(8_Lg@e<3Q|`epDGJssW72qx)~RT6r4*r3ahclh>dq-&J)3$wrM)ZvW9Gv3W0#w9p8Ipm zt)^^XA4N^M4Zxj=9@_xA9jZ2jr+*8!U;Ix@* z4;y!0F9Jf63DNJ$hlWhiNmU=4$J>TyV>89|uU(UOiBs-&okK4$rRs1s=cVx@!;BBEWi>=o)mSC^nM@y1r0Sje7^*$wf{crKcb<>7>s((-VX;^uU}kK z(h2eao5p@mG$^YkRR*=4uCh)GyP0Zow;O)H?GY%s+DQNpuHMm7dIy^eEyY z4cE1#Kic>U=(nZ0y%iM|?zd9n^Ov%JnuXEou1@!2%GCh&3p(^PxxDJmtYu_mYIjM# zw`IY%X$`aWbt52-n;GTsxZ6)(8(=p~n7TcicObnd89qvE0ov@|ZVBB-0fWMZVppJ9 zT*``+tsaH#zPjSK5Eb=vPxw;=jL{*r9&%K*5|zE?Hb|tAm;y#|vU+BR@ZGAIHG8k> zpTHj(DC0_TnJW(6xh#Gpy)mmsOm-wS=)UQ}O5s;h?IQPe@SUpvj`*L?z{VjAmf=F} za?y<1;NLCWQG|v+xcstdea_~@smGRc+#4ljfBsJ>m~z`ix(mfTfd+I|2cP@O`iA(^ z4$H2s{@6y5AOsQ!>)3R318hm19lxbRyK99PNwvPxop%FT5^0N-LXQ!53{fQQ{RaH2 zCw$w%Dk$<&jfW|LKI7fG6zCoh%6-%j=(_R}l0JJFm!nnjAoCso@VCPR0H9UO#{4<# zt<%pJ-Ta)5t7`OKwSS_|CY&wpAa+#YI$Sz^KG=4@Hh^TuUoGskTS z9rtx40O0tKjpwHj6b;qB&EaO*COem|Vu8fI8W)dsXUMJJ{crtDlh+EM)81(N;aHZxm2sXqYxvRYAP zy{*PgxkcR*W)_iOO^;9~R+)d*MVf2ZTWA=eYf#rX6<;&w8oNCcOTn0nz{ac4E|L+wT z!-+?6wb~EQ)L+wI^w(4e41b{LUkm@p(~m{o<3ab`o<=E6tqXr1EYB??Weo2^V9YvP z1ZVfFg3lk*B4tbTrA$^P@AP$CRPTu!lir2uTu@o!H1+QH4UHU*zv3Zog1=CWWOfuqf&s&L-3}%~ZkPWhh2SD}zYGC^`#$SG zqR|YNRX&~`K~!@bkIfUVU++wz@%^s&ugF=EmC#-EKk<>M@2YMmE&6u)+(rK3Btc9xyvs#m4?||} zE_*Mt6;{ehd(uIdmWHWrGbf==Lv#lW-?k=Kk56HhEwj+bi`E}SUefY4zx)Qk zv&*MiLhsaEi+$Hn`>W}tHEzWp!;Zv((Qfr>jDEMdb%-tQ|7w5SY5gz6|9GQBeTx|Z zex{Y47O^F%@u!m!dW<72B&oYol0rOl190i?r&xPkz!*ZvX=nV!x`BQ7Tc23}J!tK*%rJvz z(sIhX{PmI*M_vzPoS@TKMS-~cY7#(vKhgoCHpb?5SU$X)GP`dzkjLg~Nf1CG7T!3> zLv4XmXpoA9;&`W9Flv()8S?%$F8oR2Zm1~~LsC&arA8!COc&mb< zD`!5x8fJ3Gb^-0mm2GS3_7x8h6T09oj42j>cUq< zXcUzxH~zZlo@^VAGzz|%%$I3qW(1=7wZzTK-*v!N@U(?O_htTgw{m;;)x}@@W!XZ< z-6OaDGKUXSLmXy(OF-kOmODajeP;cO*?BgqAw(LrwxArTVrI_5ZOnDWRZz8>NvU34 z>D|e9>*eK9t1=zCb@KK%JdcXa)GCO#_QuFE_q;|8*-8n%Bm7?y7>$|t%g}Wt|M&LE z+bac9j{wk6Ft-T`4i+8(0S*8I3kL%Q4G+LpL%_g9$HGy6gv)8@L`p{CPcEdW`qGDz zikXFtOM;qRQrXNofR#f@B~VyA^4I+XC=9?2;2LP0_>QPBtObgBuLtiay}JASH8D`y$aa4|1^bCxcMC9G;pdDNnD0 z=+=QJA3IVOm%mUbd0;}YscIloYgR~9X)#k-N8itpIc6QaJro(lk>}Fwl%;F8fkVA% z4vPwjW7WZ$lAzXy+wQAvk{;nEu`k2>}Y zNpi(6nA_M7B#~Jllwbo{`YL=CRHjfL*d;AlvB$LojG6~a_=KGAtH52$*8$D82B!)X zS5KQaJfB{A#hj)6YW@OGLCt>48&9{O60PYqU*lL`I%1^hmUf6~jj&*7O~z#KerclY zmr0D2Sq9VnBgF3@eI5~NM!!xP?5Jr0;Wx=CbhwYRaAfT@T7~nga+$k(D4}};duX#C zP&TQbN>wcG3rg*O@oACtDb8#GU^zi3yNuJ-7h}ZlzwD)y$T5;P$DHr4Al` z$fn5gU--9zk1*0$utFlVYoGXZ__i)3VofsSWWPH>4N@<=*d$_QUhm;fd537Pgva~3 z@F|r>?s$xSW?`2IM~k|0E{p(kKeDMV$N(FLvM2(Z#|%}#OPwBd6KK3uDhaX)r9f4I z>HB_t$SDqt4SDjsR1i0a^4aNpfX;GBvXc)17OkB6%dHkuF_RF%SylaA^D{-l+t=2! zCGw?m`bGMaB)w31{}8fBjWkY)!1n0MUOx1sO&zDvSXkkBI!$(fYZnfIIFQFNdw5Yr zzzUAo00PWTB!j|{@}HXG!+>DbObstk^#n-5SmfipeCmlxf?C5NQTcMXy)e8dHD@O};$5X*6icm=BVX zVxvmAHEc9j-v4%LMG-$-1t}y?Z$8Rv>uQ8B;46RVV7n)qmZ!$Ks zV;Gj6AZhpUio@MHjDjm;tqV@>*@WKYAFpqS-kR)d6*Uk^I%!py>bU_RE_vtTUTB7s z573zl!{(cEx~ckMI<_IJyx_;4)NPEb0sGbFZJCvj!iC2%(C?NeWk`+_LQya7U6?d` z9uioX`B{=B^Df5oZUT=DPoI78%@Ie(L1jKxuIf+7t8=j5#KsoWJGudQWFw4FNL3iY ze_2hx0q9SHZ6g-LGSk&qE?|lwp2^AhnaNUS;it>t=Tn}EW!(C5TR;=NDafWHICI^L zJoU9D?b=(~C}&xLfsxrZ+i6Aj-JO=hMrDs&o%bgYWJL%AHEx-pf6J9R)8yI$rH%a? zGkz&?SqWt=+4Dp^U$Y(fM;4iW0|+*HMA{$1Kq)ENBnBZr6 zmo`Sd0mugVgt-9ZDLGh_l``{f)TO-$eLg;o05_8VaiUrpXHjb+o#m#}f64 znDYJ1Zk-A0`fYW7IQLSPebHtH4KnWJ2 zG*$a<5RPAo>%1k81IR_P8MiRZ9H>mSR73z^itAh0ViHy1x3I=NlGz}aeX0y^PWnne z`V3$75)WVs@`ieqgF$4fe$iPQ_e?Ex(nx7BddWyMa(V+cZCt%>ST`R_AZ&73>3L`v zQ@O{6i1)DnvDix)no?OuhpB1-FJlB${g*b%Yjf7>zR5nYoPXUtKXG;D;MQ4YXdWCK3phc_NQ0_4=x4DVf571 zC(DvO>-07BVEu36aF}11XPF;9r=>NR()k{x7ZS$kJ3BlUkZ!=?mQ1=}u3+rf-$Ta` zJ)VZ4vTYw7(S$mMLfcq-^oGs6p)0~)t^x^G^+eEI;y_e7xo+w}%QLD~r>*(Vh3N8) z?FOKhpr>)^wR`r$k$2DN29PUTmb?7fcWY?GN(z}w5b;2Edf?B z?4*}vQJKSMmX#vijQGYZs1~YeWvvfb+skzcpZWGiuMDe>=^KJW0Pp?9@PTg89b6zQ6isB_8btrMt|mHf;mH?F>C z-RbEjx7ipF`^zIF-;yRRL&>;Gi4XJ8pZNFKNm}{6rv_rGGVpPU6WSHO(B_C6Z1o3u z(F-0H{@Unqr-vgt)&-L$biEOM&r1yKM4J&a&P(byBNkc&WkQdcV{w=8SqJ&}zq?wJ z@$_X(MJ&=s95^d1l$dyp|JusGeilSr%*aJ7tbg}Lm_4$0?xzCGa63idDsS|FNtsY{ zz{ZCcA+rJM`w>(uLv1W1+!T)5NIMnep2SkCmZL9Y|!UuGSjpQCW;R6baV?tup~ zFf_f-J-wZ9QJHYf)yLP1U6+i-*McsWHT6oTP)`nc27D8d2S@|8r*z6VrPq%gHN__;vQ$Ou8FN7;Vd@g{tdw5@b{Ff zyT*h$(rlW$)8z{{;#0XBz!>oM72-ghs~S`e(OXXgRL<6~Sg#BT^xuNQKrjz3*?moUVyQLbJ(l9c8e0 z(Y#zexZ56d9miOIdwunGG@czh-Z5t@SH;MjVKiwrUk?v&eAQfMLv}dzm~&bcml>8w zBkuKQJbm++BJ&2|8AG}@E@M< zrQ-zI&D##~B-odi=oJ|+n#YVb0-P-$R}zxPn>=-#(Q>|H(^yb~5k9=FVY(J%`*fqO z1ni)gT*cd!v1AU-Af^ge0pi7k2Zo!z?@nJth>@a>GfSz}bolHW7)`o_O1GWv6&79T z-Tf8wViozJv@~$MF;3fiUwEJ~&LxSn>KPRcfD<+I-O7zfE9|@-oRaX%_()pxb)MFED zu(d_Vcp7Rs=}zLbgtNxWmY#R{K@MPZJ@G}Y>f|c%b`gdP-Y&wE$B?c|`qjc_Mil^| zVD(;{&D9OS3}qA&T$qZIG3)xU-s^Ie@$8iao;!*4BF-eQKzn9yd$5qGQ*3NDgt&lQJG4(Y=zUIiBW97STtY=)zrONuo*cTIG;l#|$WTo+wRu4`c%AqNb8u1qs z!*MaRA^<#S;}&KZ@sHO%NEfvLBXpt>IxI4+P?SA&+k_>o?xhZ+kM6sfN3@@iTL4ie zZyuReax@z3GV+P;nLRr%Nbae4$x1)_(b@D<%dkaBJV1FKJ_X5yfQY9BCyI2re*hkt zY=k|C3}h-Pt6Ajb1VBDm4VXwqy>L1|E&PbE%> zlz=?h@sL{;hzXk2hCC0wyxXV5=rSeHg>X;AAF1%E zpdW#K=WKAIlqAx1H;SID4;QEmYgvlyjU`@@_N~g*QJ0EwYD42F){*nLnmA96A`)ua z`CAh@c40_R_>XYmze@;_~*4!j^fow!|aBv~y#b z4T^pMO{Q@7OLbv5nO4vD>X7|jTLUfQh#`b~h$*)}Gok4a zT6hp}oFv7B6BvZ(PRfMsr%9H@KtaXHJ@d}4O(?4v82rL`L=;}$&oT$h{mzu(1oDyQ zVrRyM%roL7E@GVX-J$3ZT!+#bC1S~-$nhY{r?7SrT8a1Z*~_Y!mTibIl)^Nc^Y5ci z-^xVoxD_6v89(%PWLPe<>UwF*^8rz!6*-52pp#z6F!CFI=Wq;m%s|blv0~XwPhN;3 zohf#S2&dL+VBKW=Q)kEWmIFUE1b@9LJE5f|PASZ_)8U;33GtX75fXr^d9tKoR=%EkwF0_wBT4F z`L4uaJ`d^+Q;V>!7S8lxuXpOJboC}GePG%&gp#F?ouCdjxVr3|mm=x4tytMDa%4-M znzTkGz-D+T_sIp~t2g7&4JK1iLNb1w`t)-%k$IL0MZI&@ zn~=vX#L_{6w8+PNZrDZ=@tmsAEtNz8ABh#sqRAU^qt{cxZ6lELTc3$lMv2{b1V!)D zQreelB!*9UNeiBsJW}l@iEK-lKND-G^CKuol}%7Nh-ZnZYMSp<)Wbf0x?wAl zn^MCfMn6&&-$gynl9=o_)m~@RuPuJ8>Z5CZeXc}?OQcHM+I^x5Rjz_pqqp@?2vn)b z|6N!yeK0ic5u+x^un@@m#Cufsr1#6=UOOqHahUt=-E)h5*bTs3>pfVC4tN;9o(=k7 z>8QA^D%%2r2P%dX;hVy0w!if@{Vr=-smrCF59&_f2!Uc!#g>Yspi9>NG_hI5_CnnleO1AnsxqCWPeOgK#zp z;SSAqzC@eS*|A!0_g>=Ct6gU2+LMp1UmrB^J;n)d7xxc1#Pnio&Jd#rOwd@#J(j!iw~KB07^1+#^ptpb9xYp?R~hey{nY@g9H z%LD04YNt+;Mh2UQ=^OTep0X3E%6U1Eo9-*5CKTnHkW!RIoI~sLW z@1%tV24 zO0XCPbRLwN_GctHt|mf;6fJ6lhJc4WyV0hVPnaQ)2Z;hi0M|zKW_s;J_Sz}qtvU4% z0>bdD@UkY4kHy?VlImM%b zXna>rz1;}O!QEmpdE*(OZP7%RFGWiC$Di}GpghE;rsH-tWF=?$oUv2HX!0at+&leGk?4;{Yp%69h(p-7`p!cY>enSSDv4@`{N$ULXl&85k4$(`$E)>3vx~6>#YK0@{BIlrTW^NXrT4M34N$ zYhr|OchA-Hm3#z4feLZ>@F~?}q@9A7cLH|AYsUi68-&r)9>b@+D-pAfp|ckTa(2z_ z%SQwCijk^;?2=d&a+G=}ttCu3GQJNn9MEl^UX+6skcSU%4R`2sllP^I;~TS~Mo28m z(=zp19`L8d-|j9DPlz#zOGDGdby|gcIU_ge$`TDMb^qxTzSp_c36d{|xBOZqW0|Bq zO`Ax0gkr$w1WJ}>i~MuHqyel=3xiQRCm^-tc)k#?5tXp%B-{(OxKO0ZLnNi zFQxq3`EXBpfu9Jg>vnVM+Lv09(-=zoHJotrQ%u!(kgT7PYF8n(fqSUD3Vq*_ zS7-(q>OSKbsuOC!d}^JUyDGyei>PPtU?dHWIeUs82dBtJ+3WU42TSo%uwkGkq`fvy z@}1d`Pc2wkFOvI^vG9-C-qHf*p)HF0P(GQ&n{dM`XCvx~Ndq4W^)8`W4;xU>X1oC) zM=8uRI{Jx*fgr9p`}wq$?#Q%!4!U7a9SA*zV(Pmm2xMayf`(FvifAhB1CDmnq!i8- z(>ucv<#b{XA}pq4jm{VRoqI?jh@7=2RG-DZIv zX_tdtrcqLz#aoSDR+V0W#vJNU-B|7dSjT~$j@g+IS_C9RpRR6G-K0sRBon+*@}l!+7Ua|iqXthC2KXJsxR%d4(~r~+fsZ&aY=+xnOi)^k_7u&Sz8)i9 zdH+<)FGh)kSq{n#M?R_Y-}(p1Fl z8(3TGnWcTNWPUNN?m;`zSSa|F+nZ5*@Ooe%dO03?c~gzn@u4eR(ViQLXTo5-#+mK7 zQPlHjQv}wg+F`ogt;YjZk1n;sy^5&QO=(&7C>RR$A27v7>A1`_LG^9^;XRIue^w_7 z{?gopI2=W<;W&e*(Eq57!7jHZN^8CBorxBzT!mUU2nUaj!IaM6j{v`RD@Kz<;8_3j z;3Ts6_kLv$;}|XGoW|Qx7OJ1LifA~QbE`5-wgRAr`!{znvs_UQrbNE_Vv#i0A6soJ zjO1CrNItWmB`4oquVcRuN#|DjaMD$&{#S2wb)iz52OLDHmL5Ta#t!(K4|0U!5>fV}J9 zHgMHR!AN6^;wOFHr7U@6dm8$F$ekKEbv6I8IPEBbAyNu=G@>%I*%E{=i?)Lt6>-6m2{58jag-R}Xe#ITe zCA6T-c6tb|yXGCmDs|5#w)T<}LenO7qa=iCpN~Dx{!2_K@k66=*Qbx)h+6@(S2YGP zGRGSEGv^6IaZI^YS6RWrxnZQ^E(3fu;@Fu`VTS|w@s_zafIY3%-ulR0nq9){wVKAb zr)`HsBe&IVE|(Xex-0UR?eRjmvZ#~GDx7fT!@ zDjA^Tm36k7&gaaKw#6tj;!R$?Hf~bD*h#Hp;NI@$w`w(S9wt~iZR68RpKEK^qQeGH zc^^l}RmE|K@6`#Zrf;@Rl}ybi!OOQ7#LJpuo;FyPr2?%hHT=^(D5bG{4d7@-JxZNb zznir92QP%tk(Tn?z5jLcOoA}iHEKT1+o z_Pbp%$Bu%;$-D~QT%PaayMqPlYpy5ob>Fpl9{D<@PaLD)xiPUJs5G=J^#uU+He9n0 z>^8A=G1k$9UU4CMHQuw;a1`Q{JuedWWKmwtxN7**_0e}!J1skHMs6BtA@+rF9eyST zQIU}Q}~k7Ilx_A)b!JX_g#Te5kMX?LbbL{CZ~nBCeDIvkYXVmAfH_ z-$8ao!ZM{KjM%|Dyq?3{MTd6gUJ-GAd{*}!_2JBGJ64=C1i6x1-S4{9MT5=wi4}RK zm9MIKdT-2L%XBG=!^|Z{u(KalJGcPJ2+NroxreCBGLHWX8g=Az+CDDZiscX(6iVSv zB8_RjD`xM92tgUl)N$B2J+fhVew|x;RueYeZdJ)E-=~pT` zC~Cj;Kscfg!NF-%hLc%j))oP7?<-H!OVulh2f{@e5b<<4NYqUeJg(89kFRkyxEC^D zXp}Qi>k*=XrXYuPC-rL-=*M{;J1hZ3rSKTSr*7b_n&O>5$Euo*rK{XQa#D~&7uBP@&TuX<6+&&+QCWV8I*b-gA9Y*<*BGCXsqOo2V7w{gz+&e9)o zPN0+!3_wm39$-_y)psD`QKj0!KFQXAfzs7de~JG$keE?~sS#zZto6MC4E=)=@}t3Quf zTNB+v%g!I0Ca`KXcRobD@=@It9# zAAU@-d|_)Dk{-V%^o5x!zZDo;^2Lx2MV#kQ9HA0UupVcBg2S|qi&)qHonfFd&RbI)Qf8@_VM~_Mpsv+v50sgEn0oSu9>2jd|Br+BcsViOz{!sI3tuqjI52m;Ey5Tols zpuKN~;5>6w4YS~&P8)%i-iPNAZsp$}c;$102W=UY-*jX2ya*nre{NxkKqP*E$#FF- zec`IF-a%`ExcZ6D;R`=$t{`71h=mSUkP>XPhUc%0NY9&p2C$AKS>qexY(hfF6AAn1 z`9UT$`ZhdPRlm*ly!OHSv|eb>C4B{)$`Tp#9x%n4UgYNj+9)TEyLS}@KAjtDis`es z%5%XUySa#EJhWOGe~G}Y=7Y48Mcve*OQiBgfJsNjl_t)ICVbF`|9zNxrLlHZJyGB=rm&OAuTkowWSKnXVUI64*?4Apn~m+Gz{ zvx%l~L@GTv8C3P88U+N|LEeyvchCw=G-i3C$i)UCR+XLnQ8)^9gDN+h7_*3W8jI56D1ry=g8vN&{5K%*-x$GvLnHs+2Z`N|l5zUjDRs>LKgrpPnpDK#!MMcSqF7?;we`MFTPj0WNY1vKO}8iv+~uv8Ltk1#ncXeNW(POi9lQ1%6F^wZgnRXDJzr{p)aFo zSXZ}w&GSwjhZ?~LzqaT++x4~ch*yp`Wq zy|?qtL~O>4+mosEP%=1EC4;TP87ADrnWzA2(8s|U>StzbK0sR1xKXiq#T?{*p_N9e zeb&NLWsZqZ5ve#@ z#<6&1zXGxs`o1CW_}Xl(GSIshlACOHB?|J9l)L=sIolbX`LgB#a%!G!8Oh51LYImeP3YbJO z%r9M(l`a0`2IROZykP45!BS+Xhsr0ceH5;#A;%g%Af`HUW?==)@0i;P05CoK7LT{l+ zlU@RnAXN;#H>C+8(xnOr(gjcUfA4$8<9_i!yv|Z zhz|8^PM@ytZT3S39Df*#!K-M@uEZ-kj$VOpUhZwHt^F(<-K|rI2V4sZ+wOY@zoCkt zzTc#17LGC{X(OX>N~>RgHqR6iB#?W5;BXY|ZtZ^h$mH;maJ`E%`inS)7vlN2cGJL< zw(|Zjo+MV00taQz=q`aP=KptC`yYv+`FN~DR9QjO1?h$A9yDasxlU0GI2!Tyj_Aqj zE_iGO#n*=lpW4_{CHaYICi`t3O5&Zr|JS#VahGHCaM0V|Xx`3L$+lUEO3AMD4cz|Vd50zeJ^C6yHy|`Z| z1j@_cMUEdiU$At>8!+Iw1Cx5YavXAm!4)lC>ysp9(DLuItSC;q(pVWh_?2^Ec>qkt zD9+XS#aFBsK%O-s7iRw8p}@{wb=ejkfSdG{rRr=EA1t@{&=?l#b|OmCAQ?}dG0cJ zE{ewR2B_Q*%Hh$jw*r(V1i<*OBTxzv&XJ@+Rcn$}GH4Hcu2%e4jPHr-npjfNsJ^}q z|8CGS=C*L!<2{TeuxWQ&b10JwK#n73%ZyX}^`&Ff^+Kpxk6R z$D#hK{Mm=+nRbM>0CiMXEZ98v>zlJIcCPaV)@x%O$2n;hERInYY-j5tVX6fX@WRuH zLM2kN*^;TJ_-r~y5K?dFs-*OR@01};6cm&myVZ{Xic~+e2q~>sXop8Hhbn-G%_8R? zb&BqZd-7Fo0Sg3%i>N7RbnZWA6(sSh;iLbcDj2~e(1IXi2UL5U@;hW)e)6y5wW?-o zCyy;N#W4;~FD7IZp%0V%Gzm-$C)-UI7z~5G7@KPMEki-IiZk!Ho9MF$F~T9~TB_(5JahB3&qAf>X<|7}d5_i{MJ^_1{xv z>d#-z+YZ4eJMPfduvQK1B#ceK;a__~|xnALV%FIlGr;(ILFx$DVuBk!HqYVZmD?_uU^X zqdz;o1QR#j(R*~PPXcEj5E^u{FE%WNSu>GI>rxG;H9KYlWl=4D^}4U^;&Yv7v$V?; zjS++VRzbOR$K~_+`|qm{%i#5Wg?WVn#H@L^dkJ;Jie4Q56`yJZC^ViK^#<#;a7PHC znWEMyhFz_^p>o&xvp0WxR{u}(tp3An^|xpBZ_n!Ap4Gn{xBox(9{-y}MFr=)dcAa= zd^zzOu=M7yKh)*E5<9I}dXIdtsqL+sf;6Oiit{7f%sJfZ&3=rntnF{W{>u-CZd~U?y;JT> z*9Yh9XXfRkt^K!C75Iw<_)TflfuGF8RGGi^y~SHTzA6;iTV}gQbJ_i+4Q#+PzofoH zDgQAc%@t`Re997%KWoOTB6a`g33vkbi7G^%`{uJ+rhE4zsm_eA+irYWm3oneKPG9! zd>NJ0kxBDMFd-EoUkUb1DIN6#&&F}_Vbxm zIYK!~D(=qmE)O0Cjuzkhjn9_MS5jkip}mXDTJYGeg+2`>!S{FHzDgv})ugGzMQ8G} z{D}5)0InOJSF-RJ2UJaodLJL5Y@b;QF*&-*8Nr~;KH2P^F=wyQLZDKO`yMXm?J`^w z1NeeV!mueM;KOS}`-T`NJm4Kxjhmg~vmk}x(4}9GZ0s2&lNQ{6$PMpV_;PEIhqrH| zC8R)^Np{N#6BY|~rA0t;ltot&-ne@Gq>#W=8DrM1oMV0dotrCR`oEe6o5r(f?pg^- z--bvxgsE(Ko%^AxCF7$JI7$n1Xw(S<|IYO4y~F_8F6ri3f$cvBxbK+maql>66=Mbo z!n-y^`{x2^xbuhQ!g-4mg;6GH_UB2R#^*=AaGX#7U(#y(a1AI;&-U%6&Ya8UOmZWdAjIP*d3529Oyq670Vf>=b z%}6pkq8{7KwQZ`BTq#iH7#2+J|ICneZ2kOgKrmM_=OmT`^GT=8*VV9Ss6fv)Bb;m_ ziG1Mx63Ksx$Eo8|9W#I7yvl24S^FllQ{>G)vZre+!I zX^R4nRO2mSwW%FbR;EAWmywM0wsD42X07Dc)TPH~u36e@#9k1Rt^G6^N2k$8ka9|6cFRdR*o}=`>qs%)<-PcbvAss zS|o&aj1iwlsEOzoZ#in)ROZd=2-?~XqE6p@o<2U7vv+aoXt0JvaCoK)JqtRa6K3z? zybBOPSw2pDZEmr?t|iIECy>i>^z2xLCk=83_y&D)QQdcrdzz9f?4!S0L^Guz!tu<9 zyOLEn9FI>R)yLWPu496OetbF*V?5%C4&qo9g+bZG0bnmIe5lw)?qrC1DQgoLG-LKL zLvdQ8L5or+;T}#*pHg;*+Z-R(GxrPkO5u=9{Sp=ZW`Kz=U!&QO6B!;P>RvrEv#lBihECr^b@hZOYi=a{279QqQG)5tEYsFb8-mu>T0vVaszSD zP-%1YisD9PZ-?nudC$v~Pnt1bE`u@z!yi`~A7!%f{|3;%ObW98!r{3u`^#c?>012P zH#8kME8w!3S>x0a3Oc|L6rK2(3?LTx!W?bUjY%V5z7Gg*FG)d?Q3N{GJCI%Z@mYdp z!zR{6r@PhW9b>?*;H1^`^=UZg0V8=Um8nnb?*6nt5v&n|!|pKl<7kbh5<_wTPh0Rj z{Q_Pj|2X0Zs-3mbs#1gYNY(JyiH2HHI3A7Q%GJUpCjg8z2XRF=B)d1`!TEXTgDra) z!DulPpddB~?Mcye{mw^d?l-`H_He;-p&9r-`OE`%2)*hh;<6MD5}Uwp?}zA;6w%P&ml*tKjE z+H;s5Db-cpklvZ`6MacCxiH-?Y4sL21qkL;LlX;4?XAG(b+~P5jemSU?sGgl(P+L5 zS>w^GJj>FMr1L7NOl+M(trDdh2;yi>4m(4TWLA*R-o0_Rf=)WH!rlcfM zQhN}7?%t$Pg1&HvFT5!e;x�h~oE!+7iYGUL%;!o&dUmGUcZH$_zd$oT@MI1j81vEmMHDdltxeeSbIT95j>zmFjP?j zBC);YRmszh%rjD=t|qrCUo{5>;8r!yy-qUPwf@|a<5TH>2nJ@F6Bv@})fFsnVP)R^ z75b*lcoq3_p(LhsSr+q8{?9HKLGRT~;wi!^xR_U$9I5JESwwWF0cA!HFWi+B1M0OX z9^lNUyTfD|MSMi^?M#;mWAmr@roL8(Ev>RE{NH1blKbGh-{~m*Xs64u^lc2~1j@e^ zx~FM^o6bu%sbaXfMW_7J4n?H|y@Yb>fwdpJ$gX22NHJ_Iuu$&cSHf$Qw$2#rBn_Y@ zvs`wiWo%8lj}JAkk1gX*)qLTYQZnIvM41qeBcpv3fRBA@#Oyqc7baYt;g@?2YMM*5 z@12cK@$R+3B2^Z-3!64pp7>8ZWi`FxY?3)+@Wj`n6q7T=i+`dt~wN7+N zC86^sylXq9M1o%WPRw=myRKw%(LdLPn_Hs@$B_L2!ECP-Rpt)rJ9 z9>Kx{%<5Ad5-jP{tH)#`XqXZn86|ef7vz8B>&|VJ%)=RHu*JQq)Ob_RL}H@&H4@*8 z*2dCRl}#wb;FG!ofugqOFPs8iNjk%sd@X*(v&pQGoN-=Wf#;#}y$zx%7UR})N#qN6 z&lZAL2fhbeU-llJ&fCGrF(~MA{7^75EaxemV(zXGf2jt+$)wjksS&e_;1^q!wg+_z zzX2}sYAx|pk;R;6Hu-HPOPUAsIxQ3aMVMJ!RM|#)fPs&w?tb z43fT29G86$t{Lezh@i7g8zdd{vPzaL+Fa=ij2Gm3lb4)WbfA0E@jo|$=Pi2uibrh@1i_mBAH}1ynb<`L0-<>PUu&1jkPe@Xf z&)$cNst|idoJ~yJ6hY!WXOXhA;fwb(NhhJo-18+rG%bW&*B^$9=?$=KnGf#gSK6+s z)e{-oO$bX(no-3W%+Gp&(n#k+c-kHp*I8K8 z%bL(00>g5Qfv(X|97Zt*8!Y&59%M!_Q?-gN8%wOtS(F!WeqRP0S zRFVdC01rmM<5xy9!&lYz{A+$|^sEBii#$X@kNnq%;pvGCuolm`fz+&&dkp$%5ZLkA z>0*Y%AZ?&674O-mvWab;=e%NAf$})R$m@e#tRQ94H>EUDA4{{rDdr?$sv+Hh{wrea zrblAID_-MEC*N1d`j3C85P#vsUWffZB0}9iv18F@@BCc7c421oX6r*diJy@SIT4_3 zRqd#7i$3L}=J*1!$Re7gIw7J`zX)s5NUNtzFLPe8oz#Mmc#|&W*tlh`);dCKH{@*D zjv&#N&hfOm{&+X!XiB54h)@Hxb1YdDbbA$Z#iJ9ulnw*UzP>BiMU`vL^XI#b#O~fZ z=ncpc!*SQfeoa#HhOkw$9qY0R3tzf0LU?;z+im;f2)R-YHRjvf9X@T5>%FvIKzygk zFZ1?V%zBV~HfG>A;0u+yMg)}?{;cr(A@Koo(rq8`kDFo{W9uI_a-=njro=4kGFLPI zSi{q@*+!A#oCInckRd<^o0RdjSy=oh5RfUMD|-&IQq_D?Ww5S@*y8Wo)J z?&y9sHyCXnc>7Kdmq3E=Y<0`_0#y@-ej=1Yb>r^-6*5{%1oTPg&mVNEmZ-a7@ zp(H$cDT(+O<8(ZJvD)zS_jsiKyCVG%BU<$maCeOy^1jcLhm1Ppu}Wv@vqDvJ#5i4O z#>4K=3iVebOvqa$z zN~@{hLz@T6W0b&PKkGznHsi?l?cqGy6#5ZM)~w&5D}`yZ2vlray3iP11OyZfoIISiao$^!xry!A<1d zC##j*ocr@NY(L>AO;9atsWO?yzg**6&sKYW12nVDRRND|j5;Ra^);U}VVAvY+jD^g zP`<%}yl1fHF()J82H3#{I%{%ap21Jxy6yXr%$@n{e1n0En$^-Q${lhhJXjr(E%S%f zQY6E+L93cphDQnVjzg>A_z=irIhv(BDUvIcNW(7rj z;&1!7E{oYYjY72G-N`>sgVGq$aHHp`Ov-T|f762lNiDk6zjfRikJaU2ET}gf-WGOz zYbvklZ`4R0&1!JRZ0WJ`ryAZqkK?dpeu|7)9c7(Dp1bZatDw*Ew)G5#!IfWf<0=zz zYBM;4uux+Wlklu>PwxGt!}L9mWPJy*Q1LlP2tx5wRf6T+ zQvyziIg4ZaO;;<}0UdW(P zadIXz;w*jC%iSql9JTVpCRq-99T76PgT0O%d~bR)|M}9aWbFHRdlkt(N8MN@_iS2* zPv~i3X|;xncCBj( znlAkJU@IdbLZW>q@U0bal~_s^v&l_ES`5E?+}9%sjA70C(N;lQdmBxqpjQb3@m$X) zuj%6Da69hR!LNvu_lZYo4@|+aKFZU9CXhl9Qxtrob+PEGd9?)Qv~%J zFcIm;Qg8=WJO_f{ff^C#4m&D#N8fVzU&m{V#`l1gHQ ztxgq7wJI%dr1YF}*+uOsi+t*3!ZU4(ax-mjORVO-8tctZ3Q4i`a6RAe~?BJBc##|g3UhZesI zna{s|731r>BLGB3#oYkx4jrN?dzD8QzI;NPAU#XIJR={88l9-GPIt7ors`Kcf7o-h z=eg+l=&XZ9G5NN!i~UBxUJf_Hw5y_OO7j?g8ZAFxtM$E{o8Ecc+lvECaD9} zYjqaK@iV`PVh210=(R#8GH@l}%G&~4ATUAn(gT^46HkXFdkYAtYRF?ZNkHmq!lSzZ z0S#0z&4J?y9o<*mDW>nTnJzYvFQ&fh5`LR^#r8=hFEWs`U06^hDafd`VF{B1zid#s z9xag4eOtN%;88$hqP@`r>r@Wqy=WdKH9Wr`<4rb!u$XUH z%eLX<_~l#!v(w_qwpl=2?z|_u0oKwxxA##++@x&8?+6-FSkUHtu2*GKW~4vjE3PJ- zfo_I|+$|F)9BAFyGL-}w)|H^rH@IJVyVMwqcZZy?4K?fL>k>DaDH=Y9NFW-wQk&WJ- z#pll%T@jd3?Id6wDEE`|oc1o5siiri(a~&l~5`|`~3|`h7_n52d zN0MiCS=Eo05DzE1@;9))ea%bj^lzc0I#uprwod!l*vGxqdZzk+P(J8gVoi*teGi*W z1E(hOHv5-N5|?vksBRstvb3*^nUaWANOR4D%gm}X@LUKEPx!<<V8P9_Z@2LkVpfC{=B-aKV! z3f8+FKWSci(ovlNBjpsqg6kvTH|GOBht0Citw};5Db!Jnu{-`cQYvJ-{2&5zVS?I( z=Q+M{gH{!67Io!REB8$*v|D4S2X8cw+?XsgExla-^F~RDB{YZdr^F`- zGT3eeRRjKfE?19+5AkVQvE0WEO-46;{SBzhI><@fUV|%I^)a7)rR(n2-!L}lGiM2q z`{WR!+G<#t{`MiA}4K)qVH2u!e z@YdR!Cf2M<7Tma3HajxjVi>Jqjz7U;k4pXU)h!7{Ig7l62@Xn&PiPM7zR04*TszO( zO>#$T6U73(->tCg@86lgl24aaUuO}orSnhD<6qyfynJ9E-|IQ`Yn)VsX$f!bZV&iw z9;i|}z`hdh@>V3Pv zoy0cJa+m(+wqJ=AwJ=&g+{+`gvS{T`@?_33@N-o>w+!|7Vw;f1j?sS#r`e-ZwZYSO z)UpXmp&ZZuszMDK!cy)Da_7tS583EWkXJGRcx4b9d#Uka5gg`RIFTAhk8)YD$1!5O zPq)=wtZMtkqs0kG_pA+ONfn!~XPt`QPU0D>EE zv@P)Da2G-nL|+SfLIMl2^n^^Mn!$D;ulPypq6%;iaKMt}$7d#)^>6Loi(Y07&L@QXMEr@e<)(#OCXs! z5`Fp6h!8c*@NWQ!DX+DLr>kXWo#!?_IOVMy zXF~-tizva5kTx-#Mcs0qAkHj!s(c5vQ65hRph|PGuan||v*imN$}MLqtuT0msrL#| zAXQja50h|0g4mC36dy zVz%~*pM#9}jEvj^^`1WN(#XbqdnP-)Bh3MX(2_Y*cDAufX#Ye^Y$JWNSF zu`7Y0MD3PBzyqQWkUIMLS3mb&&6BAU_OC<->N9goKlG6(?SmbE$1r!uCQolNT2S;= z>jx@BJy5s$;%X^4*J81~5*=+C3UgnF62epozNLD3+bl8v25eA2o?T>fHBcRR`E0); ziiy*|oxc^YaRoBS_J;JX;`aapA0oHz_!QYk^j2Y?4~y3+KmGkne=os*?@vM8TP;`_ zK`s>Z{Te%Ymtrv#qjP8feDUP03L<4K*2hFP)!WLGPGdwSwZ)BkriVIlS$25UnD4i- zv?V&$l;0gvvKYj%b^ew)@|Jlwg>&%n2+Y88MqR&F-hxL7!nPY#Mp8)FFE*6YJanWW zyi6brY$8(h{obaM)S;nUFi7;nDDU$fT*PcZ)x;av!zICk;SiMy7w39v=>U4&YmK z#K?Aqjs|$uhih>^>Q8}Xg$a+edFP4~KaJE0`Jm?<{7i@ZgATX2+CMnk?wClZ6>}_j<(L;a+F7$9^IEhFmh886KD|u25RU}HYEVv~KAYgko z1U664_B@Ex=AZ<~eXX%U2y5T6+(Y?$3Rx9$MzG-Vppt`t@~Rcu5TL_s?a;E=vR5E4 zffShwf8}-0>zNwNChHAzgi{Yt+)7WC0i^kT@UQk?i>dZe2Z zkzAM=kJmPD{!YkX^%ZciC!_N?Sm8ZID%26EG3BP$w=zWeLYm9;!i_iImN1Gmogq(F zl!bD~N|G2w5(>d_T73hBS#9QV!4e(E()9mcS!llmG3Lq4U#cX~d%=*NRY z?FgTx0I>T&qEn%|`TTENrYCa4)XdK2AD0s=LejXT{Ppmg`-Gc(TjG3H3_3px^yQIq zsKoTtCK@2EBOXRlb91zTPYGoUHN<-4Q;IKceL81T9u!BFTWgzJ zej^MJ&W$>W9+W#@? z=>!QFr^vauT$Y#5!y{t2dcaaL>^!&*5cI{Gxa2^7Tkqx5CL96zP&J0jdX_9d1puz= zJQOnE9)20cWazo$i!HDDj(Wv2Gb=b0*K}gvsZ;PA8h9^Eo6mo)t>oR+n~+B6#_U}u zWit)-D_XtmEj=`iuk*VrG~d_2kWV8A$GeD6gzyB% z0y5C?q1Mw07~%*huKqGvdu*Yued+pIi$CjdiXK6I+Q<>n-`1!9?X6EoPXK%Qr z?i{##^h2Csu;5Lx(}GXyeCNL~HYR^H{sfH+wfJqz}O%{9)3C^ z|NrcIx_R;65P<8(CqH8Js>Kr|MT!m=Re=Lx)+s|ozeCNbz{W&prKq|DNbVGC!a-d za(5oAyj_<64LD;9r=rA$RrjrLUGvv+503`s7RJ3L6h?UZu-e+er`K2B_GCi^^aTW6 za9pMl>$I0964um!YQ$s6?O%Wauz$cd-5?)aT^)|17MDA>%oMYTVPn(Q7OBV4rhLkFwJTp$E ztL27UqnppBOZOkq^3ST#qn;iXd2;C$j z*G1h=b3KYTNji3;()PU3J9|LiiJzZ0!|(l}4FzII!rw+X)za^08EiNuln{_zPY(F# zsetaj`QFK_swOeqM{H`}6KwLT?1BK?DmLfIezhg9Ssx(^;5J|GWdZ4Unq7?~|BE(< z-eVm0z zs6Ozq6+_XH(H~K9W`CCE^l4ujJ^BrOV#3(+e0fR+{1%gR_+uc0Qhdf2ErMi#iPROn z*l>v3J2SewCX`@OH}A4duOE_{2{>d9y`+w1V# zzGqAM=*U5DVq2-9Vh%RS5u}1tzxxO!?Wy7;A^dt3Ws8TJ?%u5rm!iW|Oh>3c_mxMJ z=i^Ulz`P$0S{`%+7@aM)>?>b!90+kBJ=C^hipi2nj@0R(MN!|29HLm@k;aKG!jR!g z-l=F>LiKP%RF@0Sk6W2{zML3svG>y7Y*~(2&7>`V@$>nQ8>xk4ig{=kSGRa-8Ltpu zp~qqGYwOl59oiz(K_T-<_l5}yZxl#>Z`&qp6Tg~@xN^4pVMcex}+j=I}429!-$C46#FCb1@p$!@-ZDFr+SRldlJB)YfM!TUk2w_?T z6ra0KW@}0W-W-9%Qsno4Uy0ZbLuBePcQR&j#+a!s9K&39q>UZ@f+ftVH6lM|zvCEUzmuTG< z#IZF`QvOO=DXu~DD1OLy9BQ|HaE;xy8ue!Ho#Rz1{4&jy7EG?EXG<}rL&XX@QVrUT z90nKLXLeB->++0LU5qdf&9CmA5!?t4nb*Ou=>6_-8ICP57c;udkHFI1m`8P#+*iMd zZVCgh1QqZ8r*dbd-ebscEE5PKYK+cL@7UqF`sVOFU^RtF?4kTw$se@_E&VSWke{L4 z4CqTO-;e*9*m=9gsLxUB)ol~;w&#Yk8sZf$BR)DH`r4`4a!A^ysx)nlqPbwEA0q9G z;MXb|avGCrYL)^C(>ay{*4&dS56aq1&iHN1w;e)O4fu~!%+cr2q6w zf(i{o@DG}|eZfloH4087V~(6k+61X4ob_P2t>`92!I|(%LflF#{p)?E4Q$mHi`Kh~ zkdUK-*C=C`f!=)8a$5Jk>DY!6HE&blcwZ@B(>#at!tj6O>V zxc-33B$`VUdt(ucyahER#=LFTnz}VpTRm&o9gDfzX3V!^G346P;sN&&{RVuqCgdVS zh(O~<|p0W z)9Fl!k%61J*5w~R2frka;IbEdOqum87NPFR%Kv~ow zDzGm_jw)P0e`Vw8;@9zDJ+MVe z^aN@91qa=J$b)W6c73u>6AE6h149}MQ}bK-xP!#$s-=%IgN@Tx7L~%6-7`D)@NTgh zXNJEQdI98-$+?FBLG;Z_8F}Zgqy+f1X!{h2u%u<-2tSTtZYjBe&?n{}{YkB$HSyrj z8%!2*G0zqseL#N#z@B!{Y5@i9xe=CJJ8wI6SciV7DruPuuzp&DekAEFo|+|{x8^}M z!3U~zhy7ot^uW1Yuf7vooWSO4F%-iV(k~Bq< z=t!y%we8JUv1sXOD>hiK0^G7$rz=d- z=7oh;T4AFxggOX*XxId}q|*(D!h^j`xW9hQjx_6A^Bn9{ZAWZTR4NCng1ZmgbtO=) z$k;ESdfx9&4YO}deD?A^3L`oO?oA;7VJPKo17ftFTKPaD(aIl_?kF!O=BEKDyr)^E zA0D^g$9`WwK?#n-WgbI>(|G#aMi%5oa*yv75b>1AS|3z<{ILL6xH=oHl1llR=WI6G z+)O)X)Z#hU9bdrtyFK}3E)iFATU7nZ3I_36?;IzlD~VZ_hD_Y^>7yZ26eijGi}+n8 z@dw@2I+=043M_Ohx2Z-@9e(eFzW#E=mGdwti_cs`!_uIZgoVVSAitg&;etw$XQXLY z-;A&X+#owAJ1haW5vkv-4xEW2jTK61ICd9O{|?tmh}oT1PE9r+dPcO#QfVvwMFbK&Fw z%jB+zw=|Wf9oLTP&Q6yRg&mDDHQ0v0rw5#HM^Lh|c+_Vy zGFv0pXU92RIB+0W32l`;l<=>Pu<>yXNnWf#F_NmSa z9M$O>t4%-Lp}C1bCS*j7rcGwT>Sh*^Zy1^N@J*>xtv6qMs1`sUq8O9bh^gluhZv(& z-#O{xGsryCSB@dmloD;a{Yz~rkdWnKiD`6hO|&6WzZ-Z5i5}V$x_>5S>D>FH|z@}iL7<722N%#kgxq+%I^}MKI z@0scy+Z(zv3*V9K$Y3d~@(z^Qs~EQo?$~&QgWWA5&?`}0HvwEyTM)d8AaqUPY%-3x zW%acwrCD1M#q;bw^ntA*z6reRAeq;-;C%zK$IJk^^agqJCK)RG*)F3%z z<*&zg|9jI~nSyb$s&-P=6lY8LCL>zKF|Ngr@b$$7BXx{D$~C7S>L2Q!nG!{4j#5T`Ve+bSt8TaYbj z*iPgrpS(JF!mt;QZkm_RvAkt}wDzo9?)4Y70@>ey&N!93Gy}W_WoVMCU*MbIKBm~E zii#)y&eo8r@{Dxb^~UGpi$THM`j)(z1f#zfMlJFt?J zlr%xP0l$9>m{0CLY~i$oy~bZ&5He8ux6GS(C#2DcQQe1YK^1fPVT7W$-u#fj$P=Z) zS~2^sQ7osz;-d0lvM8B4`oXpDCV?`!{>Lqp?pD_mVCV`N6G`%Vpe4^NldY5`z`KWZ zbb<1Pbyeu2j|xAw{SEGG1Kw^I^)qnnfMCpO#_4<}sXZq2=fO?EtarJWK)&wTvSZ>ja_ z%?f?Z3a-O=u&u;bK<&*^4RL=m*s%5f7H1Wgl1Iw*r!ava_0|+R*;4BnD%#F(gSF2# z?d*4um|J?FS=iey=jv{kXRALTn z+XF>e(-`>+`3g*$TV=A|p+}pahG_@%R;(83x|{~~{+lu;tr@ltyS*cSYIb4UzVUBR zr+j%>i=0EHXofrWu=3YIkmkE@`S(ncg(b|h0m12741Y>v<0L@_~rKnh>_4h5zWirI^qc;E zZ3j|)kVL!+;^u4)oB*P5z>)uvDsZ#u?S4DVbAlBu#ZTAy}lg7(k{Pg`DYqvsmR8+ z8btMW6xo)1L}h`{B<7ku_J1^&9pnsX!Pvj($QcpPh3`FR7_*XGgtIl~4i<+&jTxW& zE&%%2$#9Ss<_7nmAt#no8=NvDGjHsOvZ3vZr%v)h0R^)j1W6=JP`Rvma(T|tZze3K zit-|uVQaB#H*I;!WVhL*boyaAyY{kmVFf0LE}yONbXPX(Z} zcOK~D#ft~7QW6WX?VbfYODo;?d8AsPp3mrOqHwRzhjAV_vY<&^5y~9FzR_u)6&98# zD)7;Tryy;r7PO2D_=p434;u26+x5~^Nm$8Yv=lz;h0R(KbJ+dc-#!S8|5jO@QsK{! zNY(=1DtxutO6H#G_`M9@sFPeN*=JcytFyB|n||wU+JuJ7EW!&1@HiCBFTdVg;P+l| z(AeRE#IaGRi(uJ?;qLY^HXF7xCtBDIdhq0G)Ei!Ekef$#o;{Hk*bZFEusxHHzgMmy zpC1-vQ9FSCspYXf<_yF#FR~h5XXMvWX8L;s%Lqs1q;#tOn7<&ZiY9*YWI2@t9w2h) zKN2Zv_2^bJm-mu}cl=N|%Y94Byt*A>J*mNJU&dJ<#YQKl7)ONWwGrMeh%Jh;v&F}) z#4F67SO1fe)_8_Hg0>AqQ*ocv4uUoZ2^-IqUh6oE4}nrhSQvtt)}q}Zi|^>7LIso; zBy*Yh#rK?V8RK-~e>BUz9XSB%_aZM-rEQB%8>SD-8D#xbig{?FKnP#~7Is=~|3V*(qPi3XeZWh>N z|A@}WP8;P?MMCa-O(1+6YrpaywNih%#c(31<>45M2Y-?XE*F{BbuZ5p6@{5!nSFN+ zyeJTpXt0^DEAC2e9?X3A{xS}cY@5OaV4ACa`|tx&*vE$_Hzhq|jQm3O;&s{(Fg4W- zTZp9?%}B#Y%LT>;-`}GVc222*Jn5k0rR_zLy;wUt8ap5zh;Dv&LBNU8g((dRo{+!E zpS)3vwtSr{<6f58HnQ!(_8O){nEA$P=rXRQF=IZ*_=avuu`;o4QSyh3y2 zRj*=xl1xf|4CaY2UU)P6?a?TV(v%RHt64!1SQDaEpAd0RR1b7;`peJC6e`271I=Hb zI1&$IEEdF_gRsR#fCs=9j(Xk^L#1?@4LS=*8GFSZ4Qd}EhSLdY%G;o$?|X2jq3xx* zQWf%Rqh7mQS#G!%4*E)*kU1>p7fEt|nM4&2wcBui8VNjoh8UQ1M?RFsR1o=R9f`3m za?DV8gagE%KHDeptpCT*8wN@S)|nrWa#yjmch=KF*jh zS__u1F}PzWP;^K|S|jkdyWK;)ybPV~y^;~X9di0IWxt@-%1LaR1x`v*#^xE!)Z>WO zVapnUnJ$J1K*6P)IH9~CJb;Ad#9n>JJ1;^SnlUg+nIXG=iNR_6g_3riw*Tz`%q5i} zl5-S5!YD09s6}Tvb91!zF9bI79T6UIY+=Aj8$`hiAF?I53vj7#5_{c)#BrgewAwqg z?Pe2K_hBwq^=*V#Y;Flt__Ns36X!A!JeJGYPSO8DO|%h4diY9cj*u6T< z7#F8lph8b2O6e{GY&DlSO5c9t9&MLHCxZ^AkKCuwVt=N0BvYk1ES0{xQ!vHQuZiFe zHS&SwD&^{(*R=NIhO(n2>V)iwpQnK?8Xs4BWQOMnNbY^)`Z7rCtBY&hD!_Gi<#_f^KwtLER$X!aFssE=K9uKPIfDG1h_|QIf%rGx>zdb zt}B*X#?8fNtssC=8zY$(AlSepsDI-G!GFzMVP&RAyXNoM@|~P|p#t;kdj_vctO`z( z4)yt#zqQ>(lem+)R~8!ghdEF9E!s)2kwfCJ)GrCrTTSNCv%(Uhuq|x3_YPc2c`4+o zyAbnzz=sLTLJBm$c5qctm8Z7y<49A?9m5y#z+>efH3pWtX}I1sQIg6NO#!RYW+sto zh{R4;v2RuvDxPvyoGE{`_=e0PT&qd>k89IMWOy$j2_;QlqJS7w?!U12)_0?8!mSm5VhYf!d&$VQ z5PXnEG#^1>cC7Vgmkk@d9dXVsBtnpJD5g)4wJIc4A0bbph}fcQkTuJZ35d>$GKUMJ z!7{-; z8U0Kg3(tdK%hT1Jf82+u5f8|_mj3bpqR2quzPvkNVVAGX#hCuqG{wJ8Gw;cXe4pSg zY%pBU{E>Y$F5g26C$BY^^W@7vXOg*!N5d>M;2z%(dF3msK zgY3Bpcz`-R3$jbdr}gC2))>|qKrdbHN@i*J(~J+aBmE8@vJsQnaJFZRCw%W*I!pM3 z*J#RTeWsNrBdT0E4Wn4(;F-VqVJm{*`zho;LrX~dX+o$hx)EYgX1J8U+|TvVRpymT zj4$wyZ6Es}Idk2ZD%=l&7Dvre?7yn$3L-VojNPLF@kb7k5zGyl`1XC4GI*4!Qv*=K zUa~A|esz)FDzgKzH%G!cX!jF%=-a1Vh&%T>S)MQ5=Kizq$=ydTO(~0IYX03^zQD&> zKR%QW1%+5H>4YpF#ED8IG}}%F*3AAXW<}1ZDk8lTnKb-0- zThf(+6(GI@;#G;Sks8t`$WS*z5*QoHx+_^)my{uCgq4pGOKleVB0-_DH;%2F66dS5 zC$nSH||iEE0Dguekf7Vj$-z+B+auv`j89wrJRyaZ%n%y)xM!fs7FeWevN)@SOB%G$m^#L=#Fd^2T^(vOn>LWS(+)JU{`IIq zO-QEA(l>su4O6T2f)6`I5s#_|i+q<*&z+4T4bje^x%vO>R!~+c-(mPq7@Bk$tt{JiFgMhXG$POMp^rY+2Nb zQdl94SjUAa35OgQmC8=Nm-MEYE&p>v5w<_8N8$mLVIa4X$lH_OWnPeR=}!uM>aFVO}>biez@7rGaxq;VjXG<;*6A3iPVjFox^J!2_y z#Tu~NNAiW8l0FC-S1qs!NBtsB`e%#t#AD|xH#z-irov2s%RU!C;#JN`eh%5?pciHUg1jV4OIww^y|tQQDT^UaMvOW;C9?=_PGI)_Nx^nJu%Y9xF=i7{LWi??~WY;>4#) zf|s@!v2^Sv^Tg+SE}g(O19E!k>yP@yG|4S;TSS?F3p1I2188Z7JQFw=0~84zn&Sqy zO6g1MW;_$}iuPpm*-7uX_AU3(hqUPuUiP}5TP=yM;B&!nV_iRHQ^1U*cj{Cx9sZ#% zUwvOX{Fmz8W?fHd;JxM1^grMys&bruQfVY4T1!W~mr)SzS-H64vNTV7DF3iXJfDCk z_w+JjKJJkD?w_{i%X^ezCEwgOBSVUjhZTpXl!>g2GMW3rSz3zj&B4<%#p>1|yx6dx%dXXg$jh`fVYAN``I4;FgYAI1RL$4yLb`Q%b>`J+jE2*KlO| zsKEMzw&FJ+ZsRwAt>7}9hb#_jd@y(s_sMQ}P+z9{=p-qks=>Jcg#{A}DJ6GDQ1#wD zF*|phh&d+IK1vz(lmGeaA|g;xb0jI2p1bT2cy)I@@7IsfDATZG{l;Ht33rlKz z^u#Wr*>&AVgu^}ELhL}+;W_}6Hf5-HSUakd(23?53qS1d#F6Gx-^`GaZ^ z+Ow1*pFawn1o2Z~c^yCBz%3<`e=hTTmK@o>d;e{esm0fn!M@v|MGxG2a@PI8l0=K; z)IbP9x3<8dkk&AKj-O!gnR7z@Ao>FiW#TQAD25$-l!V6eWv@wi?)|`N#<>hHPK)Rj zd)W9I<$mcqg)xfB!IN0wViSD2HcxfaHd1;gA;54rKD}wJ;#NeYs+Gz?G`2PYGs0h% zWTqQ(SCQy(T-&6nyvEQp0=wPGmUfw?IO>giy(Um|FEQE0VB%<0dL(`7s82N~t{k_Y z2A1X$iX7j=lAEM6Q`0T9MNh$=t)Tuyt0AY%f^#>Lr29#dpi7^h)iE2*Til_f!4Aja z2eYd=&EDpsAwpn9(t+&$qKdQ)y2Fb))L^aLr-)wo2q6-{+77v?1YE19xHZcM&)F8M zukFw=v@f*0s<;F*adM<4p}pGNd2bSMncUfvw!Fo5Qn(GEV(Zer!v$R>x&Jt8-N64m zP#*`rI4{X|7aZ^z0gWfiTIdb9G5CK|!J8#vXNqDlzSxjh|T|*1H zD3&SsTX=<`i29pzeER#zQhI)YyZ*@m@%&1AaQ?e^nMxdd+ytfUmD)rbto-)Hh1Cu= z#FeUIdj@YDes3au{MZB{A{foq;D}pXGS}o(@5)`Uj(BzMMd>_^OQ&E20HjD(X$CcB zZnh$hw!$WO)@bAM7>(PQE}tId!25iO{a-MtfP;p8 zy)pd679jw0p3k!{AjHcGXX~9ugp9Mq%J}nkL9E|j@tGy_U#nrv>HH!yFFkQHA1Ae^ z?6=;_Wu|8sL#Cm^p!qPxxt-@CV(HdF@cG$3Fn`7wTE{^}Cp5|cz~Zn--qfc@{T zug^cY?r*_WkO!HH21s>Me-4v8z6B#|23G0kV<_;A>1G|Z^t3gy>R7*6L+H`{%C>6i zo5h+5^r#eek5yV;?}}_;afBeuWal#BKi5Pk2Vs^(vfB0xtVNm?OEpWE)7x+=QE!_jd)_VWYSf0 zJJMkMnLOU36KAYA{c%s9bGM>_dZ$Vkm%o^du1iUbEP@EAd^Kt#FCrVgGmbE*Bf&

i>`)z ztS|F*>K4Zjy+D(f@Kb{B`$^o)adBumDourisld$hO@So4K$%W(%6S>wn-VUE&K0~M zd`O+|Hnfr(l`eJ*ttmycf@2>)rZmUYm%5l%ziq;ye@R`+MyjTUjH zdu?Wj%{8DYn)YH@)k&Lb2%RDt?>vTJmk9%_-YNZ;TuOtnnFpL@9TFL5)l}Wl!IyM| zvlo0mMVcjUQ7@`$CYqVXuYzHT5O)P`cYQKG4J9V#d|6ATeEoOCVQoqx)(nQ`t;YH` zbT$2o2)_YenTML}LB~^2-%l}#;&?qT8xoLcoD3_2`e$Q^A`~pp++C$-Ml&aH*1(v` z7+CoX*A$!nsYDznfsrgdhT=+=Pfa;N2qLa{ZG&8PXQJOUhEy*R_v+sG>0`$)Y{hOY z2H~S&IF)Ubt+U6v7@KQ))}$IJN+KS?D&~e4PFhoR1`JP!%!c|U2*V+2`Mn1Ld&R^~ z(al&gNZrl6#uHb-9{*j{R<@7)hACzod3k~)a-ahKlDXi#;1y_mECXKP{T=E^63iGi zB=XApz|c1-!?zPO;VFPO5~KK@1^)1(b++=K{wds$GKxcq?!qn0fK=4!zx43vFDxkq zzc8PXW&6;I*iNB?mEE=r?%=BhnaDt-G2VK;KLk`U+iA^M18HEV?^}j7q}V460!PfC zYrVm`iXcOgP0`-~GKW&ep>Jdg(qc`4e6KG$vxTV924r$NV@KJ7nYEBK91SkDhSU`x z6~2A1iw=a0Vo8{)Q}Cz?F;b3`X(~g{P2D_~=+!;YrTf1cf1FX&DD{))P^0QR|g zaq``SkJked+V+RM)>YnDO#JJmWW$3>h_Cg<5q6k-4rWb~L5jvfyePLg!ECP`V@pRU zxY*kv3#_LRH+8(~mMiSSOzgdZLllo!`ICW0#~uXPMj80@Fb*wh{O?H!+a;~GsFheA zy3z-G>C@|7hSV1%A9F_jBRcV^gT*jsG3J10P=Ods3B1J~rrkJtWPY%^1Vc~9rP*5BZXzu6oA zNAM%kU+5b&p;Mq#k6(|!0a++{ay-kdwMsF{Q*vW(Kp18v=7G_%!7Qgc1(FP$40{n!|5Sd&`c^r%W80TT6^c(WeH^wY8H7bu#(p6X&N z*X=R$SvrcBy0)zCrKR9mlr8pQHPnzMb#jIG()0k&hrVKlZu3AL`VqOa1c>f$xw|Cg z*ifvt5aTSNHtuogi;w6)BT@e73t4fMA<)NbFk$gwV@am~m5_BaF(xk%O?5*g%lf)v8(|`>VyI{uAvuCO z-rB50B8jUo_Fl!Z+ZWKA%RF)=K+;fGc?x9~*8U^BhE$;ft+$3~H>d(z&|#P#OBSgu z$f4UAnjy;I<*JjUx`9uSKEUH_bfb{rmEu4>Wb)a8n~ezPUE>PFyDYyy7E8ekEjM z6W~G)0%yT0h=8ENLGyh{W5#}}Jp7Bh81-_1pXPicvcDHE|83?J8XoZ-&j%L3HmptM z6nejTs<{B7W&yIXw1Opy_~br@{k#b+%g0~B23As9X)Iiiz-7mB@x&-ZlI8*Wp<5ppQIX`E|ED~O<)2BVxga1iv0weFWT~^pU zdWOen}meWaqB8v0Oy2`l!YWlYOL{kIlLKjHuL6uQ|+=&9ZwcQUB^ zHy6vgpHuh5F?-}-GrJ$8z;5+ zyKbBmSiZ8TyX>J1PtE0ftjeThs9g0q2(pX6lin_o*$SviTS}1o1u)ML5_XH+#~q@h zlL1E%=lZdYr&mkG#+EP;E|r+vXPY$L1rQ8B*QQNvKYe-IdbS3OY5u&T{G5(i<K|^tnC-KfD_ybqR~)kx&_=q56Z1_jdN_56)f3`$=$i4 zN3H8_fJLbi=g<&HhT%(GV@cHuv@#L_2D2Z*4U(<`~YD2`JZPL7q2M-Td@%82dN7#f#rVvN!Xm!Vt>mn{|U`7N0lBS{KVdu)!2fWgSk{V7j5R_r2SmLrtd`86 z^siBwkn`^oE1~v=r1MTC{%Ay204tEhZu0E)F{s|1kObz=_~6IuJXrKYj!JG|bZngd-yNaEHifhxFy?moTO@$9ZFB ziGmgUG^Yubjc{-ZO6>Ap*Egi0q7Duh*cmjITLLK_H7^=@2M32nBt-_5TqO~zj$|Uu zhWD=t!DD3V0}ZRF^d&$MoHu)vzPJfH&j+h_VPYL1vrltUhpD4KE9wi@EZiiiCAUN@ zGli^MOB}32I(y$o{4k~XaUz;rvpy3j=EKyjda?JHFxMa8*mJ-dDYwbNm9J(=aL;vs zVt#0zekLY)O7?A2H^~IaWN1u3zT?eYGt?I(C$B${aVSZFA5$@|0VBj^E>T_pT7K-$&lS+n+5*wM(Q@P5 z>k^#7mlC-eg7XsMkZAM&Z1u89a!=_-8E)+S6O44MVP7K_4R~f@bDL5Ixzt-xMN<>I zr`+KyMHJuR^xH){!%7PDD=eC1QLWU23TJ@?_>$W4&lO)7|`2?1KJ zr*F&FUv`oJQ-vlq8`y73Qyd-PwZB}gWaI8o4sW8kQPgf4S$9-1Okq5g-4v^E8~b94 znP-ew!;4SAhftY!go#8G*Gj~qRPc-Zy1Us>NOnd**rg(i0vSVR-<62s-x>`v;UxyD z1M{{}AMOTL37gkNF8utZ%;icuQ(i|-GCXkHCY3qZ-5@zLYQO}Wi2Q1~<%+>dGX-M9 zfcCZ!S;MGq!3S<0C>OZd5u#EzxRIp59AtqCWe2AcpNiKG*>}`!q9VCWD8`E)D^J#wVW~S ziHLg?SRYODYiv7p4ANer5`k^+K|@RPAw!=namZSGDNBci1_K-#|DCQ|)Aiw9x?NPs z!)}R8*Nok1my7oaCu>B8j-%)pwQG8a7Rf`{bS z@aY+Q5s??T^M;bX#Y)g3Usc3t*J|My+1$`)@s;U%cfcnJOFqYHGE3V5ueW-jZpGE4 zx#W_CGG?N8B5!+G0OJ=6hRr(0jUDNW0q0%&hdE}wc1 zn%?jrnkVcMgX4Xm0dK)8(M-*so;98EQ&o8-WF&S0SB>k8%$w&8T1l%?2wd^i&I*Bg zaa|+Y!3fEz^|TO_^RksnQenen$}K@`Rp0fjav^Us@QP(sOc70fgI;knOjZ#>*{3(7 zxSR8*v`E88YCyw6d&Wd7fsJ_Uc5d1%s_#nxx>OfQUfd%A<&-6AZr0r!H0HIc9%6iD z1)7)%l&Y(`}T04^u?`+>?U5P6A`33t@dc;v6nFwl=l zF4i6BGcVQAjQOz){F7p4!yAEM#sPlHb3%9g8xgs2>lT8h1q6dY@>HVg6bd&Q#TpKdH+f@Rbadrg)&NG2-qWQ@YY!@bTBJVP z24)H-?M6UT>of&xhZ_rUBV|gf@Ebam^xxvgY33xKNl}O6$$!58g4KL7R&VIHZ9WcX z$(N*_WId*oMNCL>_u`m9(EiBsilM-cR^0v{)6u03rO(vNM*<*u7~=`TVlYx>NM&(_ zG)1%uOV}1NHQ#hjeV1NTpG1&fQ@UCAAKo!X9ZCQU%-fUFx(w^&tlF8k-Ct{J$YXp) z0^E83{A1*~Ft8~JoO71e;J!%xF=6303C{FeT2)Y_QMf4mfp9F~H1$qaejDCA!8A-} zwr7-4j4WMw3`V{EH^2)m3#8r%muo2(xCBe`MMTl?gV}IDKF5?}-Pmc|qIrkuTka0? zsMT1bMU+rA<_%$r+n5!q(II=1^WOkpb3e08%Sa;P-6DtGZZK%bdLWO+)Z za?+o?bEqgC#zct3q^@hf)G@Mp2tzkg_ZhLgVe~A~=jN+!4Ua$yKNN^J<7kz&Lo*OJ z`b;}P0tZ6@TfcHEnRaFRfqxfd}qWm2sLtpjNA${U%i#o-xP+E)u>gs(URI~x^ zPEqN+y-a|Xhh>v@LDkB&H}AMO5?bU!}p=lo+_WV!9`m)P!e%>TYNQUyqC zm0;SB{?ab=7zJn9|QLVDSKzX z#Exn*e&L?z9cwTCYaL9vum0ReA(q1L7o^1g&C`|eV%1v@bVwOh-C2YnWA?fjWhcMO z7gNdVc&3=F9pN8pQpr-u7U^rG90Urz(f^KCtR0K%sTeXR>}S(S!gt8UJC+o$q!u(9 z4Hd0b#*(~7ybVHOa1~JWP{n>u<`C;1fpu%REA{|8XqUUTj1d=3Y47X;KtR934-(r9 zSXZJ|_?%1Fc+_z8-}OK|O#R%222r39HYSCTjK9bsD-)2p!<~o&1ol;gZvVJiBk3#Q^~oB*JC)_mqWhK+{*A-^lP8-g#*bK!$fQDxS)5@T92`Gl>bc(6-JhMnSy#iLG7qt z7wFI0=2RLm@A+ca$@K1rU)L1JGu^;I5#&JmTG*!P({FkFu1VOccVLM)Rp32DPMquZ z(Tia;S*9$m2Zfj#G`B~9QhvM78HO5klW^-nz7zw-ls=Li1I5B!}U z_&?D=|F@Ro|1V~eKl1~L%o@TWER-xs4j3(l{=g@e<_|4d{*pAQk^Uft9>}D3rx`H; zup11B9@pt*beNfFt!ApFEDiWVWtk}x4CH+Qtk*hdN%7(;pv`TO)o&ScH0rXMQ)zxQ zl{O;NyOWnKMr)HJ%aBbSWxV)4!DQJlus_b*A|VzKW-=3b_q_UU?>E5tUi~S@O7iHb z1gwo84Oz8}N)8C!qlHC>C?eGN`*hOIRq9lk>(>q?qstps*Xs+CVU5mkf5@AaL~Gq^ z>UgL(^0DF^UnT~Sp4tne7MwtuZU#dj+<_qsBgIH3=WATuA4_!5=d@e9!?RpW8|LfK zAU{QY*)nkzKRsdX(bm&fGR9Mhgp}Ul>JSxV4Z{=Ft1}{Aul1UN*WY{>=C33`+ld zEaI&*JDr<^XCfcl)4u%h+XVh=AApS%Dli%uE5kH*=FO@cXT9I%N`~3Bj2rg}aS7Ds zFidGLRp{71ZHjkcif%!{FLBJ@vHLe*)L5BQ%GDD-LRqUXAh^Ydx?=bH=XTWCN2QoI z%2;FbUtZMk8vmw-Z)yB1Q_cfnAjz0C1ht+!tOMnZTcJf=%IEJN1ZTBS*b@89>`I0h zPwWMA6)XZ@HuSf9$ao;^XDitt7)65Bs@Xn?kLCjNc^CkOs86%&41!iXftD;(DT~sn z>P2YN;)h-ISKQ?foPaUBU$95=bONK*_X&g+qy6F3t&7M)Rn^W#?W#=v z#P#C^S%gF;Z+{$rnDb4bVOG zwtzp>%swdrv>1|IVq-nFHHDU{fcFx`n0Vph{lTJh&*rgfh}r`p^e&lz>iz=PJ3Sg( zor#H#o!Bii$JeB(Jvvpwc*U6BF)Y6uMxHvV&+cR>E2DS3fiY*CHZNEd^eTf|#gh~C zT}l4A^pt)ir<5L9AMlOqjjn%*U zUV8pBMGF6_n&_A`+OayG_(ypW78%mUC#1h;l_Me6RPM`wC9LwZdho=xKTX=$F~a*R zQ+4swcZY&@_)F~}@{lOI;__=e$RSceu18=WJzeO(_F#HG!2P+4V&(n4l?Bp_@%nXo zx`{zXz^3#2h1H*iq<7sWuYxaZTeeyqfFg1CW;}PMqV?mqQNfAOMf+{Batryo=9739 zJ!0rQE{9`c>MBHLJ_^JMih9_YCrmBQ^!9KsHKtE)Nv5q-iDJh^9+_3v7R_azJ}D&N z(KNrTax1sBw&ajV2fvOv_z=&6SAO?J8qVQ43oofS5|Rg(X=sr;_L!8gxnnLb47-T^ zRamms(pT4tGZB~{pYbmBNo>?p?WICPbb>a706!nw+Ksx?u*wiSanQi}t~2R7Mgg9An!W`OfiCc%zTjcz?uC3*}(=zClKNaea%Rsi^DGNNGm$m)}q z_Iu}D%4n{c&(cfA!9fXDXM2qd1c*s87Im;`0NxctqS>7#KvN_VyN4I28Ae8tAet7< zYl9)J>l=cwPP94{cokG=6NRGNDAPM2+*NO=mrBYh9L50ul}{{`c{jTP1~7-WP@3PL zmxj{dtO74t#^sU~X3^8`2GV%sQf;frr5`?>aQ;F> z-KysQV~t5U94idYA(Q%Y_OxT*+QDkFZimAHVYf~Ro|nGJqGW_L`R&7tY1N?~y~@DG zbZl0IH&qVLA+-DHK2*$qEq0%y{?}Fg18tVONom{ zeCDBbqcB96K`krE2tM3@|fO7(O z2WafA+p5I2v7S|(E%Vk5ijk7(Q`fMoz?rl27y{9U4E9e&Nq6-}p?haTc681r!sO2_ ze{x^1N81W<>Rqpt7$|}wWT+AcIs)Pm+hKkMPvP2c*ZEj5O^mE1m$lP$dkG2EEc_v( zCmAg9>!cA4T}4xbmJ@1e?V)WC%ZwIZs&gxrU22_1m&Bgpz#34Lt9`s5B6tWk!s!quQ7Ci5I&@=JhKd=%AvTw|B|aLGqvhOUlOT1x$|_2 zi;K&7HqIGmeKyEma1H`?ZWkU};+DhXJZ+I2vxQ4`5U@DN3$9EyQN!s}X+Bb$&qu9!wtoYBu8In#&UAdk}^A-Q!KL(^JJ% z=ZhLg^s5*~$KFF7=6u1jQ2+tVqqf0uW;*x)GAThI$^DI`-~Q?keDm>M!4hV|stYr? zJll|ILi0nUfa;YI=bd6?AnPFrJ{g^XIXVhMyIHuQ4|{)(jumv0fD=sBx+(igVZ8f) zGr~Z%2b+EkH>jK3JSUEg)fksB6XA9XUv3(OyRN9SPrN84=TV3=dV(gEJxo)@(xr+6 z=aUr;RLS|ShNYooL;OwOC-|KZ73Z50Tt`G|?%#l+49b(@Urxg>LZ42NzJRC(UDkr` zA##;b?M9DbrqE0emsPqigV!EjGi}h(0yb~4w)mV|5-U#*3OrU*ZEOlJz2*~hf{Csm zd2C%)q;4QfB}4HZ%o$H}voYVtg~~rWiF|~sqxfb31lSjmdB2dq#aKVRo+?h1Fyts| zRl)m{cM7)3L@vxE=7W{_C*eT5(StcPt{lmvXtI z7EvFv2OtOwXIkXDc8sG)hUC}e+-!zQ2bv$p$Rbh@bL?p5*O3Ke9JYXWud{c$%u_8D zX}MWjOnEuV4vESb>_03j+rS2MddY2!{j@3kQIC zxntwN<5J^sEnsON1XIy)OK6%V(ekJ}19n=t`+k1`0AXMN zxMG0cfXDIdIwL8BJ*>}g?XO;DD8Wmbl4w}%?SMs${dMS;52n#P-^2?m?2IARuF>B_tA=&N7hZvfI2MYr}xNxGQ)Y0a0M!D1c~k#zY_Lc`+Ccq5pI zS7dt9@W)+1x-h&w1ZTnieb{}#XJJ^T$Q-on>}e*Z-bXEL{#G2VUQ73w?<5c)wnpFy zt|l7&^<)g7bp8Y99FfSu1(k*ZT!pa%IsA?K45)^bf>x2%f*T&=VD_pls(0kkg@U5t zQ;fKMb5~7>*7qbeXQ+s6Q=zr2Qy&_Rmb6ikMq=7I`@s-Y+uA0>;xsnyOP!yXL0GJ@ zf)w#cnktK0xH2Vz!JKVa6!(?lS9a7cIovUfuXMo4TPJ5XfdprbJSuT7B(ySV>$kHK z$=R3|1`9T^i3;&_9^N%)8GK=0O7=xAiltF$5lxps+$2Lr6*}VYAA+;u0|Nb4UdHv? zKL#i{yB@sG)hvIc?tQ8iQgXi<+wJgCc6eC*EfGUkK4q7f+yP~g%sk$2K>Jguz1TZ> zhM)P5DeykFL~L(nm7c@0@hvU+se)IcXt|3o0+9`d^w$>^N<4XyIfar|=l}0#S->(R z_vQu(=`P-Mvdc2nIimLtzlMYe3A_~Hh-*_AXLI4>18Ec^VW~bb*?A2HVzcPR(naYj z?SOG0U#53Kc%W1y74?ec>@k&wnwSb8xsCaMGgbejDi1 zOz0%*xl9mn;}1nZg5%{3#K{I=O)^X?&Z%{pO0m0N%GZ|9$5UoJ$HN!FsUU=4u5o)! ze(np(9#nT79ZY(UB)CF!V&BiGAh;2`YT=OPgTc?6XjROrP-4!WPxDS(CV?5q zBS(b(BzL%%Qpg;MKl&ItKDQ{GFa`%pgDqz;R*glv0D~OA6e|V79^k+6oW$5xGSpj) zBuB-k6F^ip;9|7`fM#!@?!=*s>u@aSq0v)ABh%j6jo6xv7xC5 zijMzy2qWNMqOmE`Ty6pknMbXhqJ|I8uYw=frQ5|4N(yyMr(Z}0_LLj+GR_Jen;p>e zeeV*AJVNI9j+sTSMlzZoP6$(36xAVL!6t0;&Dk7+;8!m4UfccJ;*k+Yk#a+Q6tH*S zBhzbXX$Ap`^wlJ?9MjY!a%e@;W1g4UGB%~|d7n4WD;Y%4Mec_U5YRukDyAbae6>Sn z{|zX)S=x(naj*kY$e;-}FKRbLe#`(@uj3m3`ZO0wQR}x89whI?BRm$c(EBK~I!wOv zkE8uVV|i5ZNBu&sIyg0%UJx`n`A&hcoo|CSg@etnoHP(wEW3=6=2AS8!PHJ8KAurMYLsL36;F?&(I#)nmt1Hwmq&D}a z`%B!H+B`BU&W7djq_2Ku-}dQWF0-31QK{we#BQl2jC&Vk*im%1h2H?ne?Bne+V}i4 zI>!@p(TXN)XTD!p8<=SrW*=Z-fA$B&YT?fj+r6{S(! zR<55AYhNPoz8<4acHHPi5i6;!kl%oJg*gQM`p78K#ViWaA;If;QQ7;0NeOgMiT3;S z{^t((^VRQaUWgLig@p2ZZ^lFZ7vHUoIDcn;pVR^w9~b=n9q`VQrZ9!}H{gsWq@1os zq;P;mQc;ZxK!YcC=?%~1x?TK~kYf+jD2v9CD5#dErV@m4 zUzi&{b;Va#Lmw~BJjGjD&<#7gax(859(zzoWKoctnT%G=jM6V7*gw07_gET53Y2a8 zv)4Sl%QsxZ%wG!Fy?L{}lokHWqnbd)xDtO@DA*aiE}Wa_A$poSqhZDp@O`k@Uf6lT z&S~Zq#~R9vuu}}9FN&m2O7c3E9E4S6;8#Yed_3-{ysH9RBRz`o!Eo!nFSVf|!p%3a zOP`l>_8%Wf|0otaWoHOS4%4teFzKq?Porp<@qoVtJ>oUNdZ|0Xqa0=gz`*gNXkQ~ zQWbOymMvtzg-oDi3P8kYNdl;PAb6=2l|D9>+bWQGD=7ne1pv@uePP zo@S|NfGY5P$`U&V`*%FV2p%^_@)p68QCL+2UwiGsQds56@wx|ID8R~!M{xM2e|v*Q z(ws>ZiX*VOJY5t|oqY4QUrPX!0T*WlC1)HfL*byT2;;F*KsP}U3F95QdHSg{9mWYJ zo%-iDbgF9zV(xnW^f&$5Ytl|g$Fqy%${o%Y_Bm^>b!*JXpj^~~d3oF9QoWv>>fQl0 zYRs}0?DgUF#vDP8`xK4JmW!+COBX4xTmpInIgO+Uj%c=WBj3&s=I!0G2nu4B5zEG< z8$=|A^J0MHDKc$a>4F2D)4`DSNAoQCl}31q-ksx=eF&U!^_d&(lCiBE;XML#DV%Z? zcjLi&et#2pMgpt8V3bFZATzuLP;`(|l^;>X0$cm^oB!IEl}hc^h@mOyhLnq~pyph? zrpz;2DrpVDUA2~j)Dx_?`Bbgr^i}jAnx4Aa35veA^$L#cxlrh*l-edI2|yqAlhXmZ zP2FOKnPdBM9kVI`XoY1>4^;mRXhJN4r^{e|QpA-X%r6Rs>vNhXTDYmSV^=3Gy9BA^ zI-0E@7IkYj2v8#g9aOwkPEe-XrJ_Kn*&jYqJvOe0E`Wy|kycC)1Vd}enR)WlFay`lN!G8IOw5=J~b zpLIMCbU6qt`;s#^luORlF&BqW&+?5s7LEP_c3HkLgqN8f{eE{Y=GqU%FSW0DMSh|h;X$^)CsL8lMx>>=K|xp3Hl{Z z2onq$9?U|OU~J8K?&a4xsae?AU)%1wx@Kx4TO*)kZ8*axHtBq~nImTZdEdAImw9uM zx$|rx$4bSx*}L@g_wCOSSd29aI&*zCAwnyV+!%4MPQt+WxwHNo&?kX95oTN)!N-E< z-qzM;2SrMd!g%XWkt3Yt>oFl*?GV8CzS=M3=12YVRAN*rwrtnR;zXyAP>jHDK!f(9 zXWxty#tDqnDGc+j%p(;|66G~yfq0J^ zB_y;+pSt!@n5o>As)O@#qlgbu2FwR-r5(b=gVsAJ^svE1zX7UJ150`d5$GPR&9hPO zacs{y<*NCU9llNzQ9~7NqLZVzHJ^vp+v*f!&Tv6F33E@nnBm{l=O)UT%muEFhZMda z2X9vzuyM}GzELnn=<_Vw^`_o{4f4nb(3^09ddLPUgciVYFI&nd<|~%5+8vpg6&8TNGHpqYHC^JeydFVSEMdR zuz|A}!3jw%97^k%@A`_Jf?nDmC0!ojHqiGJ??N#bDSG~Wv`d#mB+|r;pvXR7f-x6c z@NW-s_huI95-H;UuSKrsO1N4t!vQ8es~wt{=8CTO@MS5xBV)b`1iFzFOOaqE5wsVX z7I@1jB+LDr^=0PY4&KdH_sR@QJ2CAj3?V*V&G~U85&HbLZvJ+ny5p!TGn>XG+(&CQ zaVPJQ&L>&hy(q~rirp7(G1PbpRI7(XC>2Ra(ZYzQ0K?GVV$6YJ+&S9)!MBJ_-bGh8 zIbPxgdKo#8-^9cuK%5@}NZtm7nen^mM)|M761&z$$@r@#2mYKn4Wy?cu>n!NUride zszF0L)Ks6i!1oipqs#g(INdv<^#=R3!t|-f1g%BqtaE))5+AdH3J4h z@?XK_)V5XaFZOGzoF z!?LMe=0qMitoN(lX+HLf)NecQo>|r zt_?k2R})YO2z+1dFnKqLNLI1@kM+W0xdlAx#-iR-(%i4XfK5AB>-6Yu=I3acb4$j? zo>^1vh@^<)p8Ze(3Hy&GnXE{@?eIYsh#j^sm*+nps$}N2=}1icUlYqHUs3zL?~j40 zUiEV)B}7IDO*|!NNk|l?qtYkB9#Zkb$X^KR2xcg2O!*CX(8Nhk1XnSnZK;N782Nt? ziKU3~m0{wK?UvM=2~fLfzLue`kKkmNzqyknP<-E4lwD201;WO7F#TZI@NfdF%CR~I zidJNs=#NneXvR$K`4T>HOtRS<-_CVf={m;ea8v$#nABwch4SJT;DlTO@ny&Qhf?l4ep$62dg#GXvFgQHVOkLA@*O~P5)vf(LW9iGP%ORN()}?JMH>j~Ec zsgYI>dnz=^3taMf^?_-#fBzR`qboGv48Yr!~)`OjDC6)L>*;5p_K8auH1qToPbHK*M)y1pwt{x-gJz85879ZR#B zQyOaG$A(>^Bju)E7*coJMl6&q-d>4*roH7tRU~Lsx_R*v)}vuC3`#^FIblBOsK(c< zX@Z?7_fGF)!4K1@;La4p{AFv}ZH_23dlSLHF%5qCuUmt8+WcQ;x@GLt;bLgCZvtW8 z8IxYnlmbY@J)R=VXk#`x0|5$Vh8o_ib{zD=&R}A!veREZuF+whm083RpF%M6{;gW0 z8i4?P{XFgO6o+=l-+6S5ji`5rLGjPirn zJUGLSDPA0HHRL8DnDyy@u=n0UO*Lw}cYp|l8hQ&7lF)na5(q8yCISM|yGWBNN+%#- z2)*~FfJjl0BE1(W(gX!Ws&oMn;W_O6l>P4Sd*3r>&NuVTIqy8P{()f_W)^F$-1l|; zu4@rdqRX@P4QvoG$vzxIzsq9=2nP!9^o!3^#0TScZtcGR{^y`7uwJUiXSBbB%^<5eILV4_J^0ktK|S#uJcz6|Jd_47gH&bQg!-k8k0$G6#m2 z17nSm+|#PD@C-hn7Icbco_lL$1q@)1+w{gY=BS|@SIrh0k_*!qdUB{!k3)>wYW6!M zN-!Gwj`5b~^qM;MSqUrDED=q-`bh(uG$~V|LhKC>dGUDXr!GdxrP;n61G?Yc;C>7$ z9bJ)+@GtILp!{-IxUHV!8GplCy_iTdB5&B=8z{U$}ZYDCFjzg}m ztGx5e_#M6SkKrm@e6@rP2cbvC#QN=igZVg8>V{2LEBOdoiSl?W^A9fCJvZ6p~x31~eNygA4Q-~KzeBJ`bp=L*E?F76a zyo3)7StmK3y@hcF$p(UZHg!5<(xti@f@sRJ4SE8Y0=@S>MB8vQPRei?^~&0K)G&y@ z9|R{17g-AASvy$*pCQ&9C2RM>vkqI&JPV4v)yY6@o}Nm>ZLthJ_-?CeOrM;fexsdq zFSNdZYXxDhlUrli4=KHP^&^|dw4P1UuWELExz~9)g-h89EO*Wa)yVb1e;q#0PzKqj zx(fKIcrL=hvPL#B}FnnZk?p_Pk;pp-oGIOP8G`Y0C~tmpdjB#WddyRs_NoF*oa z+5g?vbp9)v-V@l$?xRm{J{pN5SALvp&gw!XDKxx{T$Qp-e%>7*@d^Y5WqDrL8Z1Se z6ID$yJ(NT}Z279Qn128zV*vLy54_nZy6gLjTl!KnQ5UsMXi&UPUxX+eC3s3rRF-vr z5V{O;q-T6{D`S09lE0IvRyxQbkTG=DE!dLyB;DMg_p(#drDF;fUv=)!?O9D$X0JJ; zYnxk1p{c}#Px*>yDj_V{40u~k_OsvVYu*o%wjw^(Z}b=e_>IIU z6rU-QX;7I~aTl)=55%eYcrtQQgxiH3d0-(PEq=+n@HBTbC8O&W5l%rR2xy1mp%*bd)yT!L z+Nt>q00Gg641y^L+WV zj;r^(Qb*!#)LffxJR5PL@LK9$drGx>K*_E77=W<>t#5sd=~N!vP@2x#%U6cLPEEK5 zsUIWEXGHHcWQs$RCb%FiXKU9D0Yl{m@0gS|(-G9)Bj^BRNP;;$ByqU1z=;?z{rg{n z;`FOf%(I&lL}Z}KDQ?pGbxndtI?f&HpGj@lACeAKCqEMIDh+LTm3dL(!3a*JPiGZB zlxZI21=fd0>FhOyqs@7Lh&#upKMtPX3L6dbAN9PdgQsF?_O0DQcK$y8?F?+I3nEXO zud4if#%RNL*POStFK?Tv5_Vo^?lw?)%rRANrHR`Z~`E{a$}~`6$&z z+PY5K$Tb)H(T!p*>Pn${a$iFb(8H3*SA_5TDUupR;uYSMv*L~C4RFoFqg5G;*41^Q zrL_*>!DQc!E52(2#pj z>x{vBwUbw@LA%aRU47T|7F#t+U9hAu{>yMV9anc5Wq7r7L$@kZAcrr*jZej~Ycamm zK*yja>9-J#ri%Aqs60WmF-+|BCUi)bs7}D8K^=`4pq7)L^&83R7RD`XL2#9;rKgTv0@i;l} z)=N%7uHH4O2;0V&**2U{oVN+bK<^W;Z}{Gyv682|iHx7-e(p1R`hU6AS4a8!>6tzL zr?uoOGMZLb)5Bn%a*oW*gh9j^*N1W+dY(CJohrpddvM`+c>12&!zy;QB7QWa(-dY# zn2|TAu1856ibr+_m6BSuD#{{7J@%m_m#nrOv7GWUdpmd6|1n;vq$vFb)#NZ0DZac4 z*|y;P0{^yY|6CMdXL%GvuEWYm=FHDanM1Uj-+MXpfPnr*IP1W8@Hv6(25?i|NfVu? z{y3PO(K>YkBDR|+Gpl)_aD2fuldty%h|#@cP42RSw^jize6*Xah@j^PA-?~Fb~*6uN`2NDI90#=^61LOyF=h(x4#E3(M@z|v7y)}Z)i{c$> z;8DoYZPZ(pS7$0J`|5fy##~U7@X`7YlV{4lQ?Am_2!sSJ7yi;e%C!YPbggGG!Kj*j zwMy$p$aV^n)^g(eW?0`FSxM#X(bDQ_tb|FGtb`A&=u|c&FNqgdm5+ zA9YQuq{tL_*&@GB=`f?p}<3R6a6%?C$}8rcUm;83Mg=ufX&Q8#E@xzpXfPcyydWg}{W?)2L%$>^c9 zggBhgmV51z=bJ{?Y+JTDn&F%GgCF~+SV3*yhCk6a93H;afs^uD6j-YcDGnzlB!2cW zhTBawx@4F%WUMVr(-VLaj*#J2j=3z5fU+Y7^%nHA)Huiy+&4+1v3>cacMZHlN7Z9( z-H(GQIwUxbQjncxF@OUZ2&Ik8NJ?9 zx;Q!*dH_6fNsOr#ELchAU`12GkgPtIAecX{qDjZQ zycNP|kXS&BsFRUj<`pE&Vp>$KQAs}73lF&q zk42|^)`i#wcx;gTXn{Strvf4VYOL^ddb9H&j)nqlNXY-6+E1CuesyLC$V=F{KDzn_5;?=?!d{Ox|P>lX#Jz0~Rd4RCteCU|I@@0)PvTK*)) z-M?#_6-AynNsgJfYjL>*^ZBi&yx1cyI=46L@#$AfBp@yDwqFYJLGL*hZV8E z$5m#_XEK4)!JjoytUlA(ZLwu)$5DY&3+%Q zVWTU2hbBi5DTpLD>VRP~-wzIstcJ%^mWt50d2z@S-Z34=D}#p7F;WytK)deA4|I@( za8Hp_MSQY4x++RlGYDvr%A`@TT zDQj;&`7dkMxK*_rgUrdAmvw=TN4i56BYqK(?M7F%{bM>O6UwVnpl!<2T@~6d?nu*F zYyEJnSAG@$WKqNXEbA`A$!`G7Px)KsZ|eWK4Y&pSD=fNwe@+{yulaOoh;$iL$KgH< z=3H~4!a`Vi{pDr&`N?c9Yz*Y1#yr6ON*akyj$$66+}X6F#GFCD(A1djBRnR&U*234 z(eJN4P{(L6{y%r*`9X7@Gk0Q#v34H16InxoW5qf^N~lXgZPxr4g{e8P~?l=S#)qwHVn!2zi#AP z0cR;a3@m|Sze|K>YWCzP6M1`99|PZdhcTS+nF4mh260)T&6DsPYg5 zRZR%1?>-f&6+%}|t*|;i^F@SuTt^!r4=G9_9^VcF21l3^`%ycc;YzNX(dqA&VtzeY zwR54x&fdMS9K2#_{m1ac@aA!dRK8RD6Wi1M@e*orcjIGQlbke1M=3<|V!l#^%hM9r zK)y0M_lq_moQ|`rd-AU@yNcZ`@LA|tGd*Mon>0EZHh=&JqmiSP^k91(A?{Nk+51I_ zmlg=?r^n#{(Gwlx=g(hs00=BC8s!tYlH!)}_j`7Al1>gYY+e z;}f%*Hg{MP1!Cz4E8f9#@Ve%+X2+~WjdgIcQb#nR7s8X?bMce|tWeI|#`w4E%g?fyJr%Djl42f{=>MY8%I*pz+*eO%U>jhX zmF7~GlQq;nk~^L!?grLiR`T5s$KKwV-_M^LYxo(`gi!Ww0l4WAQ*t1qNH^Rw&!MmQ z3~MwtKm0e+I^UHX5Xm~zB#uc-1^rGW8uWytBY9xd7I?WscQlVRtW-v4X%%qoi?Sm> zFrK9pUMu|>$?9EbJbzGxPM!dzGz_b)+a;g9e9pc{P zyv^H{2d*C^dlYNA(&QITf9-R(B6}YKuL?@j(?Y@!9$%X74w_%61HoieY;P#^XP%Oy z)95d9gYp0AKoWZEM^RoNU6IOYTz*5Lc)TXFhQ2%lIeUVl=If%bcv;V=d*;_qSwmg5 zzVgAt!KOFO%cciuyL4fA%jSF99=?0mR+=$g|K9zzp=;M_t%zOMC~J8+B2p_<2m>(m zhl%j%OF`YmPak&xI(Jr$h*zc(*ZMnK8xQ8OL%v3nYN33wKc*?2#%gsfh`yhHw^5S~ zh2jR*jzcS&n4O)x=m&mn@UA5$7{x+38F~%J%}d9)c$Ef2@*?jfn$>pm)OO1<8-+-F zC9JgM@U4D}Jq!VR!c+B6g^Y@cy12Dv{$;f77<}TPd~MS~N2|)pzcM4fftgOcVfxPv zVj`XoOUph>ocworb_Ee=*l*c=$~wB~-L>`az#Qu5=LC2JJ->fB~lfeS9ePX^3_w z$0g#=N9{v?YZ$!0L8%+x)prR0+c_@uGmW`0)mhh^hNbcmpZ#Yt;Yw=(e#ggKEYUy? z)zW?)d0h`5%`pDuLZjdsD9$9VotzoY@j34_$lAv$_t-+Q3iH(-crnNPIq2`>-_JnO zFjk@FNf8!_cc+=73h*N0X<^UPXM;gYCL_@ukkD7FZ|k@uExIDPWfax^K<#JM4s4>j zA%oCMc$Zp4OY=pFA%3iF>$l+rz0$u3(eJ)f9%v%K7vb98=I`BP_3?^s`OAu1=IyBI z*5ZD$vO9G2i358%iq4cy6(YSEA~HF*6^MODDB)AMk&(VNTyU~UeJ{04Xq1}*3=FeJ z?V+0??3&YQ7RzXSo)z9dssHPRbW3Zxu024$B-|5a9xctdN54H0Q)8ko?6@|ofAM+$ zU4%!?nmRSDB(PKkjmlQ}9-#4F+hfm_2jlZIF5=oQ9?;}=R7uwmir84Z#d2F=Ep|1W zr=_wnX$J_zkIV=~=JwovIgNL#A@4}Xw_099UlZyRnpV;vq7HuafUoE>>>h=028t0% zfF=Gyg5>m7@$`%KUCy}TKCoe^!wZujECo%{G717CtB!C616@6yja@MjEtcaTrN~;f z?R-nBu(1p{BiESoNc+f&5lMouSZ_JngAHHC>xM^v2Co(ow=o{4FBT>4)n&i(6ssLM z)l1fLe3xu;%WU_7l|Q4CIgq*NyqO{~%}_39p+=V*2fi^%+5?--R3Q@Cb>cySEH)dW z4~hJU>gQ%#T0N5ul-~Z#XcVdRmGKXn9d0a1G+P0nIh;38vW_bb^vL`UDZiGjqndI# z>!=HBKK=XD@Bv%FUlD!2?9?0MfV{nXOWgigR5YEZsK0cWkNWrItNqQDH7-Ko^d1sO zSf4t0v0rP90SAxq88ScxRMQlWy?bx$xEK4VfXYVy$>IJOLpz-Z2yOLlcJ|uK8BHu8 zk}hmnKC}gmz2?gIRrKN3;F&Hq9SVM7`s~XPL?z5vJ(z5vfhIFjV-sUh+fQKBWVr=H zyQ!AXk5s#=&wlH8aJEFiYY!8&6T!1qsx=4fN~hL44_r**DDHh9GUNMG!IEIM5>NQS z`+=6tawIy5I15c|<1h7UrLs>`i4m**iZHF8iTpTKztoM!)7o;KJj!{Msw0_j+=swZ z$31%`nogYXxV-?kj*Q1EEQ0#Mu{V>owGSEXspDDaU)ZIK8hRF%*!Gal5O~i!MP1gf zmqlDh|^!&oo?JsTo>Zp(h`#R0um@0HY2N`Fd(W6D$1p0+9;l(~5-y_QL zTwfFNzOV^sY;hwHgaAC~RojQyEo^!hUf*VQ(2EoUw8h?FZ$~`yU!Mm-=(&r!URcCn-c?@s-aS^l8> z1LTgAn|ve~sB!&KwRR(TDj|tTAqW3ExMP!MUke8@Y+QuRUB^xR*(*MitCb2w>RB%` zPq_rSjoTtAjapGUC9$*Ot9Knw$o#_K8hhr#kr7?`kp;;FbJ{xf6*jH4d^Aq`{uRAH zwT|M(=U!bQheZ;J^JwxoXF9UdyeiVvzd2~1cTktTJ&a~yxy@Nk#;hN}!T03(lt=g% z(9TM&Ou7lKQuW)GKDb|N?)f`~0SW#thH094+N=q;$7>J(zZkC3ka6TCEz%KCLE*_D zt7UdGvSZsP1#BlWO%v^_p7(W)Ey2x4rayok;Cbs_s)sftw);b z&G;#ozoTD1Pn;*qlk;p1A^qJMB@-PJzN`uPj}O0o$)s0~#0p{EQ-5y2>SYC~v7p^d z{TVvK+5zNQU8&k^D2#>4=NDaZ&d~b?6QCwB<5lpvkx|7%1j|tsF?^A^R%@lb5kdTQ z`3>0VGs(0U!MNNI+2rF?7YTF@Gp3UpPEXkGTudxivZa#Q=C<)hoz}iUtI18vTn08x zgGaHP($$pMWkdKtjWj`3s+`R?5qI?H{DK%emo1tu^@zhWX2}QQb_WWxl9B%c`?#z}IXt(QGoY!cwWJ7{*U5 z&0X!{+xc{pg?OCtw3QPPE3}*t-0wmYNe;ly@79{aeueGKEL@ z@fR`S5)dyN(rQ>9#nE*>Q-t8*lF1Yf68R*(%MPN|kjsjL(-s?@sn{YKVG42T+}^-< z&qzKvv)v{e5S4ku%|~`T_h!0EsAYOECiu`*SO$?I3SOfL4^~hSW)8$y(dNI#dot#e ztps26JP{v=wuOOZ40Ylj54CegluT#FnM6s#HBt%o#u3qj|3*nDEzedZ!Duz$^xjr~ z0OQ6uplEJoljbx!R%B_+l?MLcqp=}T$n6|Hjhu)WDZ=D5ji+RDo`Lw~({GHTq6)Lh zAHlN8@Z_Uj`Ovvo3^qi#>UefsN#J{9sNGH*xpLj!#2qh=fN-lR1OETc4Z6NH+_XKi)@zrB61tw;yo|s8*Nv zn`DSxlv}tx6!{)g8g?L)HIfZe@=lrTW#Zd+vUce-F)_Lh#9<0gM_iG0CbeBy?WD)Z znJxbk27oPjKiveL>;q*Gdz5sig|25apcx>c2Z)0?p}4rj;z)#VrS#}j_oN&!S=z}H zW`qNctz{#pBS4Ri;Q*fR#oFR(l!3tmw1&v-^t(h#3bbOwe9`@wNz6Q17(uhId=0Et zJ>+4ip^2!uZr%8jkO;LO+?k#EfzCq6s>MaOgOyPHO+t`^Hd=symmx}45FW7;L zV4l8V0bYW$2eIb4wK(e7WT5Z+CZdfG4G-z_*zvvH?6*oHu4}PJbsY@#!ZyFYagA0Zv9@JM7rV^%}&>RITa7i;2J!RJI z>8Bkw5=&s3^kZDZo`Not_t}};MIZ)9h zMKks~g6d^n%(_a2TJ++gG!Ld;VZ)`VGUaT27malSLi%~m3IA4e|EcD})4RmKJ$*cM zYeh{>1nx6bZXGBNNkOi!70AP%WK>$TG)6@Y>ytU8h7sXV{eQzzo+;U(&&G_4qXW7h`WR2EI$8K}H{{h3u5_W@F%G zF^04IR}^RHR-@tL)AJSudBgx`3PH3&E#R0#&N`ZO74kl`1NdkuX^?$qJx(O8DUpsq zs*+-hx_^m^rj1n|W9!Sg+&!GVVy*z1(y3ULCu?o?cm0bF$`yWdhcwIC9;5bkLNIMy zSga;o9p^2^V(PD?6YwdxN#8&Q9u55T*A$T$KYVM1r>AD$yh=i5bH%r{i`_f`NEo2* zJ+Vkf>swlrL$+4GAgj=rbUQ@4KWAqc17bn)!P-(-FfGo=n3HP zT1m19?P3g&Vq7IbH%A*c1oV!)z~I_hOML{ZIjjb}HGSfqR~6uN9&nCdq_KIl!zqI9 z+v@NDVu&(dC{yG~hsI=$0;Egw>81c9le_#^Xu^v4aAOXUZ7C zU}Vp0{BrL|PQyS~9)kAgV{|3DO5NEJMzyA2^aXX$FIlZh@?Sf^B2t)};B~-6FO>d6 z(XHO38h`gK^IctPRPWf(&8^r9R#?8d-P4TK-RA!?PKNLShN#x?63%Qc6m;b{i6egz$lii+YfGYBNu$rvQv z`dwuEBMOC68>o9pxT)tgzINZ^g(J$E0%>|^X-1PRXroLE$uH(%CnUC540S6G5bjz@ zw8uV9T7fGqEnW`s;HkW_@^jebnw-#SV{C>~yUSdG>DbWzlOOk5W*R_|C=?H-m(H#4 zmoR*5>bAw`Bzk1PLxT&Df{JO_bza?=6M}`gZ3vX4Hhoj}j&4WI_IR!f&}Qb4N%UK` z)lcv3%@h#jXjMrXQT+zMs;l^LW*Z4dCdzb)4Kv5du`Wq*l#K5-1@&+oWC*Q2!KUbs z#0p~X5sf!Jl6)RKNYe{6@;7pW&kz&e0Eqy?{aDFg9)96`MtHZM)np%=bE7Q%LM36{G=SIa0h<&Ul33=}W z*W&LH$596p!dBeqf*nis$cVqWk|V%;!q9NPBsZ6!(WiHv{7R;ZM@tqFgAM(MlL#)f z-~ok{I@zsvT$I|zHm&oDP>aPYb^TQYNs-)~Y68D5FV8mZb4?M_u9>r&x9f7|u?9QhSP6TF124}E@ zyZzIdDUixN0j7%rt;xx$2wp)#zh~JxVY;Cy)_bFOAzBXV1&-RnHzeQr9408 z6jr=fz3Dx+J;sGoyGvE60`Bba|@Lc&eoF=oji9hO|pF% z81?Rvhy5cvuPNlD85%8X)qDGVPpR6k09lgP8Jw(c0i0qLFLS2>e~>IFc1hBxGcqlT z4A;XJOJByPvniL0`|D>$gj;NJ8Grb(^OxZd&lkeST ztz(k+TM76{KTJML8f?PokYjUU@pG$e5l4a7XJhl!XDjhO+;#*UOb}D{X9o3|%8hH# zG!)>^nQx-Ksp(`JS5_;Ro!(Ct{PnSbL9<_czgx_&v!k+d{cR1Yucl!2CJF2G?hscE zs^Lx#=y*F_#VS#re+pB{CGVtbva|$MxV{=c`E~OF*dIL^D;6|6?vcnnh)nmKNo(*{ zt>DCG?c|B_VvXz~`xMW>oFQS&mnQTFW5;M`L*nX4;DW~*P1nf4O*Y*_^lT@Forbyg zngtJgRnp6qbOMe4`U^j)10`OXx)cT*yrUF3MVuB1d8@WpM6gRI&3zC%T=*K&ABAQR z!>qN6IPJ4-Bp8av-4+zERwv?pii(xfWxIKql^CCay@(tu23ko6(>Kdcdwr5&r}kLw z9ITeNJ(@ZyEw=7pF%hc2$o&_-_CD@#Sd!5}lFIVmKbT9u=2PAA!AbVV)_=0z6pghyxp$OyJm&NB-=| zcpbo=>hiVH&)a0dgqFgn)}s|=7FEbAHL9XSkwm>*cUe~XqP1ew@JzOfFHSoQUO(#Z zK3GMTUkP2|nIe7Y*<2F!#dqT^UY^UpLRGO{W@+l35Y<8b5DAf(xhW!2?^JGT<*DJ` zwP*XJSu=607%P0i+ZSu@(T!eCh@Kn@rq3QfjO`g;!i8I{22Bv8XO2BIafv#fo%Yq* z%BIJdLb`$}cX{2E_wq+$a_ODK>^x#~!Y@CK;1!la58Q=Y<|k`k&+2fp?vL)=Egh4V zPS^%&poS4+sbN&nC>I)EnxUDZTeKqgyUyU$VZ7oUd@=SsOKtCDbngS7d38@u!I&@@ z$9xdfOoWs5wHh2uX?%pZs%tm;%S2GDr3!5|zm+Gyep$WQ}3 zAPI!0UK!f$f#IqXaO#xYC_V2WpTwU)Ebu&1`*DvsG;C&WHg7cmz zIV^ZPEOyz`Z-CCQlmR*#FP~K8yx5A=)}k>8g|)i(O$3*kb>ISj)O!7%N~dEt2<%i2 zLrvqzg{KQ-R_TaGcfL@ir-@M)Ie;~Ph>NZef3UU$L&b^ZNSSwEnkDmVXFJ@(;+wRdj z{zKW#iYp*SmEC^++}Pz1nm?ORVl-f)g*QCik}ui%@dpI3alo3b7_<{5@uhsf^cuH$V&JHZSi{55vT5z9Vn5NTuHBXzX6p^Vd8k+k< zzo;PV-n_g)GvXj0`|!UFM9S6~oo&=8A`?IAs{S$hjz8-VRPl8w8EwYW;)W+lxI=|X z<`AB;0WqWx2`f^LM$MDX{s!1~>W;r8{PXwV$EPX6hIalwxgETqv_fhp z?i(N#s1Fk$E|!Fe(q70^ZfOJ)%wcOa-!&v{P9?5K;jz5&vw>h=K25;(`ptmzVx`L3 zC=>T+99JDEVucMbfBl|9Y?0^YeG(E1LT4KQhctjaLnkNo_Yq@fHR+yFDO6GH0t(U~ z%pxUbdu@N1`67ZqCM8AmqW2y}NG#_s3ZGh$>DfqKoKFjD-N3xycZjjw1xBz!Dpi&7o3d_%!U*kZ4nQ^!?5K z4Azdc*DounW$Ae}Jjqh|zi5W8-{&h{!X;bbBlG9c&&T|Aj`Kit&O^P=vxq-OQm7GO zfhjQ@4lZaQ+lq*c`rW52bbly;A>28|)x8OE(>0b0U0q+_A!K6=mEQ4oge!Db1LZ(s z7lVHh?}~>a4RIQ7)t5DES5I=QUa=QMgKWL$j#;Kg?;EH0*e88V-Pn9doDPg%oDp%gbj*!8&`LaP#Rt z@-H}sjGphL0qAfA{f+XS3dv9`B;NrbLK5vv|!K6BDA=a)4B5( z#kgVT$@@OnKz>9Ly&)Xg*I*u!Gc;`zFVFsLXWcY8h7O%?e{|=STj2%>l z$uWIL>R<7tV<-(%7z9q28T9(8yoffnaQmV7*4r@mf%q=XibQ`v&yzd}BVr|fT(xS= zK*B62-D6nYLK4Gyj{}j3DO;0<`UN3eeOK+%^x-L7iz=xHyL_1sU3gDXIHR1~w;g~u zw?N4!i?tUI4;g)?+^AhNfYS1#=3w8$9-#cFe4_IX-X|O|J`8MiCNk5?DB&iqM1t^Y zdzp)dqIfnxMwWTr)aK- zS~1uWQ>0ks>sxJ{!jMPcAjS(=#}DmkNBB8b%2s7Lx2&=L*8d*H`9(}{qesNUTi}16 zhI|aK1Z%98yF4-B)H(!fT5ApBRX#LVFvxQReT&P>s+26$HhweX8v4pY zi*5tj_qBhs=dJ^(vO5l+W)hgqqHy}EWCnSU=9t^7)AYl;VGsKMg;N?6g;xJbQr=;)(`1(SGvx z@$Y8JR_zj@q>59&^g`{_;m<)eA>)u^h`cXMCt2@dpmXtwsz^pM};$S}lF2<1@ zYmkbGu>b&jI(LDKX&vEs!PYtnE{$emu?!TN;9I?nb1J*zB$u(v(-k|kPlrAr1KNfzorKZ0zQi1H5_k`PynMJK*}_0M!*$-0rvs7KIu%gE$FyG9WBBKdTMJ6`a)- z5F8oCP(g1~ed?C12Cmys%fcA=MLMSU7=ko#*QLy-K78g$Cl|sjmuJYKOyZ{*BS=%Q zJAJ5}E{FSq=&kQ15$TtrX__AZANA};R3Z}8(i7m1Ng7p&W_{R8lsR$AjFzL{0$jbW zxp)NCH>J{7eW*uYjd{#_78w}=BW%p2BRvtF^@|;gH2#3?1egI8R93Y!pL$&#BJtTp1Thb>Ub(*MMvzyxgs}W8*4dBb6h!+E|`pN zc>*hIT5Y2HKA>Nq2vcr?G(T@Qd@N|{3i{zr;qam4xk0e3F;Hakl=tPhH@RCQ{td(_ z>0*}b8ud0A+0ings7diEun@WBe4CAy_Ba=*9eI|K$+vR6-|m38+vf66({>^@8vmHX zrNoTt{b@U5_0!I;r+*c7TxXvV{7mWeZX991ZpKQS7<^<;?)PALR`~lzrOkDNDqG`t z&Huc!dChUe|wxmP|m8q-ILO zAg%PPWEU&uiO|4}K&33b$!g9@u?Pc34xnwvb&rn?k#8<{vQ+h>)6LcR6p%l;i<>&a zJlvXnkviiJ)tokTbhFc|GQtGE+4lQoDX7F&e!~SK=TOo6Ro$tW{&$YW#hi#+D`FL$42d^;YxE=_{)rkY*-f?HZhDNZ z4ZkX#=Y$DAJpFdH;aIL+AUK}MO@1i;pY>8QKh%rc(hp5mBQgFXE2~Z6Hr4JHr3(;g zVe`IOgefk~k?qSlWKQa+eBT;q-hn0l8XR~1hP2VPYh6ih>t)`(IxEi#BBx4gnpVFA z^Fdy!`As6VFyh9-&M0t~X$CHU7YR@Pd`>-}j1H@})+6kHw=oY8CBFIyz2uEnh!D(R z{}kw1NM4j^T1-5l&e+d2_L`L<&%oQD@av9Fkt~`MnKZxN^4fleP;VORnX(o2w#i~r z`S7jJ5@1~XU%s}=3jZ*CIT5y2aVsmM`XG_c)To;(MUN031MlUL zB+9(09%rjdM7ICt5w9rOoumvaA^R89H!kXJLA~mnP1bcLW$gTcJ^FF)U0&bh(saHV z=)^j_90^Xz{Kj}^-x2VB5*r}NX!&i+^sZZ3GR4{YN%iCg1fq6=k6{0bbJFa)!s}#mNy{(LrS;Y3JNFS+$Io|79xu0x!9FAe#hR(YjoSEZjyDX1MA@4oM;%PYyA| z!%VRTq8N@x%uJq06WBZr~KWP)zd@*C=S$$74S-s8@y0QV_-MrgqFe z4H@X(!`snwmXOUAA;L>qJ~MRI5RztoV{7;8@ZZXtBI99xU($4Y4sOMMMWB`-A=_@K z5-KMB@Ft1Dt7l)r?CH;%llcCo2LC%TlxW8I&kLRTKVMDu#U9F~T3v?gW8_JQ$KnIG z@)WA7&QL=U$U+@?&la2!ki~)vFr}I3AY)yfLHs%hN*Kt6H#dDyexYVYrm`Mh)PVug zFow%CG$bu?1#mj=3bX&fmU6N%>OoNQaHJiZRiSTI;8)lWPP|*kVRU5ph^u{o#w@AGPk zU(5<3pUXp;Rw4<_^I%roRr+zJ_NL$KTWSSM>rv*Ok(m&iF?%mt*ADwVZ_cigspcGY z<`wtj%J&2m&(%lJ<{5!8`bQoNjMKs+u#B$QhV#pyTp)h~ml4N25QYPP#nQx}ob~lR#Zbg}|6F(7*Q#kR zUIRrp?$O5m%;mv5&z}cqBE{dEA}{N?;zunQnMO(q(?9Y4@cD+8TK35eODt=J`6lPi z?WU;>`WJk$Lvy+sHe@-9Zc}rv0nMnf5#nB}Bw0MGu&8E54MMQOFm~F9 zRap~wZNlJ8a_2SCPKek8^+z3hz{bW9jimRl3{j!>l}^mYL}D(MH@~H19Z&;IcE|Vgq_aqIDG&e}uN4eQ|kABoYwKS2(0O zyF3mxUim_hdC&?W$&)^{)v^7YJBj8V8Ttf3gx5Xc9w}n+h4BhG*4!yeH9v`SO8T5PpvK7It4?;|l=yJ6 zef-f?-^22$-A))y>KuW205Xsl$bC=Fethsotof{pqcQ8)b&;jP8GTo3SK^za8{b?2ZN8rk7SCgh=MezMS)7ei~Z&Y)*q@S zfZR!ar|l0BVPxgS9@Lo~fx;PS9mae5kwba{s!;zAW?c=j1JXX8u70Et*BgVC5?@|S zC!da#7~_)4GM3m|b$N-n%9SE!>J3ed6+I4%58ZDzj3o`%1l-B!vv)L)AF#Be(0qFj z|MP%?W5#f09ZU6RUXSblS{V2mumPJ=KT)9mSQ@L;zisU8&89D!z$V;C#X;c=F~ur~ z|A*A$e-iw8+4Jza5zBZt5)5Ts+EJ4HseCnQ^1{&24CPl#;__z+<3x4jofUlJf4rAN zohsUyrq(YWcmF%5J6wCT&gYDKPsdT4vW}FV439&@ickHy*hr^BlF`&{&S{>ww4bAY zO5WA~EUSNEmp7H#_D)(;2rOc$q*%e@@HO8W&C;|-e`L}=(Fr5~s_%BENECG&Iz+W^ zSap>C!&y+uNnuA_y=TUParS@YgmAQ!H@Y?Ku2+eQW~5_r&nwBmwis*Xe268MUPa~r zRg0f*ByK8qPzY;cG&*aD&_3zke`Dl#T_JXCU-ZMPT;ebAWm@G$HzkjGtN<<6wx6=n z{9MIoNAn^a)YT5@*orREE<7j(OGV`B63p}1YN0dq_Fw*Vco|zHk7BDqf+vtik>PIE zVinTV^3-^_!NuDa#?029TWfMgPm;9V*7L`x|IrvAoz!LX=r;e!bBk5)5=i>|=6{wS z5*)~9m>|NJxlL(%A}jm|7TJw64tvVGI_&$3EwPbx6?@?b!81@Xm>grZ(+x<_ST>}t zsw!I!m!tZ#!qI@F)jWJYq*ma^jwb$ITZ|}{@HTJg0bL1W1;7??vxDS|yNmKq-QOQD z5t&QfmxG@8BzfZ0$^Zahu8}ydlZ*rf2-7j$^SHlje)d{I{zeaxZc_yL!j3*tU-uzt zte9ENhvLZ$PW`K~m*#~@%IeO;_Vgv8?P=_uJ{rTG|ADPhll`4}f3idWcg@bf*X#WG z-S0I!n{mHqH%el4)9!0qt^&wK6~G># zzYWST@uyfNR-GzG;79PZ*d8&LLE2O}?S^^a#CMoT8FL(Zza+r!VWNrIlkasc)1v&Z zMoFpZRrt;%-of1--W6p5c;olSZ4czk`;dSO)-IXQ#KOw!YXp`oozV|*SUK% z@S^aIv%=T|-{FH}!%d1jIi{7W;-nA!RDS04rfLcL&~TP1ptQKQ{9eA4+;*u6R>NaS z{j2J)DoI>_7!km#g2eCLTE{3AGT&EjEbf!wAU2nM1)U}rYfA+n=_nq`Uw9Z9l*rzR zTxM=G#8WugV_kd1Cx1NmZuZx+BkDM&B#ueafLE_x z)$jYh-_M5wN`y^|l*llfQUv)PziM`{YR?vhbbtVJv?^^04B2cj{yW(!qF+X4!<+9F<*HOH+VU~X z8#tFNRCE?(fGcd*C8fI5??!JT88=}qxjjF(zvJH{h1mOXc@Qa{WGa#gT2Fa zmUsJkE|@UAURSs@NSR5KzZhD3jYlxhOsnTz#(5EU!nNXxg)g)^^3Qi9HlMJ$d-JFI z1ga1_T8LS8VMh(6as*I=f_C84(OID;pL-l+Cr@Ukhppvgn6?VkVQkI8li{xr*doGn z{~@Sd7aKuINf%7aIxUG-TwUdVj+iqB%m&q)j)F)y(1_Z|QaKw+C` zZ4vQXxlB1`BHz8GvEQ0?*6P0kXapk90{5wNksM@yP%XT9s>^+l6Eq3=rHBUyh%Dfp zTP3!dYg=ft9?kP76@wE2^Ho+pXj+)tvB(aq!{Nwn{|1cliwfM z82Z^g9E{GZ44oI=Q7F1G&0zjjKs!s^y=2P>7I8IbYV$MPk6PYg+v}sSBXG0D-5oy? z=yKu8g-bbeyd&;FM)V3-Jxa8KIm>{OAo-O$i`_PG&2Ma(F6?2{6CNb14f@BGtVk5_ z)!sF!<=`T!1*R;i_@IpO6ztZV?FS3UL0Ce$tKG%YH2U>G#K^OAS0haL>+ChcE8D+r zd;Tnf=L&c5h(^Od_RCNava6214%LV!7ETNc?E*bE#qw>Sxfp`SdC?WQq=-H8+t>3( z#&?DiydIasZ{gir7Y63VTJs)Z5#eje zg;3Y+`#DoE)1(mDmt+~Yxfm=4s2Xa{IHSrC#?#0xi$c1$SV`L-^G1*zZ5%_rC#kfm z%u>HdWlD&wf+JvUQ51X1*L4*YHmN=J6*jub+{8Ag{)VofwpR_=GZl5ald{Xc;RPyLtJ=n-u~N z@VjdX!Kpd|t+z3d*J^dxh^ObIO~c&sV=pH!+JYlZ#OuWeHn)qO@*|Sr1}ksAno#hd z6I(V=G4yMjvXjMqOr))}guBo1#FeV%-NmQ5B(9%h^RW;#!Z_|IwYE(b8UholH#e>e z@3$?~+>LbNBE+NyzvUAm+gw zQ=1Xjb5ojNWiM1>RjZ1hU)Kg1Vs@CNcB=qxI0H34X4xITQkj}TbY#$*z~Lp|c(8C_ z2o$o}tyt)w>zFXEI|baVA>9gRw#7GgQSuDqkc=} zA}3fHc7f16IcF4ZU}2BP=3%!HH!&!2a6q`WV&Kmdm-$!p>+- z2(By^+Y0%20mHc1hD@P`sm-D_lb^Nq*;KuI#GC>IbvKiQ*5Wm`QFo}ISdx|<@6mza z+(t_!Q_y5r>gWCM|I_5TAXeXN)uI28ts4BrqkULc&8?C+G95b+8Vogo?JdNFT4#$#3>Cwh3v$3!#p<^1A1#{`7OK)AVi9=|E>>Rk3|Uc zfDSXYKvRBi?pbYdgbG56saV`gL$J1_CE7?Tiq+|WP%EJg7gHqA`bgeDrqF=x8qZ4b ziiZiy3oLS-J1vAO&^c9FRP?m-y2trz>67V4G0p#wX-Om0R6KfW9r*p@s;u!ueC`6a29$Sb1(7qOn*|>7cV@5CNtnr+gNDZ_PG^?ZuC`m=cKV?9I!2se? zn-E|AE?iX5i*Y5F{Wd1$ql@7nNvc^^a7iPnhfyJQRa_-ouG;i9V@tvp zLY={87Lc6j;tBGp+$#z-0GC1T`;WQ1NtNxV=ZU=)f6}CoEXy|)ud>(~=*PE1i`8iy zFAj*vM6;LSD7Agd+^e+uiIdfi4D?~8AZ@lTco#!8!N;r?e_KB}c2P~@%Nl@;rE zf7Z~@!xSEk;O|jA?I8YP{F_fwaR+DP+0Q+C5k^)Dwf6KIwGPhKyVq%{S|8SlzIW10 zEb|Q0D72aynQLf3?~ZN$O>B60=2%9GK9Y#XK;-^tov#K;oC!BTaFKx%OHBJ{8!{U0 z!ZO|>@yTJSU(0qWjKTbfXpe&F^W+(~jw_&l9LOk-Q&jHmpUkgEWgs=Wq^*&_RG|Q} z0I6RQxT9xcWRZz2lC=E^rD@~yDou?mMH$mRf9VzqKQ#DrX3JUi)z@1Sx`WlX#eT*6*@~T zFNEepkNyV)$zVj>JU0y}Sl91x6+Wo$y}vp%b=2lNQrR`ZaD6rueZm))lKUc2Y?ABk zk1g)QW6XY6leI1oad%v_^$vNT9W9b;S+>4 zn4`Y(xIrbWrD|qokY(d)oC%FL&0rb4{hNj%2nTz%_6%m&qsDEkh#}?6svm|)&e+6p zg|QPQaJfRF9gM0lsV=+zmee07((9teEs$bl)&og#uxX<@5lZE0S~(I literal 0 HcmV?d00001 diff --git a/components/text/package.json b/components/text/package.json new file mode 100644 index 000000000..579b8da4f --- /dev/null +++ b/components/text/package.json @@ -0,0 +1,41 @@ +{ + "name": "@vonage/vwc-text", + "version": "2.13.0", + "description": "> TODO: description", + "author": "yinonov ", + "homepage": "https://github.com/Vonage/vivid/tree/master/components/text#readme", + "license": "ISC", + "main": "vwc-text.js", + "module": "vwc-text.js", + "files": [ + "src", + "*.js", + "*.ts", + "*.map" + ], + "repository": { + "type": "git", + "url": "https://github.com/vonage/vivid.git", + "directory": "components/text" + }, + "scripts": { + "test": "echo \"Error: run tests from root\" && exit 1", + "build:typescript": "tsc -b", + "build:styles": "umbrella-style-modules", + "build": "yarn run build:styles && yarn run build:typescript" + }, + "bugs": { + "url": "https://github.com/Vonage/vivid/issues" + }, + "dependencies": { + "@vonage/vvd-core": "2.13.0", + "lit-element": "^2.4.0", + "tslib": "^2.3.0" + }, + "devDependencies": { + "@vonage/vvd-design-tokens": "2.13.0", + "@vonage/vvd-typography": "2.13.0", + "@vonage/vvd-umbrella": "2.13.0", + "typescript": "^4.3.2" + } +} \ No newline at end of file diff --git a/components/text/readme.md b/components/text/readme.md new file mode 100644 index 000000000..af08cd767 --- /dev/null +++ b/components/text/readme.md @@ -0,0 +1,52 @@ +# vwc-text + +Represents a text custom element. +The component provisions the Vivid typography font faces and connotations supported by our design system. + +##### typography scale +![typography font faces scale image](assets/images/type-ramp.jpeg) + +### Basic usage + +The following will generate a `headline-1` styled font face +```html + + lorem ipsum dolor sit amet + +``` + +### Semantic usage + +HTML semantics indicates authoring intent and is important for accessibility, search engine optimizations and clear code. +Therefore, you'd probably need to nest html tags that apply different styling and may affect the font face appearance provided by the `vwc-text` element. +This component takes care of overriding the direct nested child within the component to `inherit` applied font face (if not over specified by the application styles). + +custom elements (not extending a built-in native element with the is="" attribute) have a [transparent content model](https://html.spec.whatwg.org/multipage/dom.html#transparent-content-models). +This means they can be ignored when a parent is validating its own content model`s children. + +note that web component cannot style or access any descendent greater than a direct child. + +The following will generate a `caption` styled font face even though it's wrapped by a `h1` tag - +```html + +

+ lorem ipsum dolor sit amet, consectetur adipiscing elit +

+ +``` + +On the other hand, 'vwc-text' can nest within semantic tags instead - + +```html +

+ + lorem ipsum dolor sit amet, consectetur adipiscing elit + +

+``` + +## Properties + +| Property | Attribute | Type | +| ---------- | ----------- | ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | +| `fontFace` | `font-face` | `body-1` \| `body-1-bold` \| `body-1-code` \| `body-1-link` \| `body-2` \| `body-2-bold` \| `body-2-code` \| `body-2-link` \| `button` \| `button-dense` \| `button-enlarge` \| `caption` \| `caption-bold` \| `caption-code` \| `caption-link` \| `headline-1` \| `headline-2` \| `subtitle-1` \| `subtitle-2` \| `title-1` \| `title-2` | diff --git a/components/text/src/vwc-text-base.ts b/components/text/src/vwc-text-base.ts new file mode 100644 index 000000000..12a665bda --- /dev/null +++ b/components/text/src/vwc-text-base.ts @@ -0,0 +1,15 @@ +import '@vonage/vvd-core'; +import { VVDFontFace } from '@vonage/vvd-design-tokens/build/types/font-faces'; +import { + html, LitElement, property, TemplateResult +} from 'lit-element'; + + +export class VWCTextBase extends LitElement { + @property({ type: String, reflect: true, attribute: 'font-face' }) + fontFace?: VVDFontFace; + + protected render(): TemplateResult { + return html``; + } +} diff --git a/components/text/src/vwc-text.scss b/components/text/src/vwc-text.scss new file mode 100644 index 000000000..6b2cd7b2f --- /dev/null +++ b/components/text/src/vwc-text.scss @@ -0,0 +1,18 @@ +@use '@vonage/vvd-typography/scss/typography' as typography; +@use '@vonage/vvd-design-tokens/build/scss/typography-variables/web' as typography-scale; + + +:host { + @include typography.typography-cat-shorthand('body-1'); + display: contents; +} + +::slotted(*) { + font: inherit; +} + +@each $key, $value in typography-scale.$typography-category-list { + :host([font-face="#{$key}"i]) { + @include typography.typography-cat-shorthand($key); + } +} diff --git a/components/text/src/vwc-text.ts b/components/text/src/vwc-text.ts new file mode 100644 index 000000000..86b1f91d2 --- /dev/null +++ b/components/text/src/vwc-text.ts @@ -0,0 +1,17 @@ +import '@vonage/vvd-core'; +import { customElement } from 'lit-element'; + +import { VWCTextBase } from './vwc-text-base.js'; +import { style } from './vwc-text.css.js'; + + +@customElement('vwc-text') +export class VWCText extends VWCTextBase { + static styles = style; +} + +declare global { + interface HTMLElementTagNameMap { + 'vwc-text': VWCText; + } +} diff --git a/components/text/stories/arg-types.js b/components/text/stories/arg-types.js new file mode 100644 index 000000000..42361091e --- /dev/null +++ b/components/text/stories/arg-types.js @@ -0,0 +1,12 @@ +import { VVDFontFace } from '@vonage/vvd-design-tokens/build/types/font-faces'; + + +export const argTypes = { + 'font-face': { + control: { + type: 'select', + options: Object.values(VVDFontFace), + } + }, + styles: { table: { disable: true } }, +}; diff --git a/components/text/stories/text-introduction.config.mjs b/components/text/stories/text-introduction.config.mjs new file mode 100644 index 000000000..89389f2ad --- /dev/null +++ b/components/text/stories/text-introduction.config.mjs @@ -0,0 +1,23 @@ +import { + relocateStaticResources, +} from '../../../.storybook/build-scripts/create-stories-from-md.mjs'; + +export default { + sourcePath: '../readme.md', + outputName: 'text-introduction', + story: { + title: 'Alpha/Components/Text', + name: 'Introduction', + parameters: { + options: { + showPanel: false, + isToolshown: false + } + } + }, + htmlPostProcess: (htmlText) => { + return relocateStaticResources(htmlText, [ + 'assets/images/type-ramp.jpeg' + ], 'components/text'); + }, +}; diff --git a/components/text/stories/text.stories.js b/components/text/stories/text.stories.js new file mode 100644 index 000000000..31a4e3894 --- /dev/null +++ b/components/text/stories/text.stories.js @@ -0,0 +1,18 @@ +import '@vonage/vwc-text/vwc-text.js'; +import { html } from 'lit-element'; +import { spread } from '@open-wc/lit-helpers'; +import { argTypes } from './arg-types.js'; +import { VVDFontFace } from '@vonage/vvd-design-tokens/build/types/font-faces'; + +export default { + title: 'Alpha/Components/Text', + component: 'vwc-text', + argTypes +}; + +const Template = args => html` + The quick brown fox jumps over the lazy dog +`; + +export const Basic = Template.bind({}); +Basic.args = { 'font-face': VVDFontFace.Headline1 }; diff --git a/components/text/test/text.a11y.test.js b/components/text/test/text.a11y.test.js new file mode 100644 index 000000000..dd0875372 --- /dev/null +++ b/components/text/test/text.a11y.test.js @@ -0,0 +1,23 @@ +import '../vwc-text.js'; +import { + isolatedElementsCreation, + textToDomToParent +} from '../../../test/test-helpers.js'; +import { chaiA11yAxe } from 'chai-a11y-axe'; + +chai.use(chaiA11yAxe); + +const COMPONENT_NAME = 'vwc-text'; + +describe(`${COMPONENT_NAME} a11y`, () => { + const addElement = isolatedElementsCreation(); + + it('should have 0 accessibility violations containing semantic tag', async () => { + const [actualElement] = addElement( + textToDomToParent(`<${COMPONENT_NAME}>

Lorem ipsum dolor sit amet

`) + ); + await actualElement.updateComplete; + + await expect(actualElement).shadowDom.to.be.accessible(); + }); +}); diff --git a/components/text/test/text.font-face.test.js b/components/text/test/text.font-face.test.js new file mode 100644 index 000000000..f889adc07 --- /dev/null +++ b/components/text/test/text.font-face.test.js @@ -0,0 +1,41 @@ +import '../vwc-text.js'; +import { + textToDomToParent, + isolatedElementsCreation, +} from '../../../test/test-helpers.js'; + + +const VWC_TEXT = 'vwc-text'; + +describe(`${VWC_TEXT} font face`, () => { + const addElement = isolatedElementsCreation(); + + it(`should sync text property 'fontFace' and html attribute 'font-face'`, async function () { + const [text] = addElement( + textToDomToParent(`<${VWC_TEXT}>`) + ); + await text.updateComplete; + + const syncMatchFn = fontFace => text.fontFace == fontFace && + text.getAttribute('font-face') == fontFace; + + let fontFaceValue = 'body-1'; + text.fontFace = fontFaceValue; + await text.updateComplete; + const propertyChangesAffectsAttribute = syncMatchFn(fontFaceValue); + + fontFaceValue = 'headline-2'; + text.setAttribute('font-face', fontFaceValue); + await text.updateComplete; + const attributeChangesAffectsProperty = syncMatchFn(fontFaceValue); + + expect( + propertyChangesAffectsAttribute, + 'Property change did not apply to attribute' + ).to.equal(true); + expect( + attributeChangesAffectsProperty, + 'Attribute change did not apply to property' + ).to.equal(true); + }); +}); diff --git a/components/text/test/text.test.js b/components/text/test/text.test.js new file mode 100644 index 000000000..c95fb0cc2 --- /dev/null +++ b/components/text/test/text.test.js @@ -0,0 +1,32 @@ +import '../vwc-text.js'; +import { + waitNextTask, + textToDomToParent, + assertComputedStyle, + isolatedElementsCreation, + getTypographyStyle, +} from '../../../test/test-helpers.js'; +import { shapeStyles } from '../../../test/style-utils.js'; +import { chaiDomDiff } from '@open-wc/semantic-dom-diff'; + +chai.use(chaiDomDiff); + +const VWC_TEXT = 'vwc-text'; + +describe('vwc-text', () => { + const addElement = isolatedElementsCreation(); + + it(`${VWC_TEXT} is defined as a custom element`, async () => { + assert.exists( + customElements.get(VWC_TEXT, `${VWC_TEXT} element is not defined`) + ); + }); + + it('should internal contents', async () => { + const [actualElement] = addElement( + textToDomToParent(`<${VWC_TEXT}>Lorem ipsum`) + ); + await actualElement.updateComplete; + expect(actualElement.shadowRoot.innerHTML).to.equalSnapshot(); + }); +}); diff --git a/components/text/tsconfig.json b/components/text/tsconfig.json new file mode 100644 index 000000000..b81b6b34f --- /dev/null +++ b/components/text/tsconfig.json @@ -0,0 +1,15 @@ +{ + "extends": "@vonage/vvd-umbrella/configs/tsconfig.json", + "compilerOptions": { + "composite": true, + "rootDir": "src", + "outDir": ".", + "tsBuildInfoFile": ".tsbuildinfo" + }, + "include": [ + "src/*.ts" + ], + "exclude": [ + "src/test/*.ts" + ] +} \ No newline at end of file diff --git a/ui-tests/snapshots/vwc-text.png b/ui-tests/snapshots/vwc-text.png new file mode 100644 index 0000000000000000000000000000000000000000..bfbd436be56facbebbfe64046c1663f53a02b4a6 GIT binary patch literal 138684 zcma%@XIN7~xaUEnm!L>55tZJH5IRUxKoF1`ihxwGyC2STPR^NU=FH6d{^!ih`%Cm2O;vId1`-?`9P(E$m2_}$?jPXb z;2sbWVDE5Veffz!;d4<;B~=Ly7UI2-wLq=+XrRE#9@a{$FqSKg5e#NUHh9{rta7@}D!2 zw|wWm_&WT*&hI}Z23TR!?k*uSip2gu@?InY5Yfd(0)h_0=9U1#7klXl>9xscjV$}Y zx97o)!0QdwxuFE_>XPkrl7P!z^Szt(;G06JHeyXdc0ag;=%S^k)stndVD!k|uZ?E@#axeRAB@u}?tL8VW7w{eTKJ#1j#9ZUT_1@mL`K_PNmTs<}=O);GL4P=| zY%nP9C5_Bwx0dESxJuIEhe>C*<;|| zmvs=%VPgL4LyvvoPUCYufvTw(mo*N#%kG||6~X5O{E%+-v!&#jlk?F+*|9o)VPrnb z>2K&laj+JoM>iljAMlaIv~%0+yy5y1Th!2@a(Go!LaZKxXB(;6U%BBg38T0D3z*lp zKRIOVQ-1PvHmyA`pNeX)*ZIbE4=}oA9 z(NY3D`y1udqZo_0If#Hj2D}o7X1uMKcRnc)f#&waC;>1Dos@mJ#^)fbDR|jI=)J4+OhU8 z%QL^;GN{Tus34}0q4PbAHJ?TN+-fJ;7ce`I5D2gU24S!+;cFoSh!=1UKrybT&zCl6 zHD@$0#7Iw>TfM8>_`$dS$>$%8xuNB%u|*y5r{&#-DN)Ye^hEO+FE3fx)U{cQ#44B8 zRD^q4m6?B2nSVi03wo@)0T8f=H>b#Q^mz~4VG{}Z+f3^qRjl1yJFE7Bcx|0AkxRp- zD253TZ@$IE42wpMNO2R{%}JpgUu>oAJh)SX#;^_4wng{1o%PX7vhu#)L}P<)*7Bob z+sYR)f*n#&j4wiJp5)K3-^G@XA6+6H13+>OC~P6c?77S3xOjDqbGr?9jZ~GDbG>8h zgYAfOo#4_|*B_(1xR9D}w23+I_TN2bCvA*1-Lag@T)?dHvv;U&-oMH}(|3vt`z|%N zsaYo%liM@tykur@^%?(5^3IdIweP1I64q!y~^v~k|MocU=QOw z&%Nm2nEoEPSEo}RC~QReHyc`)&KxLDqdk!^0??opMGCTJ7~fy+wD?acN%QrP*Z-?5Mb&{th-KNO0m zHq5;5i%39RUm)ayY{jN8IryMhx8LLszU=iOIITx|mF*2B26{~M0=B!)b zXCDV3-BYVprW77xmT6lyEPLfS_Gz3wGG{!+TQ2);6@m@ZSSoC9%=J5aSMAL#+?+*T*vf1hH3nZ# z1n=%)9o$?0r3qH)2hI+^tAEFDcSP&ob7- zr!pyFTUh40a_!QUOOU1FN*X{nmZjnPZ*A7-5Y}mFf8C@gklUgAv%O=YY)6zTL zj_U^k`8THxEADlN6SFkZ8`5(N@Stz5T5kO<-hwJByE8Q4DMEU-r!P;wra_+_Lk_}# zvshj6>8ZMO_8ZAW9)*?jm@JV@)ol=IRdJJdq$X(0l+C)CeesJfZo)B_JFg$eUP7)F z3m=wQU#HM_=Fhd{At&b-6aYYO8d6 zyZZ@6sN=!+Fp;kU4&h==lb#uPIQ<*gLMY>S+OKRbz263gFDibaY!Cn)sMsg z3|;o0G@%lTQWk^5I=?{d2q2WNt$AwExOx>OhhdE$h&5Sx(k(Z(q+i!C=2%ie24Jr?j&y62{o=@&Pysa*jank3_;c&h-&Jm9F>>`)!h}y`8XF=n7y)hIc zzHq`r&!b;syK9}MkA5xe-20v+p+&D%P8=^retCs;5dQ2}aI%|_;K2c7U!+G)l4vOM zlvuj9fyVC{SglYb=hOlh66F($Rg5w&QpBKQ!NQK3+z7CT@Iw1E@x$R%kChR4(WGJN zLQ$aSJK(Jp&w!8tS{W>GE`a@x5P!y|K6FJnDNxGfCRTRh`#5l_2L@u{*gt)Zdkuza z1#aoi)s%UaG7);e;(D4u^~Vk}mizv`X-=8afU@GSGqvo^VPYZXg#mKyttzVgJA4^D zf0Rn{%~Zl_tZ7UFaYT3)SRW)Mx1j>7}lERY_A>3hPAU>KCyIdfxcR%KEJASLP7lxZ-lCPRIxT-`ARCeA0+;gZeZuI*)11K#U``pZ`*N!>J4E^M9bWj? zd8{(mEl}zMERxqUDB9j#(S-zZno2(o6by|SjyfES8k#oWctN|R`1zC-B%(<)X_U1T zFY;uCs%ua2&laq8E8F}@39_67Pc~Qa!s#VTLi>$yT74g{<3HPJiRTrSB@_H!6c(Ab zeI=>%M*q4 zKu(Jcb|%V{_pq_cNb!Gd+X%0Bx0$?RsQ`viF^UYIeXFi(u?*p#@M-3V``2 zN}N8{I?<)C!&CexSJoEU<%3k6-# zZ(@$Po%|y$$i`*KCT{M&7=b-jIi&e=VN-ouWApb%wog?BQL+T@fjt%p@$b$8`#Nmi zR_|c`iY2;MpRrb>C2t-3d=;LeMJZJFVSkdVX+A%>pAlwx7AqW5OF!guLk z_g}$(!W7ap;Uc8~YY=P&8~g)0Wc?BFK+Q@PfOu%c zX_mbt<_K(Fm%)tH`#EKHpWd_5HvyeK-Il(p5nf2AQ8A<0g{YLrK91|w2%{KuUj@4_ z$?QY4A|tAtV7|XAXk+wkWNeu9qz3I$s5HCD^*$ip~_j{ouxj&l>QVIC+v6Gj{kedd$;2^y|EA78{{E{G8dW>>s)9VAR3Cp)m-% zs=uj-xV&RQGqhlUFdsv)nBGmNuGrgmNIV%F(|$bR7)QXm)>f*;NdfXloU1*51n z;)X&h8SGS6gTBCQg?6fmWHEPRE}d<={mtg#Ba0sX`No%ZdZ`t^%Skp`JUQh8B$%8| z9bnJnjsp@Cm)YRFGDh_)s-b>0wu@~(bgF+ogg*ceshF!T=!jR#6Ot$j94o>)5j=|5 zuWc9wwjLDwqFte<7LN>)bC4zTNPG6gq32mYbZvV+Uf_V?(cQZNgi|8cRHESI-OJK)^m`&|H$3I0>%tofMs`^1I z`rUs>Jje-qBad4S1UKVJua|SOxaw)C{4xSw0z5-qpZ(%r)R@#yWZUj?5~YfC1{T{V zMQ%0~XW5&bQmjJ~{Lv)L%&#j9R%jC%Z{)jq9?8+iIux z`A;Vh_mzX;{14lsGPtxX&w!tIDf*RMYHd7~uZ2|SPx*ba^{<&b@LhS(yUN{TG%x$n z*OtXjUtY!t(>i?syd+*n2?m1WH!p{EiA#l1a zQf1-20JBS1S}}#Afmnf1JA9lUN*in)OVfv9w602-!U z6yEdGXns|&1SanV!oz#u@kyI~O(@)mz%5^dZ0o>o;G=p4`M@!+hICj*=mEYtcH&Uw zRPcsK{2z#cI5E3Qk;&2Zg#lZdaSWQm}qs5f!At_ zGthTkB$%Rv!KC6xe&zhS+M?rFD4`rv1Wxl}ZkzHOTER0eZ~Ta)^to($E~dI1A+x}} z^=7YSJGsIwsf9~qj{}UlO50n)q-o{Z*aDelh}fV`$)i5o;LcG?8-5r(j`rkFu%(S{ z>c9`in0tyrL|ZjfR&{XA6xB}_7ZuB8A9Zk}qTK2F%sR+tbtj2egzc7#v!~1`KHck4 zo++m`GpNpM0ojuXy7=<2JYi}k9!Q;_ELs-&hto!qSivotd#x=T3F$XO)^l0gi4d}U z<58}hj-#bX&gZoW6DHD>=pD;1xQt0GiznWvC&{TH4yGArm{?Wf%IXdK$}?|6A&oL% zQj=xcYH@EBexo1}&PkL7TGkC;UwT3%YCjk)L<&;raf2z4a=So^1f-K682k%W$1!Kh zU8Zr-bs1P)`4Iv+QzQ)0-p{ZkE$YL56@LL-hNY!P38Kh@qX<>csl9k#XEJU zbn^lpi`~U3cxV-=#hMTg4d^mCwON8DVORM*Px0zWh8ZN;QG!cb#$7JuT_2u_P z^*6J>Z|E-wrN;(YTI-oNICiHjJN0hl^)UDsEO3f@|LS`!T+8xVp_M|iu!4B(9`=2pTXVyME9h%dtx|DnFu>oD3WCySDmp+F$bETnvNz%ES;!H z|IR+Be1ntNzCbeh$*zRmGCWrG?0e%!y$eN&gF(B)ogP~$1NYsVrA3qbR`!`J;pbHV z@b`s^55_VLfpTj>So6zJ0NCxaLuj1A{(gq3ZgD@B$|{&i&GEZIfN^mI3edP;W_g`l&fu zrA>Mv&ZpN=$*-rVnf@}NqOB8*PXWAN{qX*gJFy)bNmRFEtghe&Qb)V@rJ_W4seUau zqGD2+(9!S-c$2UE1ew~H&Q`o=@e;C}B*tM7YN*&Sz}-w9JYd6&KQt+KVq>stBxR=q4VfL9C9DVeMI z_`PAt`1T9Xn!b%2tT7u*Dm=^@yroS!e>Q7F^P=RVifJ)R=9spMMb_Gsd9D0Rk3&Wv z*>`R05{5sut!jkksYC6>r78G%An)2Ha@I#HEhy=g?Ua z8^%H>j#RU#uhM^ihPcIWBfM&ZkZADJpU zZ8q#xNR>Zqi}P@dVEqg>v1I2<%O%vEw&jUFK_*namrR~V*0R5YKsdRx*#=u5x_}K~ zLfHc_Iq?iY3l9GXIh}9iJLgK7cB({nGaIaj;`{>w;q`yx6I# zGCozBIG?YsX_7{6mfPGZR_`<9L+o!SLHVmq#-q;6v2GB4rxi@$bLE#l@^u&I8L&0e z)D|-jUW0BY9SMr(xU6MMb@kpgIMBq+$gjBE&P zc-YVU?U1e99|~UKKooxPPgKWy&E@i1ddA|%kJg|?btj5{;{k~k%%WK&8H6lWj2fg? zo6w7Br>HGr1i-fy&z;mXbHRIGacSOFmLDWTBj_2Wt987 zTwHGYN)B5R;Ib-7emqh;eKi^S`-my&pa%32Ki8@4jNQ*4l;cr6bTAn%wwLY?o@qbG zRDQm9+6LXV^yEXp`tWAzsu5QVKFdQ=n_<($2SliptjCDc@@7x-%KRE!_@JT<6y8$d zr2VsYG}R%{dS|*;MSNPFGT|?MuH*ix5$V?au%d?aPYuqT2y^roLZMeFP+lfZt^uct zI($FsPh%3y^go!OW%4bkfs4Pp;UPCs=hc;6LN6S7PWdNEfbYTGO=0cb{@~@Q&66r`UYL-CWdL=D z!{w-yTC?6oWpU}h09sEYKXqI*kIc{Z1C}#e4HmsOX4%IHx05R58#y~VE%#8F&Q+R| zea*TQW-kRq#3mJjPORUc^<_na?G()~sRs!U$9G@E2i8IqK>Z_8;R`jbG~oiL1#-xd z*V7jV3(E$9mmjJNvD0RoxcE;7j$`6gC5h7w@%83Yq2fFL^t%hl=rKsarRrjHVp|dn zWiyKkhYe8VAEOz0t~k_Wi4}UrnC19Y_)X8`?~*KLnw*nI+!d!*qiH(y>3m&j%M08Q z^B$r#i@o7waQ(l&0&*{klLPNZak;&5U36oAu=vQXFVrK^Li8;hlwjOCXb@51l`N2t z2zh~dbeR1y{q@Y}=T`4O!IYhtmw)C+1mQ#q$VHM)f6B;t%P@2-9g=Ht8yn@^#PjZ* z@ZZ7vOC6WSD(l>+2oKUgC&F(ch+PJ;S+*pGTU|O$^#o@r8JQPyN#vub=O1Oq(?joibLVC z=#->CzDi(kuAj;?r_L89OW=AMr#i?o-%pp z0d_gtfql~J#Xb>ui7!~H>|f=Rji-ldp;Ge_%4;L*lrm2ZY$TVz+&DrSkkMa+IYSj zI@Q%yR7VyeoXAkACFK{|MH}ij5KRgKlX7;&uK0Euo%Vcr{ubR`NV5@=1&*s+8t6bi zK~?tWHzBGbg}bgG7ETSj^B$GoPGYD{uHJV>3$Kj8IoD-S(RgF^Ju~>4^)!Py73x6X z$0{3I+^Aehz%kWAN9m!`*+{&`mg~6C+Uu{&3#+a9y4AZZih$Uy>al|gr$3m(K||am zD%pxtZirpe%cWF2TU7aIwm_Z>E?aEis%eIZEhv5+nR&{C9I~quZuh) zQbaOP4_ZVl;N$n$m9h$FB~5GFfnxc)MFS1xy>>Icwzid!$bd2?vlC=CPAv~1H%ph# zI}LZDC8O97at+#tatZw}Io>j+nJzlk8#<-6(cowNeF9XswMV&l1H_rKjxYKJ<8&+R z{`6Ck$AV8fEvB}#sq4n-xE-Y?^!Gn&ZD$^8*uH?Rrn&L03tx%>CiM$EYWCM7YW5Mc znX?5{6o>DI9!QLS^w_G7YWdBMnmLF0dp=J-_Z=KephMfhCqMN}7rEFN0m)}7b|4E} z<7`}l^U80OdO{6~Ij8>>jMiBcD3KEi4q&9|B;N{t)4yc=wRA$?i?Q1LzzwspVUFn) zs-dqYaOk8Gd)Boi|HD&+iL3lk>^=JjqM`Q$#dZrqG9zNx{?^|kWR>(Bdsn?h3NsXs zE6sN=Ez{OI{j@rltw&k>Vy1lN0gGa1-d1Qcrx(7m%QSJx&SDm&^I0^`--kH;<6FPg zwz=n^H{xM)$WhmKm%=$1&S!8fde!2%G*l%v0vRre>9y9g|E3y~#6C!2rRX#3d0kJVMxk-*_RM2Jh=iHzbB(6}*}s-|?cmRR zRo?vDZ#1SOFMpn-hDJ?LALC~*aLSUvX=-yDqve*Ma}kKaFYAYTaPHqXFZFxdL^G!Q zkNBH$=y^HhPRJ= zX4$Hr&sWvdOpq@g=DbLTR8o~lCPXHjSTw<*3LB_NB z&C6ZTUxzPv>Cz6kETzyNR<32jk_WBhu1+X}>!=g(wT@eHaO5F2`s;*SQ{LDX6dGv0903W zM%gA1V5V?)D2Ne-Q9Pg5S8F&m<|IaqDOEV=+TWNvFO0Mt@SZ$R#zv$dp3j%`v0k~#2wS$xy(3Htj6B(Be{xBT!i)dv=fET{j6+ym>Gd zBGY976M?2GGrpkp$dnJU-l=4W>4vQhl$C6_|GLoybWTasL|x+|LWzDCFc^F)k^ z?JBFd!Dhs;* zpumo$-HYj`QKh4oM(9*JO<&iy=;92YeX_6LhMZBg=VYeYbAIVu&HAIG4-F2vzSc%O zcuYrNBluQrCScB^sHQk}3zB2d{2KhRGQbqTvVVYA57^z+$-d)*g{u(~w~hHCO$r6}e73#zB@h@S5`;6Ji* z>Eo6F-Gi&)s*2}KIEx=5$v6pfq942ir|30g;;P=e#2t(_atje~CYUaJ&E$3=`2CHm zT8Q`91K6XM=Xm4@COYeq)Gu!aGJNBMt+t~VU_k4^S}G^Sg@{BUJz;*FOR(CcNAA^Z zL7*V}z6BGiAdCOK3|^O^Fzio2aR=R)&G3*S)1z#oKHZQe&ag}c>v5JYs^Gl))XXGN z9P$-m0yA;ykR z=!4WwK&DO%No_PKBH-L!v%LHn7Ca@d{JmdvQ=LsxPKNH_R4SSF){DihX9Q{TkDMQX z0wqj@#0BOA`<{^A5J{3TSG0EMmok(KqNe`+F8xl;&o^CmSjO-9SX1SK%^XBOb=pYm zD{u)fE0VPp&v^7Zr4WXuqlKI8LJxCx=*r%QiN^|)*uv>=p!CVSoThI~&QixRb|PuI zUO>AoSwTR}x3z9_NmbT_)dTEaVqrpJKTfVj#H;ddLN~}Mi$B^B5;^-m04#;f99XOG zGp_;3ENGrT>X9YsDo+9J2-3L*L11|qv5)T?|8iUO=zDT5#Pc~Su7@LhoY$zNy&LwJ z`4b~^y1BU?$0kX8XfhH?k0sbIlu?VEOphMSAFbCqx8*-qM$TUi}k!&lv>S$Wspv7BJv6IeE@)> znvW=73Kni4)x=oav`koqEPpw6e85meo{{VQDDICH85-kmNEC{3cNF}Ev3yUI5lEq0 z8o;bcPgc)z<;wIdp;~Jp93=|i7#A})=T4782ofCkHd7~=zHe4RR@2fijqxY>niux{z7`;-i?1$>g?r3{+*+#<`A@I3a!EfxKDlx8k|oa@JPf3J zmKE0o*nJc};&^r#=6e5qKvW>$xai`zEYJ5n#v(Wt3{)1Z1%D2vLsUJ!e#)ENk5MzG z{!=EEIo=|eeMD468WbQ<kVni19gqDJrc<6@(Up0xMGi9SbA{~Cy! zKz|Xzw{U!x_~#!QBJw*iV=>dhbHGjmeYd^iQLPa9cB<^cgmasId`moY%zjFhcOyaZ zclnS$D2JigMW^iQf});T^QJ_Ljx!LX!@c#Jr%6rfpu$il)4XP^c8f<+2^XS(>~y%5 zHe?DGu~`ap#G{ZEFxo6CgL_6?cBw0r=)amz4E_vhF8Rc=vDLoe5Mn~ASod``)$Mo`U8a1?o$<8k>PNny zV3LbNxgdy}Zi|;%_Gc6c(7#hFCBi`9qmYvj`^MAhk_8-aLGKI9hEHMj=}On;#yLsp(+@?B#$Mp6QioW%@a@S%h8EUaV5ITcN$$`*`I>C z)nxIYKbi4_qCW#0#-T^CJxP$KD0s0gfiFi}hn40$&?~C%u;t5H+v(@4EQ1UcVu#PrvLXw7_FYh)Wx`{U?8h)_SWJ+($a_Bk9)f1>8)Op=iSH6)2HN7cOUHBiX`dzP}4;4vgzlF z5D_h|l^&QJN|QQP;W|nYbI{I#_kp}cY#t9XOJLpygoR2-1F@vPRbdaAHB z%|Jm2rw3%rrF{P>H=F*fp;HE2I$vojc}FLUr+n$Te%Mc(x8kCdJdmSpRhVy2bjqJ@ zBKlv7hGJ%GL+gTxe!oB|c{2&U|64!fwc9Q3MFl0}T1^H@9K^NwEtnO2V67duhK?&N zG`s8zd1G*HzjCPF!?n($NDS47K{ruH2QiN z-_4pFLMNFgcrhNzF?X#PYW{X-l1^!AFIbGBNY9x&>g5M8l{joL#N2A+&c zCe(vug@+=!j4>kfr^V91Z}@VHogIhtdzKR$9k zju~sUpX}*1D736OlI?kQsO5Izq9DP3&`U#;B2nHl?fE`bc+r6uOSr4b;B?eVoOL-uSoFOD6ZKRQ)0MmePCOu9b}j4+$HgRl$>vzvw>z} zvI7<6M?T$t%d5Xk=c6_LN@Se1g<^q>gMJ3*W^<3-gXR)MqPJt>^h_=eaF!+e0b3zs z;YpB{M}^c${n_EukRz??=8Oye7m~Xxd}W98M+0gjXi-aLukq|45$u+BHs=@cL zK@V`cE!D)8keFrsp%8Ze2g&1-LvH3p=P`!}6=xrs1W86!*69uAmEx5j<%Kx1nX7>glZJ=J`U+zh0S#&Jc7ANdT^CX~Dx}RjUOydC0 z&~*t8X(*b}4|zbGcpP`Ud!Quk(ijN+Ewfd_j8+Z?e|ZqQRi(SMUBaQLESGjTIzHt) zU#2s&W^f)yY@NDnx)fUm&!jGcxz%wtySf>ycE=vgAVChtZ#ksBhj?8XeX6c@$5qkF z@HLy&ajD%w0-kRts7Ydy%>w4$541xPgpKYq(M!u$>=tJnc9~*jj3pF9YvZ8?){Bst zf?e$98m<#vgLcQzjKbU)MCEM3h_dI{nGO1@8RASde@CT zx)WYoH1IKfr|P1Sg9crUg&`7ZyE{|}9wVNSE)8$b^`RP_`PnInaNpIT)0}iEG_|^# z^tDGAfZ0{0RehN*#|X~#G2cv#RDsT2z8x^{0Gdjmp%zmg+;A zx$TkcL0p=YI){q~ieDy$i1ybLR+vRZ!9C(O47JJ5Mn85}7^_}fF%i_4K<9#55+o(- zdW~?AsmDtnSvwqCciv!@8s`EMGNPq7JW_87>;;te-cvs=eqA9`X5!Q!bk|RaNtWWzf z0+vFN?CFAk(*ze$6hX~J2x4LV%~^G)^sUM(x=%(IKj{gS9i_SxEq6zkR%4B6ZJ(~E zDM~zSrU4E|?;c%t9vZ&>0&Fr$-Wy~xlkEOE!}87la8S>ON?P{FqB80j8O0iKU!c}> z-%c@R+^708*D;KjAb>u#l(M*PxcQ`ll%l)ozAT-k4tDEt4M7`_+!wWGdF2Sm3DpRu3r=VvNj6F<+Y=iv0?Wl3?@i080=`(Qyzk-caO5r zVEXrZ{bC-7v@Wi*{NP;1@a_F+sJeOMkZ?fH{@-^eT9Vz)Z${t3&01TPw*B*He@SZ4 z83GC7>;*Riwqcw4?$aX_&{9!5HIe@x2ur@bwYud%eyjfv-+v;x{tI@Dkcohua2|J} zKsW6Fg_%(iP&^~kpn&525ihL$?}@a#a+zG|(wTe-P0}>Uzx_Ax+W&e*&}TtGi35tC z^I6lv|Ia$Qwpw7RG-g**$>(k_s2=^p5@~}?D{KN&U!a8=|9$zyc#WZ< zo{QM<^_CuL<2%Hm5ewtN=5}3zub6qR?(iU=KkB9qh$A^(TMP_%BbaTEg`IA_3{_w` zPEv&1ccd7z5pn&P$}KkPeH%-*EyStaT<-_BR4u!cl|1y(2x_EW$C79e$u{6|I>Hl6 zLnV$Imq3HBx6R9+55SCVwpkSYqcvL&A_P43)%Ue7?ua#MlALoA!+dLO>4neFJIr$T4o>4@+xJ0#`9&rF7H+Q>_|C74xdp9-Y4Ntl#n)-vL-36`MfDA4 zx_|8}%ho#kOELSBscSfi>%*@{Wym zAD@=1xyCx^D&Gh3Po)G++ot;s>U>3H&Qxr zud@3ul6RWYjcVLfyW*&}~|jkiKHTS*)kh1ZFsF;sbqjj{x6itaCkzY&O`CR|L(NA=XvwH7e zlUe5}GD#*!_MIjzB~dIJOEqalG`3ftAEmVfjK||d!f23w<5k|b=vf#Mq*NuA-6jx+<$5AjN6+tA2J!b!`bWIB61}sM21=Vw)cSjD0+8;#r@+gXP2Ws~ zKFkCS4OAp}l_19tS@}L`{Jq^>xN-6s&1ZRjwe95M4^EZqu($5B3zurioLym`6H0{i zO;rhcEyT)i!pS%`+#kZcJ>qWrU4lG(Vsx`COYPhOLfnMfKxB*bk3u0aWB-_b8Ajkj z6PQXC9whp91n3Qvks+FhY(X&k2LD+U%bYd8M@Exj)3tu#IaVgJ$HeZGOUgaI#F%3- z_&`%d^DhHI7Ta|PhpbzTlJ3rHp%4mngnNP2+yz`bC!%RfuY6kcI&W$9j^!LZPW1fw zl$oK(|95e|oN;vF)4x$u~&?2e7~zTT=4*^D?*i1QFs%Zp0Go z-HJ4dG5|ZsOqs4rPIR9i-;BD6V6xDog-H5CtTWLwer-9cDeo8A0+F`eVb91SV1mrd zJ58*hu*(9kCK1TtKLUkRg*1p6=~@0Qgb}-2e*dE&TV|F$*C=(=zs|ArhU&}yMjrxT zR0+Tai@Ke^D*WAM6M^&HGq2*zHYM}MOJ*oqGuaKKZS0E;$WOcOR1gQ8q`CLs7%Ox> z@INg!56u0YH41=qDSlNU)~Mz%+uqR?lf0Mpi>&KM+MR4&t#B=3*5!gFZ9#S?_#9PN z=<6}_>oHzt>FwJ)rQYoO_4ZNgj2s3g_tS%ld~TVhu1mJ~99HavgOw+UN1uBn__cxU z!yqiptw!h|mH9zm6?!jW`^-^kox`CxXfPq~Y0Yr`78S*`t?Y4z(?O(vARxF93!J*| z)KneA6ZM|ok%pBwOsB2)Kfa2f$~g$1yTd_e=LML`wOLs1#ZBC8YrV3irwrb5$6|k? zK#AWH>?~M**_zqGQE&DPU76Ozd#o>qQI^PJUZq|AkN*OIqx0FtO5ThEFCJX<7&L#q ztQGmkP8K%+nK2wRl*ms#?Vo75IW579s<@GvKTMc#!&#;x1O~YJ3@7D?Ua2;ba+9w< z3T#`r8=qW2BgsSMK?n(?n>$&b$Ff0CW(_klIWu={R2$f-UIbPO)N=huwF0`pQoW`| zg-^{E7VCx=U-8+c5z~hZ#Ev-Io2}D1^ggFK#24=CpuW_hlF89+u+%SbjGL7S?*syt1C+6XjRk`U957G;j|MVuBi zA{c|nxUsPQagrr`@x@yZ(@JW7(VlP^k;Vvsv&a*|P%lc7le7-iHN~l<4oJJiq`y!^ zoa-)+*|X3|)wGiW^wvKrdks^ZxP6H=c@NKZY|$%(sFaAcO34xqw){I1_%~MSoB~NL z`$f)9JVf|Ss^4BSDT(#K9jm(nhf0Zxx8Gg;?}+locIvXvCo1A^oJ0Lwb$*DuKjIsE z=Fw?iUaOSEEr%B2Ta9ho2}8eITede6(6%q+Eb9W5hPc^F0bH~`>D5O(iIrdihct>&WGnlfT9h*_5vkhc zugf$CVZDTF)BN_ws`a`QN*7KpAqFVC`X7wFRa9GV+_s531pa7nN{c%bcM4R{ws_H? z#a)9#ibIhi0Sc5takt{Z-Q9we;O;izotd+5%{wO?K-ONH>}NmvyY7o@0%Potprx%P+>yjVjMWV|dJlciT?Z01}1 zf4Q#XUJHq7Tw+T_LVb`y6RqmP6c?#n98`kBJ8w2o8jDn|L4p}Ar)8YW)Q=~8KOKu@ zkYo5`e(qMXO66Bl-j4F{jacmohf9D&#qjrVi?Q7Fd0?+_nAv0OIZG-+A~ zR7UUtGnNOwr6R`@0_jVJrxS*#$Q4%FC&3iNuDR55V?HNtN({U6xkwAj!46;}vrJJY z6T5hBF=IXe`LlE+miIfaTib+I%Dme`rH8LXsvZLt*1@m1pe=qTT2$~5+J!TV5#~iD z-k&&oYA_YJy28on98R#SaD2s&xZ`8FIByW zacQ-FMDl6fpLE=b%#IW^`I#1MG)3u6EFy)#%})g3`*F|V05rKa+5TDBroS%Xc6+d( z1xRp$W+&B%G`^xw3dTyOMurr?F#$@ zgsrbYDrX^ZQGEPvI|ZX^N>_*IIO5#9=5cMIs7v|&J%zWMV4PUJFGBROKcq1no=U8w ziUHfo48fk4tu44p&>P?o{ZqIrYhIWj=gs%ZpscT4;S*^`Fp{O`sfSt`&3iirqA)IFy5kxP z+152d1svsFu53QyJHF<#8zqsZajvgahQRP6$O-hS$7PV0v+A;tw266pdcHdQ1T$J^ zG+!jXF)PM`SV>NLkCS+$lYV)PH;1i2lDzHOr{i1gF`J6C(oAL&@FZ_Dbbmr| z(DAf|z5R25@?XKehNz$;_&39ekp)$p8xwK(ryfAJXf|v@o~ zWnA*+P3yIzlK3Xz0I0qjq6E`RbgV%JFKDfXoj`9fXumqL&D&pk8wZ#b=$cZ2gX0T9{1S zYfSxmn|KYqC5N@iSQIyLHYXdc$s+kfHV(FWEK^e#um4F@WZijD%q=fVVWN7T_h_jq z2>QL7dn{x@)QwJ@L67-?_*lDn-%~wUU!EB;5GB&oQ5^s5oC4U zhCe4>;5(1H2fQAx@{V?LqX+G#C>x>SMj`qe6u;r7-aZqwLGhlF$6wmRZopubYTKNQ z?wgtfMV|5M@`|SN{*LG4zEKaZKIwy2kkId)Q(=^NrciU!wU6g*e7PO}% zOeLeh$1-=|#sYc;Rklgo*+6Sfi*^V&N4NhRa39mm`L|&LsP2~LG@vy$QC#`0_igd$ ziA;@lv;9zNBqp$bc1*ws3-SsEafj+KoxuHZuIB{q9Laq%B)Kx_V&Y}4HFowDd;i)v zdQwY`o`mEOV5c`g*^+*u5oCT>FnJL#P`3AQ+(d_MG^8<91!`xDI~_;sE_CPMOTx)> zA<|k()qB^o4TQy0_MBxu-DKludL+-d6Zj9j3r|3ep7?1QjgFq(IeOf#vJ2@bQZMo8 z^ZND+8Uw6Yb2O5P)F*1r$r;h$mJ_Puf#n)t8@0en*i9A`IykmkR%Vkw%H=S3v5#OT zncxY96Hrkee~$dxUCbFWDoaP?frTQeE&8fcP_nlDk30_g1#vHmeUA07)32+9N0j_Y z|6#3A{=^)@Gl8bM4z>TQmQm4PrlMB(nd*E=(T=X9lp3f7#KTh2sO_Q6&ql{>m%*lo zkxu?2=IMZvq{}$NE0f-puf+!PGP>RC=f|&))#0j%-m`nPQ*lGy+x4o0^u@@y+~JJh z$X@_;=%Pii0+1z^a#Da_KV~HW{O!duW2&h1FV)z=v>-CW`!_4E8aVuYmm|^3lU#53 zQh=~mj8k%k$6Olc-6rhB*hFJwtcBOy3>I%R9Uy$a51{T_o3h!~!rz?GjdQINazYm)Os#oY*u?Z{l7H14XykhkLG~)4(xznQaGLJm(jyjQ2i%NgXfla>=yWEpn{vdXHR}zJM`e`7;((l)d{9Xb424=tofPZT?MT^McwS4%_T;We8D2>% zU}0_XdzgVbo}pJC$;{^%Gz9-}VHo#8b&F>)=LUp6lRz9&u_Z*RPgi$KMvFj!!}+eShap} zImj*O-!hCGs4kO%Js_v>x=fX)ej}Y(>+w8nqGhMC^H>-KFkqd@Y@uv78&p7hZqUvY z!ZVoAt=Z2sv&)N6&-f~-y`ZS$WlQ&Q9cbL+T#Oy<-1Qk)`SG>$$5Hn!CzjqtVQ*!b z;xNKe^f;nlGNLm*YgGfz-1E`dzy(HFt4nO~0g> zVbPdZTR6X%dx{DON#9Ul)`-%lv?0=3nU1PT7rarmIf zftiJ|`PD}N-@9*`L5;+r86RpOydaMk^_QQ$h#edR-6#~z&g z;tdoZGtLm2<^zciExZV)iyLr6ADU@7suB9coUG?y~Sk^%Irv6AJEd^~21T>1{$ z`O4SB6Z+M1hd}CsXeK^F1Yb{%wly z8g8z|Flb?8m`Y>Lw|`X|k)$DFKQJLd8OC$fTBKQA8`;=7C@ycdPggHn4&=EJ)qE^h zIl#ei1@A$76H{WV?@mcI??k2?VNu+Yx<-b9L?I;qKsc+v;+z^rQjD=bjWmTh_1Uu} zk4#rN7!>1=`BN#~amAEf4VKm6g_7n@T4Ck{PTLz@=upw=ZSyUONQo|c+3(EgRtzm^ ziyC|lJdpN!i+Wp$*(4ialjuY|(m$^)|KZ-=xN>s#2<<2^9Bayov!aZDDupigbcx53Gn$)iMAw~Rz>$XgNP+)D7RXkupT=)FWlba1 zr8x6og1@6uUEdF<=7DTvnTOy}?~4Imv|@9GY=%8Iqrw`0(iNcrw_P@FfrjGh%=C<* z?BmaBYQY>YE77byQ9=!6lfr6o^3ac|z4W0VUk};(Ql4Y>L+zYh4R;}WSl~{l$#Q(Y z{kwLG#MJ1eV~6X&ZF0kKw1tlRQWE&*G{tG73+&AppSky%1}(5Z3HRN?ABf-E8THrm zeLY>78FE5wB@osH(F_i7@b%zBPSL~eBC8SNztt)Qcx-UgjxjdKWpr8NZQSI39-+1p zj(ryoMd!@k12}fd1aa%$R@JS~S6Qn3skZ;*6nnLHrNFkdNQBv!!uQLiIo|P_U8*l- z#p(F>KC!z0&xyr!t=pWY6xxxuC$kgsVKFTW6WWqr7#6F@VuOFNdPf5d&IWQ7)`4~T z>--3Of-MD6HJ3XUx?b$9_kdra_PbqkN@t=pkot~QK(`lb*!p}t_Zs2jAw9|_P& z<-EbbeoeHOX(iNCH$H2v@TGa`+9RKItz%as@JHP#$tXdAWsA`u`SQHS%ECK@+&s5x zk(J7qf7%8QF-8dCJN)DC)SyF{O@iC}4EB@#NrCFZ8Up|Py-tXyPs!y5{MvF6+ur}| z5Z>oi)bvfE_m^=`Yz&f#Qfq~`xB=bTd44NXln4mh|E0Y};mCG3ve)7{nGcN*u=kGXF9Jt)I?}}vlNxvr=_-z?dnt=cz20K>@Zcg+LK28Uo>izPRxGY@vmj}%Oy~un&5Xrfrv}P5)hK8ogrw$Y1`;zWEC?v z;*uY7yFD!%`ijwr|^hUw2%N_Bo{_AT4Yg4u{4dq3wSMm?0 zsyX+ho$*mE91DkjUA4521J2TJ^t>-g?|1zaS)a3&5<4ycB0eA^xNGg~{WAMHe4%QJ zq`cF2jH)30Y$3cIB}Yh8(!fdtJ#{})OPY>VvQ@jsCPR4~S;o9khj{zt+Hngj#yjvB5wb1 zAzpgucS7iEf^Wknh2N%KlV8~{{;&=^{B*+MI6B={AU^$P;&`h)N{eVm)QKLyy*#=* z3c|DyyOUBz3I8)dZPYvUE?s!_(m!=IcU!wHIE1O@;p2TBVTO)ncj6CUnFG{lA@H|Z z*T~6H(dzA;^su`+#!+fp7S_YB-{00(g)Ezps5d#tS_hTSh`UI_yFY~r>s;lBk>NqO$o zUMtvw#s!OX6w)V{jOU16!<-^!3#Zu%(Rn&xpcWvt>8{+$awRV2*@|A$3XnGeqrDKwR z{Lv8=;nyDg%^j*78>T3PS8(t$>8yLclS0*LX`Du1`G^DLO7aj>dN$`(Dd4RzmGW4F zE^Q#ao`t@o=e~zQs>i}2Dtp6+prUm<3p`sHMGHwED)BO>$F|SBV2ynjrM0QQqolC1 zARPz%;K(B48>x>G9ekvW%wN(gq2D1kE<@j9(ecOe^OOOrmMx5|rH zk)7SdTu)E4dPjTMnpZit&ITQubkp`ok}8T}fEAtf@>>Bc$XPc~cZmMDOW@aD?@4|u zccoT3|E$1FZ&#`4ULk%SWrrB!^Z@A)$|10Zo9_#4`!MQQ*_h3S2}`Ij7Hlr9;H|cw zy9mfC-w6M8h>@vcJ&_%^tfw=D;shGYzEwC$o{)t7+DA6+w6wxzotByC$|^H_$46R} zfa4DABM|MN!97L&?ee~l96Rv9Yg5GtqbCG7BLM9Rc@qCMDHw!mZ0VL{k-Ygrwzu>X zs*GmA42guBY+CYGjeUomKl}8AOVvl=F^IIqT-rOcm%RG?i~mF}jSOW=S)3RQK7N|} z48~!5FOb)#>oImprCaORv#IB-pKd~|89N?%r|XcV#$HSTgxx~V>~TN~BiGec9bd`s ziwIg`zSW+CofEh0p~ax5m8^*y5+=A`f&#Gs;29;=i{|{Hq{iyTl_au zPZd5%Uw+~|Eh0*y!AhU@uqI3*(sce}%e6)+o0TCqowJ?;;KcrVVU-_KN+_1F3ATH^ zXr(3J?b?mZB}-2Ds-2mauZ3z~FN`BwflvZkPB(mg{lW@(GOb~lr`9~EXQqP7 zFIeFdy>Xlt5K(%q(w!d#%(Ko!6?J#cuR2Z%?3;ZY zKY9D|*Iy^kaIkP5>bJ)+5GT57@5EcduI!f-uX^-~MJ6_m>!=fx9kQw?BsPdMseHM; zgf)#w!PY@U5u^G`vRKr!ip=&4nXM3zKwUCy;Iq{c-dxjh6r@;Wx!g;qTd&uh&h68M zY+fl(NcOswn<(f9bIf5R@7%C_iXJtBYNuawS;@&+Oms%oYEmF*-md4oomz>(UIp#jvE=!ubB~A6n6{eIRN+gtFyo_ zAi<3mmOhI4^|J{)PQ%6BH8hvPOfEXX-yB4AKilUPBKWm#{%Sw;&MiuRMb~eQ(TV?q zna@z`=UQ-Z5Jn^!9E~>eA*zGAFI2|STkI1KM==S3-_VcF5;;(eE}@97{huhocORYO zJ=y<-r5+d!qaa>l=|Po$3^KBhMic#HCgBgkmm{si!ozBM8z0>f*E}p>s*Cmg-j3ax z=`yzf)&5xHi zlK=Hj6u~B{#|uIH&p+vIVRkPRz2;}bsH|z*5Z~m?wDMXVf?RBIOSWLC+`XmqUYw17 zQYC?!AjKCxvjJqa?&9G^P`K8U-bfi?>QC}-(fBnpc&qOrYExPUV&$UWckzF&^JR?_ zv&2!Xmqe@fQY;}j-P*@~cNtjd2$G-HK|f^T%qB_mZmI5mx+1f z$O)AYg_5IFF>qASp~w`Ka%!XJvOYA9_zN_muzF!p0_8U9TNwyQcjL>Y^h~) zg=pPb>iHk|Ry&XhY;;^w#J<`X3q_$eY4|uMszqf|s!)3hob+(MC@&|=P4M6(%%7p! z80uWbH3#SM_6Fak%YoW6s8Z_}tWsx@orkJ%^-VE#dyU0?%CQg zdpJQ12kWk9vH|hFh)7Z>^T?b%s$&;tKPDdELY-osWf7cGdYo06UD1!+O3q-0;|jXH z5Z6i+8-Z!euZ1l*yda6u9Hkam4%b0cSUPYz06R|wy=TNfbEx@RnCumcmh;`jS=~D} zeC@(}>$SNi;{OB4d>wI8B1NEEac9*t|GwVC?H`W?~b&ID;>f0oJY^(36tW2JW zWv2QaSbhtYW^B_!8O?Q_Q~(woUeM_YVns7OsQs|`1TSbciopscr-C`j)90Fw4rGYb zaSp2)o`9RUTh)!)(@s#a%_%F+U{YBf|JL?rD2wNsL3?e{4n6;vvrb|u6B5siZ5z(C9?cxX*E{^bxo*-3EFIUj_r30?=4MjR>gXO-W?VexOs6`cUVFD}U^P*7?!}Pxo&i)3V+D5ez?G@+kL@eJF=XI5>^4FN%rj0j|Hk*N=Oo61 zVvUs;Hcd+rPWU8py&Z;@a z7ssid#Q{apk>{HHae_Fk8uaos=sbW;!Z3S}c2Bk< zZ-nWPtexoFMQoZ)@_|#X>`NAmk$vJ%a3;T7VxqJFs>_>N4r8GNWxnq!)O`cnsd`Wg z@}AG((>gc1ic~XqeqArfU?u7}VUmo(WZ$HrlF2X2JLXP_$2AbA?Y)TTMu>i0gfMKB zFY6K`#5Tn0ipk`R(a+iJ#)e*!hEBp4@B|`qO=2X=F9|SMk-U$fsWz{U)Ahm{+&vAb ze0&PP*^v%C;$nnSR`U`T)hoceaj~+TgBvZ{{;VET_N;L+h;$SRs1e_WAA1AfYXfz& zI*0N>P;k&#P8p^(2id6}-9@zmAB4DgzUm1^HCmn-PLl49tK7x6D?NJp>5;foF3md> znRG->6gzKPg%)CSwGc%#X2zycjox7uk)GkS@@hH!1r!?<(#e1KEzG(D=WOiUDSWd7 zo+Iht#ZW9~$?%7GH7KiNs(N3;(#Lo;MvuJwwBpMJ`xuckZLS0c!$)Ef%YZ8G1yTYh z7`8yTg$_MYbg92k7RW-bWuRCgbR3BgDf}6T10(9uF23$@ep!W_YG?|7QE(pdQ;^FF zqk%B#o;q#tdE626-SFd($jiNxoK_YL4LcqxPul5aTFdUy>97O~#%a^)(&1^o8ntC> zsfI0c5@u1!^T|;C#~CQ>2c8W2Tj}#+5h&<+li%S)X5Uv$FKp}E&7alj{JloU)ZLxY zfvQR`<&gm5-?9KnvE;=PS@`1j0@{vz7$wgqg29l`z%05R`T<*d;#f5~w%Y2Z&zWB? zyiuh|)OsZuTRQ(4f;BLt5HcXPq|&4)cdu5V9I`El=5de)qfgZ*I{Z$O6!4LP8hmXJ zt_-F?&YE0f`cw8Zgoj!H7JbYFL-WO{YXiLQ+9(UcDGDdU4MYmD{RKWPqZiM$i}V<= z%3<)tdsW~rpfhlocQfJ137c{S;tV~C5ga|})vM8IYbU&A1{E#Cgst)QLa{DJiD|_d z5+%ftaROyd_nYv?b?uw8YiM1!_Hl`EiRh}b+N9%o`RyzdJegr-CfJ9p6c-RCI+?I@ z76?snr0SzF;w}25(r4~>pZSR8$M&8cF1i>Gf$-5^vail|)j}kZ$L1HWcR1TjX*#`= zz1jY@L;gdU{dAI3$LUq@cX~-%UJW3LP zut_zRtyJRKD>Pu&gwg*}23$W?9Q_-Y&q#I4tuyjNdPUQjPC|7mJ&#@7FyChbFQcqPIlsND-%M20 zF-Oa6?2!X^Q*r+J$WZ8)VtAr=@oF~u^+OIld}%&Dsq7sQ7n%jlZ2sVQ1dP3v z$wy_xqOB|T2SM*%jRX`}?R9qk224xzT@P9*!jGGA)7u4GdI|SKfcW1Jkh!6H@{^=H z_j6Whfc3?K>w$vs_^gcWRN2erV`hp$gi`A+wx>1v%3R-WP#Jz_MB+VZT(81T!0{KK z7O!)8aLMo~2_S~(#&W9>F>t6u@Aq@kX=JFCXZ3dk?tBgYLb*}>QE#;B1~P7=RA$M>xnSXUifzrqX09DtWQ%E z@7B7-dEUX?;@M{V*dy~%&%&Y?mXsiEz<&XAbu@T5ph`e%U&fs<2!OSb| z{2{U^t--SEB$H@%OCC<^sbp7ApwrAy6eNL4+-?}GyojARyD%%9N^ z9jB78Ury<;|5u9vyL$dwmVlsk<;$}aVDW;?<`&B$Tf_ksoc1^L zh~j6q)=gxS=Hc;#%bFIrf5oDqu)%%$QET$^g0~Ln%Tqa?LH-Y|LA_Ux9(!F0`PpX4 z6X9k%Y;Mu+vSK-A(>;azh*z-63bq_^2jy(}MUeMqv-sKpL1^jNq&9LE%2(90Ubt(| zwLZxbaDJl!DfEKvQR(wZZ|_{b&Y>?>5HQdgF;$CL#&BPJ&%j*-D)~0Q)?Vy&z374X zbE^02@64UMQSbRt>gfU|E@=JeNc7f*ez>gIh*lmtfLXc7nz?+jWK{n_g!XG`^`Bv1 zukvNDeGk;5C8DF!(^)BD&Q(Pnw3V#qJ@kBWdc1eeE2@WV z`=ym#i9_b=-c2S}r+tmIu!GHEZTqksbalFVv&kIbj&_(PP2Zvdu0n$&E*Ez#=J0o) zMSDm^EHXLNVM~ncTXJG)@nMQh8M>M5p{v(M(Tzhr&*DvRbSWqQoasyAK=<%W8|$jzeBcC3M9T z4qLU)&%L;A{sg=3KZ&zWk7y4Xi)pKs=}Npo6Qeu(*_sDV%iyzPxD)L^3ZBIp4}7y8 zci8;vMQ%^dDo=88`cG|6t+5ex>a+&dm(+FzYIT?`$ioR#0}F$1TGWd(ybJf*jmC<+ zIoS5t|6=}Xox&FF^Oy1L-0i}~CkX*x7(TVOdKfWRIzkG zNb{({1&{ONdU=#|QYkHuZ}Urf(UBvw<}!2+Uo5*DEggiH+LkPGfUSc|W0BEzZq47S z@%m4kPPc{4lxK!Q+{cHTn<1tPEqHNszst7|PDci;>U%7c>ye;}(M)OL=jDYx5A(kV zWwAQnv_cw}uf&{HhCJNQ`H}P#zv5whV%@mJ_n^ZAU2KE-#nM^(yIF9XmVv2}RGrzR zeX`|ca?#n>ns7ef4qzpbh&$ht18P{0W3U1?mKhfFXjtyhyz2mRALlfGfD^& zO>yxoh^&4PB?%k-^v1K=VQ`@HxbCYE*PyRY+iQZZeYLF8^?i)+6E#$(HG;h5&(|JB z+>kmykgrD8Dx3?jjd>t6n#(9LI^Z2OV&}3#0~66M-}jy}V@*3p#E>y>v5~|K-tCihE`QUhTU{&1A~Z z*%gdB>U(Au+?^9cW#R3+A`y)6j>{el}h+X2xW&5qq3r1amfW9ugJt!BPkH&xB zx_-}YVyf2znqY&reaQ$7R`v2+t`hNf?n3CMRZ{ z|5|2ObB??)_j${Mlr=SR+(xMT<=!ES;1@Hmnzs*PkyZSqoQv$)FgC2;h0EMCNpP5j6#jTT|5_q;AT#(Gx0%;@CUiWDzOk>iqp(gR z(Xi9QXV?YHuNes)yr-rQcl=h<4apqxjnZ0Rop&#D&9r-Z24TP}s;Hz`+TF6^$3t)L zkzbJh>jVF|CuAUgrSB#)!#o&CoaoycK0{V+GD!c-O!~Gdf3^F>^#1^2iP`t&S4iQ8 zX9?(?Ylq#3K2rQ~}Cvrp04krA>_gyD7~P5{&&QsnEZC7OvIP zOzRl{wMjG2pxgUuNN%K@dldFj$q~sXR5c={S&2pR($b9&9;Vn`i-I9R*`dQU!K)$9y&-?Vvrut#MW{VW6A?~lW98*VmwI^```ewz_Kx-(4}5OOcrEe)96oa>JZ(l+KfavjQXU-oZA+934x&r* zepp2s((37t50+xEkftlEgEHUcy?=3V$L`htiR7iS8aBe@9C8S_TRfWHJ*fAAehVoWksHgjt3Go6hoq|NIp{hr0lil+Oxjq5NU+FU&@ZiGFV!tSVkrx z)cpI{Yvq|ov&^Q0!}Wo`F6+6`T-o}j)NUXFN1xttlfT*i^F06K$Z_>ku~Z$~y6pea zy!lG-b2~t6WV}&}PmV3_x$`j?HXGb|cHenCzc%$ye@*#q>FH4q{XlxoA$LT(v-ARz zu!x0@H6yXbB`^|0TaA~p+E7KRl1AP)AaC1i`E|MXumjL=!P#wbaivZIiHxpfu3@ku zkT$9e_c;IayuX5(J1W)Wr_Z**v-vrj|A7L-ku;XfsL2CQCT>qK62bY9T<-d(*ja3Tg{>L9pQ&>yn;MCOK5Ha?P-e<^_P|YQlp0xS7 z_xYPgyT!KrN6o$H5XsKD*W2Z^7bCq&hj=;-@}5ZVP_+c|eO_AL;_=);vRTF!pQ6d_ z1uIv?zpL=ICti2w>HCe+C{Gg^bRW4DNNh!ZYMLbO>!cQh<`1;>ye_L`N*82|k=a(_ z*b7HWrlb?)26wBOV5;<4NWEQwE0uq==VEODQpIN;`ApO5$+*)#7LBm=0w3TePr z!)l3N1F+hsip_&5Y1WO}pt}zy|U3Wr)u5u9G$;2aR(w028 zCOqJwTx5l~mDfeVB7Ss*rSb4!JkSVsGK=HMF^2FxI}aRI=tCnVZ5`iKOs zJQ*;OO)Ie_&mv1D!6k+L|6>|#apV7aH)wQO1?DQq$}i6#P6vumSp<}T=s1rQI{Igy z(Z6cK4zgQhedawF`bVSxmJ=ysoDavt`Ilw0isTAEkL^=;RswQFe2MaNkV#>_&oaPF zn~-;wy6|U+Q=K6;MVe@PKjh@fdCiU?L~@Z-PeqE`C1BRY*0lKbtrgVVw7&!Bg0RWjbJ--*zHCrP;zE#2)XCs{5Q> zk)w7wAZHS5`0!awc$xF^Vz;n)4lT`n+@oS%v`|I9aSt^8A>di)2?%5ARlsTUX*s4g zV6HBqcC~-=fZ2=S2?bxOva#4F(Q`vSCX2ezt+&NIW78FYye5F6=m~^qjVCEK`trHB zUgp3?J;PhONkd*hjc=5o=^5M3I|w$JdUys@H%WGj59}GVX}W$Wv%S&lp+ll}17;rN z;aFqbUvk~uXbfttoavFKLyKEt2QW^fG})6MC7#hKhnq9f=vD&AuLW4}u7*wWPx@U} zXAf@^_uVW_D6nw>DbVr(;m|8<1A~qBtrGPTtgPug2l=-aOB(CdhsUhEKP4}E%cste zf=C@?53KQBU>P}n8^^WW<{9sdcM%_RO6JN+lq2!&SrEf}qu*d8$DM5&Rv;Sn3!uoe z=!AsiY5}i2W>}hkZp9_DSe9E|$GuCUSHoLDc4h_lNNp*GTNKtm>jTgxyLSv9~KXlDP z8)G0sTwMsaHHHC6g6VIjFZ zjC>qjjY^X-s$__@h7FcPZrqCEXYsy~TW-C@#=AqGk;d(nyOjX&YRli@tkdk*#l!nz z&e8Yl3)=N$Q<-&)I%A|1OBcO;`nw+=^5W1b@n@8@F@138qVG$XGjyH7@3Vh0U#7P@g#2N4<8%s%JWIbQ# z#6RVI7n{$*zTB$8r33l|lnoF`;H~Dq|5xL^$epUA`?H*{pmvQ`CE*8x2qyzmtP5<% zxkTUZs`519;cV;PD3P+NB**5!FaU^8$GjuB&Ja{)3nMXSRM!ICOzvIqF^7 zoyH!Xpj_~rKCv)F?DjDhlhpR&Z8K@Au57NZ??LSY-8tn2VdqSq>(yF}i*O?$NAa9$-}W%6~ug!|H~im4VE=OVEpNB!U6s;*a{T7|IFPpND)4~EkSF=-S50Yz_vG) zxfpkq({37iu1cBlseT-F{dImLlhc;>w6~Hy=$jO`yrPJ}T7PMXQRjY=TXyyDwo#R= z5!y{DakH7oYDBB)Ez)-|nD<#iefY?F@^J2w| zo~?Qt7rkONdK`dnOf_fiSg|yzlS&!W9Q*(#Tfwi7v|n(W=1O8Wj9Izfeh6T)#<5hY zKxUPKf_*}R^HfR5UJyqlSXaLb8*cECD&%dL-_}~WN6?V947-T01mX8KJu(`96aL5> zEP%nfLxbTVdXAxq+-X8uAsxOjUU#I6Yq9T=bTHf|dpavQd*MoxzQx6+Mn!czhD^TI zU%&|p8}3T7@rLXv5Q+WLFQNI5%4CXeo8C{}O9`dio;Kc;?E|gHbsRrhn~>O&_p99U zbTqF`g;mCHuvGklbY?K_@3oNN-iqLWVhk({oW4;@cf5>gu4L6{o4fOo>}?`U$zh3T z5Kal=iV;mxQdu{VbsHl;FZ+emEC#?^&A_1n9^RKLse}?I6#W`a1zTFB%|{u;X>HL|P`03ruie?X3Y~o6#IU+=BQt>OSGGMl7jr zCyiu*nyBMcqAhLC&s~Wi86u)&eg!0822r9q zuUJ&!byBQROGFCuF-?Rr?HrJrsH+=~*YTx0H&wE?6M4TCBPI>lWFVD85SQVeZ-;{0 zA1o+d?u5J+$1v>hLSuF#J`Fjo-4KpAnH}yb7=kO~{TSbIFs4X0Vm;-R z#tw15hI5CE%2VG7wG6#L%dG7CJt`XM5l@M##`1H#L#vYq*MN{tJv*3?%zF*;M&gfz zcPF+Sc$d^>gUTDy60xKd8{~UkeayZAJ^e%3JjDOl$(k>H{Z-@I1d&LUaS7uz+Ww-X zBqdrU8X`GXIoE9>uYY(#S*OMzy}gX{?}Z@KJ^H@f=~uip{#Ll$qn)Ay>Tl;5$;?p{ z`pSrJ?p`6(k2#_!>+k;GEC8BLUfZ|p^*~pA{y=4#gd0~y3lsnoi4vJg3~C4oM%Y;@ z%|||Lbkq%@Z-ER1wL?jms3|ywd>bQREKAw)D#5^ZpY1^_bb9<#oJ1R zVqI*6>OEg5%awDuGeIzM6_pHuQ<7(^KJ$dro1X-PYfQvu!s+BBe4p2pv#8V>1*7x& zQHJ8l#NpOMJb^TV@!sti z8)SQiEfofJqQCUIAyN>VQZl^M3sC8Aj@ zrHew>-sml;_uVlkv0i*bd3^y-ztfWMfTL{V31RS~HkUce`eS_LYX~OJI`933=4Cn* zE=LA(X^@FGn(GqVhfE zM89|AR}9KW=}*|JC-j_OPlZIyIwqs(CKxBpn&G<6fX8jI_tGo`W=hH17@y*;puJv1 zMlfKkiIAYrFB^TiNM|fZ?67gO?1O7=0vt37jCX77->UjaSqU&>?{R<|xK#mpSjT)y zOi=v+c_r8^0tM~AC44a?7x*_MUg8&OfHsMf8Y5;d9mWx8TCN#ZS*-q+bSq2}haN6Y zi{(^MrZJS%;}uw62;dZEj$s{oRFo>TQaf?j)S@#m%vpcPMEH>Jn*#4mv z?FR~(Bvo+}Gl2FLf%5qW(eCk))VER7^eX0BxK?BYo$TH5mainQ%v|tSLfgz3;1;lxaPPA}g@!Le3IhD_~X z<;c+wtA>QG9fKn1D83p)AI7G9^zmpcuO^uhOF(>}1je@;E)}|=q=zx&L`AhaM(@Vzp(#QDs z1VlH#tfyj}6(5k%ndr^2lIxijVouWUAR+iH81of(sc)42nF;dIGsi22CKByCX?H*QElaNGWIavLd*4DybV)n7tV>hVZK(%STha2`lYZM zj;@OGpPj3G%EVJ2uN?$uEJP4#P$-#*Y|S^SNwB4b^Wdc|P_%>mwwrssm;!pZ$nHa; zm5q@eC8uKpx-gX?9sWcfmLPfUSn77>IaNAW3H%2IlW6{KZv?M7&1vR&Blx4vkr$+< zv6{L#h^kkPq`g>8fBdRBTYbHX8tR+#w~xlV!z)m}u4h2%UbctyD3qJneQ&qC(AYnk zJW2Ed*R%H zxwWHWdx19w1IIS{{5P@du&Z(-a#yM9#rT1kL>;Se38v9PL1Dl{C;^ed-{<}^^eFX* zo|IK^gt*DnP(7)>!EaTy__T@rrNC-NCwfrzM7zBOtC zihvLwz67#{EmX;h0_i!3){7PSy9%4s&$8R$!*OcitO(LwoJ^Mi_K}Pv<)v3FdwtBe z^u9s;Q@YKyL-(@gjQcn(Vs>E2W_~nPZwDYrXigoh}X(u4mYFB85(c@ zb+Kg7sm?I2Ms*TsKUprO7B1O-JA##N40?*09tAR@7v0Co9M;m^Xi1?9rZIhFVQwpA z{l9p7%eW}Netnb}VCe1!B?P6E9J&n3prl&_B&55Wp-VaxmF|!j!eQtZ1cX7lWM~-b zfAc$MpZ)Cr_IX~O7rdCy+^lu4wZ661tn0eO&PjfPoP@3N_~q6v$Wo$uA=RC!vGfqy zEUOcf7d57jGfRbjlsR4wY2}4^`6iS2TbrU;7_7l}D5?6e9NS0aGdFX%kvXR!^f-MP z;}=19M9-3Vxfi8+JlQfS4t*fYMizpLvIAJzQL-WkX0cqn%=hFaAyAYynIA#s^BvXx zhQO@!C(U)qEfY3IO5P%#N#$y&wg`T@jmivI?*7+u@q;!jd(<69rRzbaQl-T}>>gt# z(I&fqrk+^wbv{b1+_ZorbuE-GRQ5R9SxyrDu(y*cbz1s>ZXIk=Er4a&H4~3~CYx2T zYLV&OYfNOFfqoBvnmPzRGT33YAPLONLX~kbx-zehigB4<85tOD`v_!kK3`M*8T;gO zRPR8Kd(t%Du*JNRBqeeI&oE19sc|TiuQ!YLen;;$hz91qLuawZe^%N2p=i@~i2TW? zNk}=qtt?#jJ&T_SO9i&i0zh+7@)^%1N=A5HAhYX)AF)Vf9E^7#wtn$DGIkR7BYrp?`lJ#7nqcg%9 zc}HX=nf`6Lgh9f?Oc#%wH=VfCrE4?i86WdPkauUTaXo6N1s~LUS_hG8VzI2Loy9-T zkl*x~1-6s1&g55?B&t}4`3aOq9rUXHjK(TDs*H-Ff?RAzI1Vi7gU)U~feo3Rx%R2q zHc=22ojFjPtDtiNiTHR554F*nb7w1%wCd-IcbfY8eoPsY})3F9G*Y1bldk5abz#)Erzi0 zq0(25Dy0K-A}hXm+*z(JQoNR~l;O|0PRJM=k{5`SrqfX$)I;xzsQK-QqTo6rML$ex z%T<$b{E~1m=V_`ie0iU7E*7Yw>XFg$W|knA@V45)pC@JZ2W5lfT)NMgep23Zie=Si z6CiAoGTt#rqr6n}NsvZ`N$@_15jO|KD9^}gcR*-nuNXf|5=CK~o_(Q`?$dx;SjP4! zf|c2^bJtS7qG|Pn>rA);Hl2-l(VKw4V$Lm*z-@0#$Kmx()uwS;$KS2VSFF)y$2XR* zP>6N3r`&?&Nf0e?!J!f-z!?aZpRAxIrKm0mS*g0Zq|kEY5= z#(Gf@ZI@)JF-)`&;^9JIFvj$-H%~?oZ!lT#-8MLjvT#8n%t+?b;d88NXvTC{0*_zVV!)muN2RBQ3s&v+~`56~H7EffXW%K=L zfjIS0-+GMsSXXCqG(S4{qAvM*@C#`kXI8;H-l}B0o0Bxb(v7JJyGIqFgYZCYrwRNI z{InBp-2pK`JSqj-KPSBWR#p{QxXpb=WWJ|@BI**T&;Md#J{MZH%;HVo_LkCo_+rD- z)tC(O>}vFMs)^k8F<}})Ylm@%f9Gi=r23I3ZL{(f>d9EqWV{}hODuZno2p@8b=Qp2 zy26aW3}S|SC5l!5)YizS@WDQdis|H(br=Qo z^H58R5UJua+d7GHtLofgP1A@Qh|*M&4ybQGwuOT|SH$30A z=?H>dwTZ|+AgNYel+J(0x|72ThagRd(avVIIJL%Raw6hnL{svlxkNJA!lAxXpW_DU zu-#_hhd_BP33320d6EW+W;E!}uef!v=q&nvX-l~V_Z&af>Q z<)4|xd#?u>Y>kKdP1f$xt*S^rW?bKo^*PV5-69>IdcFWC`NntzH*)SV%{A!`Np-NU zBknJJXEPmSAJFn`;3!Uc(nQePQVzlLMTiFvicgC2ZR`#%7VHT^M=?S5r$F2XpR4Jg zczwoefN`vN6-Qab#=z1p&Lx&}g|Zi89*&WMaPsHr2o(oeW6wz2sJ!XAMJ>r(7>gn? zXls}`5jtU>(nUP3bjLAlBBz{SW?M9iP(?;yhz+~Jm=NdVkTwOsgyVD1vbFdS8c4`4 zcG!Ek1XPJExyUQCF63mH$&^+oSCGwtIvnrLhH7vIO7Wf8BQv_yNoEUk(W)YrBSmq_ zqsAe@Z{_l)kMexK4pfdvuL#Wu(Tqhb4gMxm+*o4EE{6$ifuU-iFKCY>&{)0LUdfcc zooCUfJn_{u4k{HzKGjdgZq(4TThTSU8All7=;(J!y)K|6pxqy_!Y^eq$N(*xhtW% z0MDguJ9Buyqw1Nnf0g5*Z19F#U9&_s8Re9OcIC1@LaU-$y~SP(6_}te(OGJiynaeQ zGE;ISkUV3g)G``S94B}8pysKU>;XTzw;jrpT-cG|fO>K!M4o}Io2~7c>Vsl;!S7|s zNz^n40P;D9s8FkpHzd7j0c zta{%$Ac`%#S@t*Veg7xo3KseVbSL98m_ZoolJ{UC@5uSF--mwdShl?-69ekKc8&~P zY!if~E4~YT-oZvud~WL9WNw7`3QuwvS^L{$nMky%Ndk*hOv?(D-=ru@cZK}vy03oD zUJ)q{=Vykzh4mqF75N4lTd0f`>>{2Bm0pIfmxRqvQ*6&{vn-{)vX1WPu=$ z--^gS(g<=Gu|0nKQeLvhgaXI7aA)8zjFXKHJx}?cKqwYQJ#hO}p$45OIpVA#H6Zj- zF%ewYu(Kx~=j7ZK*`ZHfoTQY(r62H_iIi9p`E3%!?2=joOFhj9wJe~;d}&V}X)18d zU6Xd2p|)Kp9N0T(u3@+i^$(=C4gO-DmV43A;{@MgprY(zS&tc(ms>I<@B5A-T8o49 zN_0gknQ&sL81tynab{C;REQh9e)2P3VxB5di=FdD3MO#LgGAo{X0V_L&NO9`Bq!oc z&gbrGdwQfimGIG|EAp;%a4bR?D_agEVnw#P&Jy++vQ<6eM{Up%oi^ymso|0o&j{6cVy#j z!0M4dHD>#^jQ$8ssN{oWtgH~lV14ka+v~Triin?1#Ton6Bkv4JIJSCM57UZ=kzIxysJ646CdwkzsI+qm$eY%8;}1Pm+EIM{l$p%aOd*X4)OB&P zIvs4w&j;ltSbN%9EGopda&`BV&o^p#^0e7v41z`9K(7A|x=Cv3)$gaus zoQQwrK>(e3SrgH@B7;tple%=s!C_NGEunT?sps&ROw9cp(~8VCoC`MKX0L`2Z0G%S zlk|3EHOun-?ArM+(0nR^-z)2G=2klM+#B6K8;=gUk&2{3PjK>Jl=A9y@WU1h5M7~~ zXQG_vhL-i`vF~)popWyIulDNAa^~W_Omq*C3_Ips?C2Dk!Vdjki1)#cWfWy6c)s!h zt1~Vv4z-#cMqFbua7-vY6$vexG&f|#R?6K@Yazf{K=wyHpQA_d?n|BGIL=O-9bvfT zq(H87&yu{3`QA#Y8r`C}EW*~4!Hd4L$1^YRF4bjVYaBkopT_-r2RJ6|_E>1E4^-Y% z1|*D7Sti#bEgAaMY2M(kWx-JA=v|}wzOJznheVR~c8BpK7lnYA5gEwuxaQi7F83`# zw$*+cj98*5vCfjOCUPzJ5@mSMQoa#QCLXa=UOlK4ze3svM` zu;Nc|ks~UY_M5K)+0iP;CHYxOSoq+(W>95;@9|yXz9YCA}=Ybh%dM*f_|?@^GvYAj|Lltc{LQZI$cDF_>PWd&V z$SYqFH4E>`%Ag(F8P2NccpQYa{cHQu)>i+-FZd3NHV9Dt9&MM5I9zE}AT@*Ibe(A^ z|6pjUGtONn>WX4;=ESiquJg*k>E)YMuGwO-qWM$0{MZfMKNE|<9@hUQ4ck#$*&vKx z>~Y05<3eeYa_<$R`-!v&*HLd>;+0(Rpp)~w+RwB5XM>?10|p%7MSI#-*aauk?xn~1 z0ro$7I;cw=hY&}tKg*bE0za-h4A(fmvLEOhkj{k!U0IOI^jpZ5aB;{oYPC<}xe0PM z&98q4C3XCGL}E{~*c(V6+I~ainZ+)XD2;-NBVs*!1NW{9X12qmDP{=6_VG!pJIqt* z@~Zbj>4~Al5M}gCR@8pqBR{wdp7m$+qtn5q1OBCp&y-i{KOrTuGpwDU;=SfKDyXs} z(X71-e8Kj?FJ(H_^OVFa)|IT36*AU?n7pT~k$w}wq#VgJc3njDi=;tAlRxud*;1Mc z7e6^eTW0N}7e%a08U#-MtOQB8`{y~c_O#=-+QFaCe{c@%ed9xRT$J-E+wZ;WOQP%c za^K@SvAR{oq=ROM*PH=cCaP=5bjGKLOkPUfFI84qL=8DL^Y3ZU5FH%tWKt=y9+C*- zM0+&Zz_rew`6FJ4Jn$vGpJjU>T1}dW!9%Q>;8`Nlj_rs!C z@6;(&Fr<4x@sqrSK$?J#22#=TBd^7x<4~-p=5xPB$p-$aZ65?oWTzx@p&++my!k~} zp1|SdAQ{R#D{=k8_IneyE;`3gKzu#kIRuIv!Sc6SupX7#OaAoLr(en498+PPeKx{S z&QWDy#{v}AZUdR1@r-&{9l(U1kDWe{&K+B4vM?bX`Mj1_o^x%xJn$=&#{3uhV<9sB-6S<%Wa!V@9;i7F{IIBDUMI&3*s3Vy-bsM6xC97!tVsjjt z{f6_{qKvrn17t>ZG&m_YN1`-0UV_t~S)2o}nt2d)B0FA9E@351GsB5@9Yk7ciK)Rl zf)exXm1@3hVCz^ES?{h!`W<0-=N|k#B$lGSApZush=G_3w7m5)?Dp8uT~Pk?>LU9p z5UaAG=AiY^Wr+M_f0!j0X{r&nt}2ld;rH|!iO5(X%_ON_q#rr6Fv-2AAJ~7v+U6*a zJ$+hS|AbO=7G8>wcjdjrCXH}l5;d+byOcb5v@Y1OyAIrp@Y}q}MmldO@)*N~ZSR7hm?$-X%Yx^WjLs+bzhg zW7LmgW)AgN&P#%}*9TU}D&RTC%U&o+C#CzK-Hvt772GLW2lNQHUTUIxPl_JUyxP~@ z45%2Rtt)uS-gqF5nkh~2Kr-%3A-Vq)Fy_PBXWJh$p77g_NwhK^JUk1LS(brNL!Npe zM8S+X>#^)`r5)P-M-XjQ#N&ldu5~=hH2(8i*3e-k{}rX&;?+ttw!l9(A1-4cds`Ci z&+BNLDLlni<#Svvnl$~sTIn1@WjGz-AvhhrvNf>4bx~)1^I+M)IQjs@hwLii-$q%m zA=V*DRSy-`Zc@aj1c8;H!7wyRVzVmbK1?r7lV#&E6r}~+XsI@F`Fb6Rb4}7N+e11H zQ#?CFJljc;>>!uL@CkCQqnDbXS@L9|p}OzKpEZA^M_xnLKLDQ&>9uf_>Tif5VeLSb z;mBVxpVa#lZeF%H6IYtenU@4opXhGb9aQ0yj)VjpNgemlC=HKSB6<=4sjwrj8RLx? z6}(cPKX8lU9G6lEQ_qPYxB^?>O^$JS%(s)~k;sx}Vb9^7hsDn+mo+#Cg^&?i1tOtw zkG!C!U*1#jC+!kYc1LuoV!vmPHB;?HhJt?DCyN+e-Xl8Y6vw6nvA=l^9A7zgzZt>r z{t%>WbMPRXB_|H0_$K`Qpp^LYVnXh_BP9&5+K=_^?2kflaC@ECAy}SnXZd;AeX?Q27YS#D$JP-6EYqsqC(gY zW~Y?zgaoEfM?svgmx$qv%gHBr+H-+L%8Xr|F|JIVfi~i^N7Coy;Gwuzq7S&$9|6Dp zjgf3Yt7FDv;$9RH`6B{7mT6g+rs-aSr}M!`p+6yX0j~lkADr-& zU*kJ_a>L*}FIo%Yb#|<-DVKvwa3uK#J~k<~*lgs>V8|!wDOskWY$We^XhD+fz=#lo zy;pmQGA>+PfdywNV?XwCG*#Oo?g<#3hJi_^I!d@)62}pkj`!_Jyc%#95hWuj7%3U< z8?h(!pj3&C0GpyH0!h1^z+&E7hln(@s(n; zNmH5!@0`Ast}`3_x#!!A;l_g%a)_LkD3~+Jw`-|UyUd@s5_i>Y*_gWfaWA>?05;5? zgIO}}8tPA!L$@3lNOde{yg~(a+L&Y4qoj&OY8hdW%H*3QPJ!s(OT-N+1-#^5VT9=4 zb%N^`4Q)XB``wH|%lH`Uq3Yzzq5kX%M9OT$c-2ubyoCbeBa8ZxLkt$LhpDOSCiiLE zG_n%JHf;NAwDGTFLbG*mGW19bjb=6w^O7~G3-7o`aV_tODjtz<&UsS%J-(T{_F!rK z#GgRD{F6RlIWMW+d#~3yd@>yoxU9dC* zB*ra+#;pN$R{zqhq_0=>>?$ohvD`hW?mRq)@!uz-G z3sP4>d0g-n;{iL|G1mrB4(8b(Z2z&3&v=FhNg(w38IPYN)(QIj#Sg&)SDWc5R`%b2 zK=>pd%x|U{1Y{o*3+$B>EO$%`p0k)1GPQmO&5^1D(tuDL;uL(%d^~)zSNNHl3|j^^ z$!>8)$U_-SNWV%%{u~db1kt0_AhiK(&*I&$q_!?!2eO>W-9aZ}lqoaMC!_Y2@)HhQ zI<{8G8i=mcUkmPWSdujmdUhuxv^-N!yW82~AINYMw{|K?wv5W~y5+K&su&~MA1I`) z3o(yVDh)VPK>{PPyW%7Asw&4yW(>3yptJYfdU56=GM<4@;J3@HFl^Mra0xwhDibDdb;*cWfNM{;J*^!VsGIwH^lqkZT{Fn9xnq;e{ zBH^r&zf{{YHb}m%;hT`Jlu>vKk|OuBqZmAso-o^sAAyDF&*J*tMDE8B2Q$y8u)qc= z^OY3(R!--JH$T7C`h5S&P?obwxQ^H>M+-?*cQ34~Vu5w_!-jjiWchv4?Co}?o4BWS zr1C=d3VNKrs&=yAND#1xs`AFyXblq~+t1vO117{_bVvbk3C?viQf)qOz+zE!&COJ; zi0uG_Wn?K4Y`nfXH{8h;{L*0)EmJhY88~~&JZ`q3Uc;i;|L!Sa+H$NEkydbpc1XxU zi)RCHEB(|0$M})>>-4mrWuNqy-=du_K+Pfq0xQRX+=419iFZ?S7*SsB4(o`Z=PQpy zB0=hz#d7}6zar2m1tSKm21JmP{Zb?M0zJgA!CpVFQ1xjDCQWUjpD-+F7~8W|v4hPA zJvw^u;ehFd%^5LdJtlne(H25#tD!K`?4 z6$ccoiK^=pr^Nq=0}>J&g`&UodP_m=eT>{x=Y1fupooIqt*^Ry>qud$8;D7EmErK} zNL5}J`{15O&Y^~kO3OHKac!Y!#_c&S+6yf3-Dg5Zqb65~R~^;x)KrMJMjXr258Gst z@LPW671gesM?A;g?<;Yr6qJJ%S{DT4cd>i*LCG&cy=dmmqy)UpZ}v|R2i6NOWPPa- zqD$s#yk{LWoB;*^#hPigqqttma&Iaky-DdQQQja_p@xIx-SHmh&nEPH-<>}b3q#u@ zg;gcGUM45B1)OjE6vwQI+|JX)y=+9B2Ts1vnjzx@lBp+f}le({LeL`pBYa zx1sJ_*5v^AdO)-ZpBIhfE}#em*V~G|(1q8a($jqc~pa zy!2)E92&yJeQ?YCdXC5|Jv z;R*P9C}R`;tN3fY(7Q$S z$PAIk3opLBJ~r6epOegJ$57yQG*_~%;)4^3h{Nutrw!~rzy1UT4$Ys(%K=V*^HjLT zssev`T4wo)>KyJLrcC>4O-9B5a{G$yi4@uJ`f{3g-enh*3NW2&)G8d&fu7SL_Fnq}O1Fo<@Sa>!P@sFsOF zMyQ(m0|^#i^`)bv@)s(0Hc)6F85x#>92ksw`vKM^4{LBinOrYF!_>)*OcMa@yoY(6 zql5ABFX~1HSXva`oNr;)TT_5xnn{|;axVMp1sDsz_Y~{@tA+p3+&>>oB~(lg?6%S^ zswN-)uMYqFaX|+V6)a2i_dU)3_g((`tN(sWA~`wCR!mdgFaP?||JQ>VqF4k-bw=k@ z?*D6W|N0y*TRz4DMpeqI|InElBPI^&Q(RL&<$q7mzqawu)M1lpVK`#wJ-zoII{OqC zh~+tzFm`B{e zkH!C~v)S9>JM?Jh{)d&N3k2p78}{PGf9mZ2A2Tu~XRC7E9IrcH%bLDs_CH&S$;bIx zNT%mcrssBU8~vK&Z{}dS#D)Tpd9qixvP$CAU%&LdwkuE7klL-Q+xlADjje0srrm<- zBD7n0x|#Is!I!qmEMUq8iLq)`H|A4{4F9?iWm@2DueHq0*27@~ltcT~$($XwCt>^V zulZzpL1d%cb$nVjrC%9ESSWLaa_Env@w#QSttfepm$F}<8E9b*?-8Z`WR;fRPkF)?U zIadWd;Cv5z>c1@TUu`o@5p;_Yi~sdikyQF&+cm(*He@p*eX7>W^j>XOUuIV@4@{+;Skb)p!FBUvI(pG6-6-u{^J-e%mNI zkaqu>DwDI4m1p={(Z}R8PkVjZwizfEW(nzFiv!J1~q5%Gq-z6RW?jyxt1f(C>I^ z^H!U5);#9^_&@4#NamroeK@0Ze#7CgRLKQ^PTY(!x%{1-j{=0+#M^eP1P-+WZiPT5 zZgKL%NM`Eh-G;ew-43)isbCGm-l{-=SIeK4?X_ZP|10p3JYW_wJiPyAEd&XJYDZ+G z0JX>XrvP?Qmm+T%ssO^kUuoM=7I->s5^%+%KiPh`L>e%&4gU(0z21+nw?)sd{OTr^ zg-8Q{)n~UDa&&9qLj}{{w}Hy}acaTemOW^dH3(2eowMC8Z`7bQavf7*@HrY&Es;K% zu~?BaeHF}x1OSt*b&LKN9{~e@^e*17IA_iQVD#9?4}lLqqX!2S+_q08^pW;&7E=|> z>2$uD?o(B6C(v9VnBzMBWb+o?IXc~cG;QqT;0<74lW#HYDW_asF1^ei#n_|}LBHRW zc^Q@s{m;Oz9Qhc=+)7W`{%+SRU@}D=k}i-O3iFH*^cT3 z@9mFmC$oGxz6X7qYgvvOle+*cSRZ{{8L)e?xBsl=mYHCpi1ljYvR;3^_1kvEH!lBQ zI(I;yf^Ji=oW9jxyO7o^6#MU397(rKWVjhFluy3o2pql=FDZl{p zhs(~VeB~*|2TAE?mBTe}E2E&01H>oQ76A}fG%y{MVJ|cPT9N2Elj+r1Mg=?==&xr# z0vJ4mtEY_^uK>wOTOUK23jnH`(0;ipkUl*{+5Z!GrP4+pBS#=^wbxYf?r)al$-Eh9 z`{e6t0(J#rq%p06_k+Ki+n|E!YO1bAFN=@;YXBH$Bfb58S>4h5Wy^rkpYe<%AO+g` zrpW^0v+YQq-PP0Imw?8nlH^K);%}GYWx!Sv0BQv8hwTIF?LZKkzV(}FFkir5qu&5K zmd{SL>a2B^gUUO4pV4YsBg$i9B)O7(VF;;A)8<(I#l>!;i3J)!lk3Kf9La&z2!QqB z3M)SNYv``RVuw{WL!Zq#cKBJ)01?D*xz^L^m)rEmkI&NKtFg;82KI@$`>{5IO=4T$ zmjUryxb6im1Ea_&Hv8!9%6kVE-}$?bz)Z+yER)s8-RB%m(-}E)0V&K=hW#Pg4)-x- z+K+`iZx4~_PTb0uXUY@t?|?D$rle{zVwE;XPm26?=s+*8$UPB?EJ-uij)t>uisIn? zzsH{mIQZUB=A9`?UhLE|=8^h20U_&0yUS0j^pCgZ%i%dmlb@vk1Ik-X`+pNIh!jj~ zheR1u+IjbHW5Lnq>8l0|7W(Y>!xC)=^xR{+jf=VeSQpWl1QUNw5#e8F+#*1t*gM+&C%Ce^#zk5vn&fQxOi zrei^gEb;My{ypZtM5!TvO97bdf~4R&929^OKK*p7uKeDZPlnqVhz4t|Ow?88x0QGF zuba1RfBWYk*G!Ty;+*ZFiV(jiy+PWWWhb8%bxeVMdcQ8MHczg*oHT~gT``pCqW+~R| zvtC&Zo`hsgnP$y{;fL!v9>(ZCP5<%CcgpHcK$zybh8H|p5qf$qw&+`XcoiqMAl|?2 zt@=MVbo_5Xxd4HI*pEFt*)w@>0EfPK*18vRTUcxYlq3!)IK< z%GQH2&aQ)7`SDp`L=(5M`3cROR3!k3z4uS$0aRahe(M0oP0{orKsxZsuI2olrrqie zyG7awa2qygvu;9T`{}~AM91(Ez}r%!JG&}9hC^$ZaPrGz(RKejZMym|AQ?~GDwQ61 zrzGDFzIi?SJ@oMEHt6PE=KdH2>8xAPaAyd=1Dz5ACMILCmD>SG$hfm&Ql>qkzHOd@OHV zko>18(>3vPoB#0~JV=kl0$cWRrkagb6UW)}?3J>XLpAkEzbgx*3h`x-)t4?Bci#^a z@MYiaxVfI1voN}?9W-yrj;{6qeRQYWfY-lG+ls`oSe1@S2iTg{KaB)jAKC(;D@)0p zP=+++JJ9Qg>+OzfzUn)^6t1K4cpq;O=LEQ!wM7+^MO4G`{Hp%V7^ zpagS@x!;gsoj72n4=P&(sG)u|I2e8_5q*S}+NCM^Iqo32SIxeq;dYMFo+CHpb zM5;o}adDe>iZvImPZ~$E?8UFomL~vH%+qZ=X?0{?kB)!K_Xx@5+c+dTcc zvR4zb=a2Ye^=QT-8VPr)Ba{ARYvU zExCWj3J9uB04xF7*MOSrLP+MI;e-m)#qqR>tn?DT=HuHMA94YcnX%VORhT(<3WxOWDZb_cT0n_st zVI?|WJ~Yn;jY9x_fYoFAp07Q(%H#ffKvCN?eHR53gt8edfd8RuKXa>)xP-K$fk2|^ zv6V@bzo%b-t^9gcsdhOVeTC66@Xi=@WX9*d@uYc8sMKtOp4ZXTm3*5iXsA zGC0uFKkkj+&fDpLLZpqme7ge?;t@Qup{X;3Q&0!wk?FeI!ugi1N<_z3G?jYq2lFC8 zTjgbv%qo9iSJ4bl?%b~9yzv1TP1aj6*Tw`u&mSkp*Ym93O40j@n1~)*DcfqOw?1X? zJl7xbPHRlmu1Adn{(!nXKDbPIsj>frB%8ysM^)w^@jz0LpHg6yas__N%!Bj#TbOpa z$OuG)yhkyY#cL&T6A*?TeZ?19hREspLetJf4JW|l;`#LCh?$S(w;ieLQnX4*uJ>DB z*0HRq?eiXs2xfOZb}rOySK!pT$ol~IN8itsBjdyyV4My&>Eq+rfW(k2`mo`IfrPJLix8={N4Q zGnH@p(a(?}vQ@vEfJ3Ly?rXrA>jtAo&Yj?;wc+W;-nLz5g+oYv?Ls&4Bwj|Z-%T&L zXyu^(d#&=C-D$m8KTc2T=bzGesYAT-h zuv>4PtFhnogt)81LWDDz3m|E~C^6(wzq1cxH5Hv9?>Y2v;=8y}Hq6Y;8r1-zp0 zzI=Y^8~j*$273uGA7gJ|tGTf{&L#7Wo%xuf30@)&k_Yp=U_DDjQi#M9ce1Y~OJcc; zi`8M~a*Fpzqb##mL8MiSSarbWUQ(dIS8unk>5kk9Feeh^#jk;518Eo@6xiVU_*wxY zvK}i1T~E?-jH()$4+is2YfT;k;rTNj2QQ^e@Cf+L@BHVq#b8pB4W>MZY>#oT16)QY9;Ak^@ly6IMd)VOqkST|GZ}PrW&qT6Og_-bbs!`t z-)4c$&4gwYb>~+0?Jm3+pqS{vF1taI-XMeOJ%>Ht{MH^fJ!2DC^6^Y)JGWI0L$5$FJ3OnWP!FW%DXUmNeHe|5dujL57=Nl zu=&n*(hZ!3reyGhekM%jkYJbXM(r`s8bOlQv+^vK1A$)}tCkt)6DeJ&7UHAAE|VSM zRGr>%zGZg=fs-hm4I@-iVLks_#%R|kC0vmLyw2rGk(+Lk)elkk;KtONIv64ESrrz9 zoWZ1l>h0932C_+Fdxe$2JKSxZK3Y|NAkZ1a#$t6q8yfd)E)#4?ROAzqfeOm2j#^4> z(Po>`M{w?_?SNbfeZfMB-NmM4e)wx-?wFKm@(5xymVQt>_WC`cAVt5?05>Jp$#V?E z+Z}Z$LId3M?`JVGkxz8(U$2lVC4ltv|$1O;$`ezjq;U%PA2XFg)SRNjh>3jmq28PfG|Pm?&}QHZ+Ek<>B=GAeLInM zg7=|U%{Vj!B60*T55nk2!h}4``iCd>N<(s9YZAkEEoe)cp8~saYQ_|OzGq86Qb znftm4cz!k-!LlN%(-#vdj%Jv4n&~$&mm7-U>lzGYv(gWjA5M`{B57B%M0z9Bu@oH* z(hn~gWa8YN-f4uN@><|A4WbV1JRw^uCJHFtT{iVp{tV;@0P2nBA2rBWdeQKmX}b%{ zVxjVmpyKehX0x^FtJ*`maXlD(%a0#FCmi`6t zWQ!T{?1Jr#=$uwb@_qjDcrSsQLP^`|eMjEC_X+_Vx=V~_lT5u`ScAhQ5N_7@C<6F5 z`p7VKj1-IbbqCANq%J0py#UW&I^WQbmI;I-#x4kqtNQ5HO}0on(^FI#&M^JVJ4vR! z5QK0@&5Et2&xd8T3;Rb^%cE1Y#&X@VXk2EqylWbS+3Vjwe&E?@+N3wx#1jF_N4@E z?~cMG!XIebf5Xxoc;wrev8yO5w2mh53Gxq=y^s3cN+6qQ@y9!_b?`}c(39z=H_M3) zEo1SMI9>zd%h?@itpFLSN12b5f{Skvf_xV3>HJ!(?+#n6mScWbN z)&*IEyr*+021(*VN96XIB9tvT;h0^F4iAMN3X!|+OgFO3(`sg^!KVfUbnf{xLneR3 zZv?DhS;V*(#BVNFb~ovN?%969Q1 zO>K${a{w^Pk3VpAFOfvSc<$aq*%IJ7hcHQ1nt%0M?4$%)zzb z1s99j4o25j?W7H0ot@7z(^bfA2BSrC8ux4WGqiR-yL|KX!4`l`h zidYc*DKVU|;9en}4CNeoL+UQLYhryJjT7$^=eNL|ikkau@{3jUk73e%g)jHhTl7%A z9Ln8!ZVt;V2bMf$hqDUda7b1^gEy;xC@HY@dkvn{3}aaTk;wb_#&C$~sY$0F!;_rmGXQ|)f!XJx!0b#Nl=9T*!&{_Utq?4)3rEiRV4f^rp^y0tJ11icHi&; zrbvi^$*-<^f(OOKgLLrNd-!us#WL2#Xd;|Fl3#dlRh0jC0XTR2km>_9-GT083B`ME&t@?*4yFU8=P%dt}r0i-^zrgaycheUn- z5SF=2_h7EMbvD{lFyw~`o)001H*2Sd_2&!zS_zM9$X-WlYr}gu?G-`i@|c*B)zoSq z0Ds7>CXr<$bs5y#?0$>s8B5KB;O@7+too2Zow#Gv|8?qKXGxvFR=>Tu7Gq@%np{R+ z2Ukc+mPANosO+Kl@)J$(q{=2!H*AMToQvlXsjO?U7X-SN?84t8|#ZC(UAXdHaozV<`I0ZKNJcC>HzIP|?;^_UvdUPBnYk#ilH%g9F zZq7cWovELSvH!x@5~+EpPwb$PR0(~<>jEzVMCKzXT%;^zg$Y@NN}2|7nID^sj+B9M z9SOD0cchlXr(V(U>|dKItL2T9ClZ!zg{tzzdw1v6g=mYRCe}XDR++HvV4??@CKr|q zqg4CDr?OEbZc$U!*@x+e4{QhbkG*R-7MVOu?rOO2R1(V2XgM)LsnyG5%=n?tW+ci` zNO`U@5)*@H{Tk&j#%w%++_>c2kI=p_=2Rg>+BxZ z)|ToD)pA}cZ7iXVop5e1yCrr@3c5B7Taw89685Yv>$;%zjDxK|r1Jc3PZi#hho?7B zwM(jZz7LWVEv*xgOuJ0mrnoS3?WWX`zu1jeg%KaXPHDT3Kl3(J`@v*p%ecuAreBc1 z6;mPhIRR!{4*}#7SEoon;a7*fsWPLI*V6ly6YrGX>fs-bwTX%KXM8hnQU=b&Lm`cQ z)T{waOOGtYT`&y*z3I`;vKkPnpvoz%biQOS@d82Geg@9s-ojlpH#zuD=+&*+K-cL7 zX2D_IVRsd@2p6Erf50+u!QcNo5+Wa-A2GHlUze^O2(kEX7`y)5m!}F@dZ*p8aA<{G zhRQ0KQapb3)2J24dBn$7hrsQ74=MFul4eGSkw# zI{xN(gVg(XL8an~mBZQ+{kq^4@FXs(tI5jNB~=TqMfYcF8{)UpEj_%S#JbdQw^63k=~D@(R@e7WGefXE@%20E}5qrOU0nX~Wv*Si}#g0?1AbL|w zhFm^>cJN2+jzjopCFe#@lE2L9KvW=OsQFM_4n*A-*IvZVf6f{X+>8(S5Y>&lK!@qz z!wTm%_SMnw5*Lu~yf9S##VPH!&YU9ILt&3j7{PTCJzExe%!HA<`YOWgy7f=?LHFpo z*CglG_w^JyaVBwWBAg@66mOZi1S5BubO+yBNsA8%9~BPkbi?u>@8FjHXxH<^Kxzx9(1;BK zXg(OpoUM>eH5;DH6q-mNaQIVfw^y!$;<&H(y*~?t9FlZ+50kII%giEnKZ;;@UYx4) z62aM=Q+{+ z!bg4!Bm2C1bA%EL5~TYiXyyeh8%uI|aX6O&3o3+L+_Q+n3m|z4V0v+26@wZ4T;30^ zOc}4lV$}Ot)u<&~^I)Gq2W1tj4HZAWjC&^jI@WJi16lW$liVJ8`09^Hrh!`zwx%Z$ zz+Tx9m-^W;?_r`SbS&OC7tuh8Qqy{1x4e*Gku%hHVXB!I;rGlmHBeh)k}xOX(AA&i zyhqAS)E_e7T%hUVZ+1!1diSi`Qq83Q@bm1lm)8pIG^l|G+aMSJVXzp&m}?j8{~g4! z_t31j_IV__5F0;u^~A!!SYkoj_pmxN_KWfQ`P#Wto=m>u*vO0)DCYO!@M-&L+j;4N zuEY-!mRV)~VAE<0uW;h0nGO)0-P*V9Q+C0l^8bguvkI%LS+{h8yMzQ5uEB!4y9Rf6 zcMBHW-QC^Y6Wrb1A;B%UOM0$qv1YG zjjGDfjatcL%!3h0EHM7YP)Tk;^I_bQ6yhD)^0qQM9&QL6S#3E)3Bsd4O|2ZaCk#$! zu^q{%1d4|%Y2XR<( zkQSxHi%WhHIg{i^#Az-86e025uRNb^=1kqldFnWrH~({Z`GX!mI^7~`e;3ddlxAFn zli&^K7eu)HKGe!qqUD%%@j+K~LHk`OK}^%%Wv;qv{w?-0Dx75a{H+?14uaWm5Z%gwPaA7bIZF5NMdFMWL-0n~| zA!h0$ZV$n1EPcwmrfjO`nXx%_&fF6`E~JkYKSB0@SVQ65OV{PcT+?emxRyKXe~|t zVGx6uJJISQkvYw-H_~v@c<+_!_XylF8x8`XuU@7CF&2}~xqF$7W*zz2Fy7wAk?08? z-&9Ty&_pybEIUKOCRWFnD*|{)tv`jH8yE^UufF0A4@E-N;NBYW6FE(iJomD#-(Ja3 zCEar{mW(+E|OV%^}N9c%7*d%!P05Rd+&84I}D6` z=FJ#H=55a@eCAmM#PmmRakjSen2FFUFPqW=PTol^a-LU>#Hlw>EOeOX`G-*>If1)h zzBJQ2&c0K`cO)dp&%V3Hf>2#@_~Q(huW~foYUF-|6)(o4J@;Eg{D^Frm=a&+GMtV) z_Ui!u-sq6)u8HkLi*o0k_wJ0*cHi3?5w>U2ygOkEe5(B$#>xBkqx$dF zeK$Z*O(C_fx`K>O)v)K4Re26lQzESMyD0nc6zQjstmP)(tk$Rg(&Q?e21Wi(gSHy= zcnq5;eD(%uNZ`79#Vk3qCgP1uDntG3CKl>?0b=xkUY7fgZZJSH)YkeM8p zfa%(GZjrPDC#$(zoGp^n#+-R}vUDtfpm9FD|>Xv8_o7I}P-@pFZPUSQ%~}of@i=S#?wVM*M=( z$!Tz}uO*=Ku+K)?E~E#w5);X}?XD} z>oZK3;X6$D{I(a#j)<0gzvfn1JvEAT2DJvEA>>1vQ~m_A26!CqeG&Ged?3DIG@2*x z^x9&zKGG(zN9o#w@_u1Oe^ydrKaM#Iszk}jLlpPK%s6!6U3WcYUnrRJT0=2c^(c5< zwsevt)+=a#34O_iGEieozHZ-H@8nApLC=PLBfI*z#(ZVRZ79`)by!iJ!&vu~ij+B!P?$t15(GIV|E#M$-_*oc0R${B}@k;z~+zvhWk%psg<7 zU{IC(h}}ZAku<9Uezf(VO@ph6mpqcYKt5HC!ynWE#3G#c?UX6{R(d#^x;mXuTfsLg zu}=$0?32819R5aL*T3)wsl5m%ZQIudmEwxeKs|ik^Mz6NS7qY3QL}7U0r%o(7`uYf zij51j*~qjJYo1fGih-p!=d@(hloe3ualW@$3XY>m3MRq`Tt@R_}>S8*<)^-GYdt%??-N)eDE zU?>|)@mMB0^izP_U<^kV)=0p?lDRFm9p)It{yKariE+i8Q;HwYZ}Tgp6V5v(+!Ysf z;g&R^97-WJ0qrU|7e4w$8~qlPzmrxO`A&DftVXxS%4v7zK2)}6>QKtYYnnYqVHOxj zURT7^eY>8rUY8SFBUA9+DmHa5oCotQQ=mY#;OO%_9!%$goHpxt3EUy#rz97oB-F+n zNs#}k&itaCGslOEW|cqLwBF481SS=-ZQ(Q5(82EzeJFENodfPPcW7(#k{VnJB^sXGvCdX^3}8v zXimo7SVvBlIP2@nTIgId|5=G#k34zFM-k_PHPqnDDPEPX@Tu>HnQ@X7UfohgMbu{D zO?USBuy+YRgodNoMf`XtiHN!uX_ZrGg2Tt(xZ>8ef4!Qy>P9Wc{>ME>j$GUV_tqcW zv3wqcLc(MDGLN0SIwqH#?9WTJR4h4U&K*|Fqg5KJ4#awV&^ryj)9{$x*K+Qf%sAJ? z9Lgt5rpbFJJG)XH_WosPgs^yF`W0Ff_s^X8$h@R!cC4(|tma}{NxyxUZ zUL2=6b6BIF-n63;aRfJlM}L@m5~kr>JQKv_ERzU_$w97QV0#TvC3DY85JL1JT`IJ>Ldv%#o25zp<{9EOx5R$?t6> zormA7oA&UO2JiR_Wg*rJG@ak;H%Pq|5YEA^qgcT5yZGswY>CXQ6X`b;cdDWI0aoIc z`9aGxb?3Oke*vH|AG%UMtIV{0tBT&r2(a;&WSZ@8L^akX(f6dXZ;fHE?aQcPycl&$m>=pwaF=V% z(#`APYUyderxH4)k)b0QZV5nt928zqrF_~fJw4!7>))(6%^MOK-go_j&#Puar3cnh z3J`7MW)B2K|M%j+{}Lk8&_H1h)rSWKrCI(z1d{*Asf&XFHL+5FCiH)+CjOVc+3y!v zpNq%bLHyrqf&b@0fc*7;+US4A(f_<6|G%b@O0Jhr|Cx^TFG=me`uZ{YE*>2)t^}|j zk`-0Wnyc0g6SF|tn;n03=hJaSSDdBa|Eb&_g9gi`u{KJ@{zaDv5JF9I>_mQBcNi*4 zkmaJH)oQN1OiEJKq_P3ft|JqA4-Kw|?Eu`lqyOtsLi#x1c@jDCoW^KL$5qBG+uhVA z43JIRg0;_z&(?Jt+O6L#00n!8WyLFyfya6VkWEcfm~#`K@}eTj_?6X&r-QlyO=HgH zU2{uOjOQ-tQ8f3T!>`%l`4Vcn?k24OvdBG0-E)Kf00^wJH!q`ISumwzT>$yNt!Fjt zzt;l*G3BfXKFr!$a{`=)4Af!@bZN3ITjkKJvGC$^l zuYeIOy#nv&F6>LR4u~={!1P#;^A8~2lluX`gW(=d`}Cj{O z8Bz|TlUtsQS=MhBe+vo#*|Kg=Us?YJ!}_74Ed!W|IKrkvB7__1yK1%nka_}8>QPe& z9&z9<(XRvOrcFcO*r4?<5jYn*$Lr1996+(Hq*YV(0NG+)|Ijc0`E7luWu_ecT&tIs>C^E}l34#R+3FLV#(Nn$;RW-Essx&jYLqj`ap4 zqR-qfu>0!X;*Oakob3kfkVl$u+W5O3~kDzO%)BysuETUK! zDbG(t{v&r+e}Dsn7f0ZjzTTQrOG@ywK%v01A>S)G{_D}|cyQV-D<(%kj;xK52_X>6 zTTJhDBo(&c$_YrIzgvvawv5{yY5(V$|3;G-YT(RK+o^iDn&S)3Rz6mg=Ks?;W5^I{ z+76;dT5zjgKyGCAr*J}=B{^Q! z4FHa6`dkhCYfbmgO8@$+V#3>3WAt{Omwu?8`l|qVh?{fL(<`Ikj zBl*|A^Y5=$%L8UHKYBO;8d=$wuz$Xrkp3U^9{?YSgZL9bLbo2IQ8D=-Dymy8Th})J zG+%rv$NAq;RzG-RfU=-~Dy;t(@8dTR0PdEO8fB&b{f`krDJs5`)BdNc&>+6xL7Y@J zOd>3qva0_d&E_`{(Y>5AeTC?QrR!$UdYB(ETa==kzRd9i#O9@()Aqj!-2*~)OnR;{ z!3jG+g4xd}kEQ47(7GEhHJhZSo3^O!Gy%?yZFteDH3>-GN6*5CC{+_?UP~CoHmwlv}k>qL{6aR>DA1$@KBs# z>-ZRs+`V-UjNit$r;|3X;7K4Xmw-vtDGp=V?}eD6mN6FH0Fi_l6GCMVyA_7}76^Wf zIoSlWp4W;Kh;%=?ZJ19jj)zPB>JL-=UWRn3Dx$ z?ynZAqyMPav(7yaDxaO%WdWl5CVi>B_>aPOfRo4q=vb_IKHTpZq)(|v(UJg$sSPF+ zv(Tt*L~?hrr`*K8?=$D5nNJCAmY`;@0aF`q?DS$WEbpP<5jdb(E53~Hd7;L8?^Q78 z1x(%1W+Vxs0>RF6Uvw|S!KAK-eEV$>0cyzAX48^xMl}DM8{y8y^y5L!xnJh?=i|^i ziS(-G)pBuUQ}V~xbDtbOK!siefGDG5-NRO-9|^9ui|D<|u8%1BpL#ihrwWi4t{y}c zGba^}z}drGdx=VxdOpWWIqBf#VV>{A3FGA+AVyXT^)G=Jk(9NH5_k3fzi8sXdzrPG zABxz<(Dmqi8-Tq3;B#t7B{=w7F#j`)_HbnzWQOym2 zVATInIN*J$z>wwWF*(vO%SwXlZ$WSFp3A;tx&I8#IgWZoJP{sR)n1Eow#kutm2(F} zLpp4WNxa7$LDohS>{C(UN1p8=vae4|U4QPb0Ll7Uf*MV_1*!EYkgk47S8yRdn8w3> zTH7~UH$q>wSzdDIuUr?*9#JR2<(g7TlnpPj~INW0@vK zYRCMvJeVz#LeyfNUSZ2w%JFIKR?aRUry}n7Vv^zAxsP^yidZ-+s!Iy z5wu2@ma743yZv&b8Wz*ndQV16P$4z{Xten9Cv*nRiOr-54>%cUKp1T`aAI zzw5HgQC~|B1Lj2y{7mT^$~tWBXPALT!qm1JFd7!VsgQYSJ`Qi@+MA-0SJKCz6-k%F z4%Mz5fQWkG>^D6RI8*DqN*b&`q|ewrO_+#eVN=jK?YQ3@(D~z-ve@qAU7CGe{rVNq zC;rBENBw!oy|}+>Yh7`zeexGPf7lE>H*|8)8sl2!U1(D*^J3hbC1kHmNSG!F1<62t zY)-e`1**u-07OAWlgmx|w-YP!eAsMt2;euI#8~6n9!O+v-PU&q*hyc1V6hP|sbe}n z0C*FREjr@c>wHNt|I05_ag=ox?m1PlPhbwKLmm3!?E@S>g|At|R?x&%6O&UDVQaOG zOG_W}l3wr7>^qb=I8Rww|Alr!{!*k84yr z(e|EAiFg$@N9Cg=z5?4_f>Nbp-&8iR?k=s=cX9FOADs(4F@lKS%^#KYI;A?NQlCCL z*aB;DDfNh?ipy@Cc=M+8r@bT}VB5QS+KWgZN!=AnHrs9aygT0+y7+MaJAz*In)cXp z;FB85WQ#;C0MA!Ep4X4jiL#vAjkg_W$<=}Ksv<@A0&wh#KET{{_{NxQM@bPLTnLdd z&cF3?8~$1f9@dhL4RDXRQOu~sEn$S&^jSo0pEE>u`1A9?l;L-{L&?NB00XDYqb(Tu`mTv_>&_8alC|rGotA4S}C&jg!!^k+%A%| ztR4YuSez6_`N9pAOwMiFHiJ6@8buaMjdr^k&8yE!eIn)L7)m0R*?X>pP803kz^1-z zFNmPu)5@zFSJ?SW_AT$<62tANYaFZ0C+$n!wzt8X4K@?|RNWSs@JG5aht!%Fz)@mw z0h|6T1H8Cgcp8@SNk2qWJ-~C(woDPz7ch_Xj)E;Z zTzfmtGE+>p*Lm;PcT}|<2DE9ZKNIw(j%>AMUKEcvtLT;v*|c8{Hd!`|(KJX`8wNg| zmP%qu|13vzK~qF?xt7loJS#@+HHG{=?3p7YA?t2cU^Hb0?13g08ayozo3JU-<%NaL zq}ZyVjJNH2(wHFvFfKVn-;onz`lV~S$>oZ*Q5nFK9D_DD@+)j{?VLrd<{PV?x!aJ zUarQeUW3w~>y_yZe9ZjGncR$BN6_6b5Ls?L$HXAogM+4oRZ!@F11b7lM#Tq{yr96R zgotz9!O^Y6^fT`1I!rQ7-i>b)tmPVDCzH^ptU#R~eWQn-Dv`9O%m?&i2}0U?tew`u z^nO0}@;^kd!O;$)jXwTXxoo#a1pQEQ8?99`n|;!dd=G-taC1CVa7rLYqGHp5AKbW< z!`(*lS^%Km!Dia(OIi}dDJ<52J@ff|B@xrZ{!3-8KZe4LZaS?Z%VYjEfLa}Y{+lX& zzh=kZ0_@ionauV1zz6Cq>(qgH3UU-&ol|V4?Gmz6B!lPuapo!MG#g#H(^Lb`b}C)~ z5JV>TyZrHTHO8&L3_ob~j7E;mM!AAi&rm~ZZE69tP}k==@;PPRgz+NNk@K*W6y#=; zs_E&YxrV7}p67Y3s@8m$iTqhQjcbid^E)k`SVJbIWsI5f!;f2s?tx-Ue4~_sWQlx{ zt_Yjv74qTT0fFn3u9v-S2)b5=h-Hu;kRZ(La~_334PX0774NWnTS zl#zmZCb~)Lt6@MBu%#?-W)n8)k?1GaTI}ybj&U9S1?58bxcN+$ z8n7&xqx?ap&hu^IIEHqW%i}5!|Aq?NTw8xwI7ru`rhJeBzl|1??w`} zfn#bzOSX$f_UjsZYY?jnI=c9~$7c&mHOMw6$63s3`6CO2850dW<9dV4W34@J)s9WT zj|HcKDzSX+m}!2{^40U+ldzPHrv0Kf>a6EdC;a`R*e%Ke*0Xgd*I;1Kys+_1YS_GB zK|8jveAGa++8iTPQyS<)z^rA~p_GgyXvorA1<4M;zl&GQNIFXQyQcV_=l8L`-!D8B zwGVB6a#49I?o=@sqHf$-9qQ2r!8ADqTH_Q9PVU6;>z)PNV9(F?8whRHeAj#%N7wYp ziekH9p=k|7#31W~v9FYwXER}KQd@K8IbpibPv4wxj>c;(du@!wx~`R&-J`ApZ**+C zP%{Q2XzC@DzhA`%jLSBwmU!vMvF~uf7(SIm+TChq3X3#P6GmN97~6eZ*jN7`7^bgN z;apO~FgWsFmwd7!e=fh=6)1)bs(vwdYN&BG`nLCmUw8i4Re@l0yHV7BUO$Ml#J6P_GimfdsD%@T#vhVxtZ-k;Z1SYb2?=*mD+BXV*J=IOl^wKm2HK_0?>x+;sgHrKs!)%pNC<0zNG=VFXm?5_Je89!X1O3X`Iu3dEr=p9y>zbBJ8< zoamDzWJ!x8|Mp*NWnn|v@AlW*C4E(%V`-9Q+^-2=rEfc}Z_+J4+}d-m3Sawi=WraR zX4qa^AX_R)HbTAxkHJyeN{rj7ZBw{pTxeaCgIdD$KmY*&+mVr>&mwW$1jrBPi~CMq&7?I%$x$Wgb7AXGtP!ilGU6t@ z0DXc%HAehq5p$x&bZ@&&T28to4TDpaoOq@NQ4N5(P4( zMo(IWuWZgEZ!i-AHa-GdM!d7h>PmrwI(P96!Je64SH3}WmO{wVjeN3FCPZN?(XlU- z#Jx8A;MNJuAq)mg^M;g`5ot)6-^q(8m>$jCLd!hpIpRa}=qL!?Y?4IPY;^BU`%^Rvihj1W zE?N;JY%ZL2LrF24FZQXD$vvoj-s^yS3%r@%nrBSqRVMsOo#J>v(Yqs<3mhYueG@06G7UPzuCqhrg3gC>S z?S2R5jkpCbzTf$1NOd3Z$;=%23VW(U?UX2C``u-9~>3^3Wz=EJ0)AAl9n6g%4@0}Zir+AzU$ zZoGTe^6b+LGfw1JRhtkT$zQ&j%_F zR-bmaA^Fobtgyz@x8Ogg4xjZ77*SC>3i79g^1ndZtv|bAJH;)lKBIdji>`n*pN{b_ z=|9QlOALDZltIwgkaA|*_<()JjP#dHoywmGPrV@81seJ(v551vd<6_ZtlZ z=@>3Qas5~Wiu#(Y=f6S-Cq-J-yeMoA=2erh14JN^cobq3dkpF|f!cY2^}e}HKp$?p zdN}+H$juvSMc>I$eOvi;pvm#nqM;@b?7LvOnTXraW5;ao7>_$^MZZb~L(L4+si0IE zC91=zapu@N2IB|cH9U2XYsF7l+=&$Vo3?_vF<%qi__+4{DM?b03^~~sPdBtdkczkj zs?|}PerOR_aZ3%70(;~r!M?TmHM$inx~{-uiz-?9Xlnit6|ar zud*sTEE<(G=ZI3A-x9olnAB*F`!h$!-? z+7f>(^i$l%&*)R%3_mv7TxsN>czGU~>*DP~IxQ!li)GxqOK<0e5R?~}Xe)?^jT9^3 zae7UiNeAAnj@VCTa{fHSR=BrG{98(5lp$9*pov9alK!)bv6DMW=+3#3Mf0Ka`Ok?e zV3l&_@a>0mrYww^-AgK)J4P}@9lZl!JeML=tcSq-W09Ge4X4pHpekH_YBKNA@q5*q zlbYFiacGzqlY-wD+mhGO!RauT>&Dt<_T|z3=J?F&rcoi;IqhK-@!>($3Wy=18M2#8 zCTUMsH;#UnFtKGewzb!Nh1@7Bs~tYr-e*$4MgcIbev z?Omg}Q)2zfD;D~(8RN*c>c{GZVK%}7rzsxl3Oq)!g$-{bT;=7iem|p&5h`*<&+=E3 zKOf4_DRAXzE$S~Mu_5ci3ePlZdGW3Z#&Y4E*!9d(`bL+QC6KCC!hbANELcl1bzvDf zpm!b7yNn3mtp!?avPjvzD4&Sg?K6|vYcHxZ7fm5oXl<|HYo$3pq{TGtIo1`>hNSN0 z4lV&loMLnXid&6QST|d<%I<`pV&jabtQpJYuidbGxvL+rBwIDPJvv+Uw^Rzi-ZYl$ z3$t>DZlo{-Vj3x$mSvM?P=}HRz29uDe*wJB|gu*HLi)mS2C+ zzp3!3a9~w8m555fF$#wcbHGSOP2HSlZgh==x6=6SGv^-senPk(1;GR!V%<5~heMqRcH4eu+Ceq9E5wx1l%u?EHQ5@O7*19k1#XNls zxn(@8e~}950|gG-iO`yiHBlQD*X`+I3p~1TaTQjo;5H@nNkskpZ=dTJ)45ZpuMGYy zpeRMK;Bi^bFFayi=SYa`!gLr+?ufvM_0*aJ?_y@a_76c)6q0nb>w1@3n1a|k#$XPX zIk*x5D#}Kf0d+66uRm>1HB%g9`RS}G)20uCAG1YwzT`;t(=}tLXbknlF1wFsq5Sf! zL3D8QO(2b8A%>&%&ahu}v#M%JKDPJgiRjxZmK{Y~{1C<0q{-1>F2r##lNyOrp@d&YkSzPBKk4ZnOL zadFNJU9lWsN5Og~cob9U*A1}1=7>eh8?k@Tf7%i+SLv#97yYohV@PeobuDsvNED5c+*8dmbZ@ z_zuz|hC!1?!@RbUJhd&89MJZCsyqr%c0$?S4zup-DCRlxD9svH}~bpR^pIn8Y&#=JgnhTf_#|u4Gzx#1w zSUYUS_S)M$7kb|p=78`)I9V2{{h$P?{dzjT)5hJ9n*yqc<2T_$4Plh3!H2L=5Q@sIX(X`& z(IH!;Wz?G&j(`z3f_}t87?kSMZ|1*L_^h7j)SBcUD=U%5hTmWzsj%fROgi5(SQYbp zAr#No-+os_)!T2HC~V0kCR!;_8v!Nn6sDilQ!Cg5T!d*|wTW_(>Jxf;}|rKh{VU=3ZQD1$94sg(suw4}LNx!RslS2GrGxX`$p$s|LayS1&i z#SFP6VaTgL8K5b}nokTt_P`~ZTLoSAbNesRT#k-26rN_wOvbwV zs2KsvR-(PWU1$kSlr865l6a5?)fVycrH~N?Nx4~K47|V{gYqCQQm;C$Lf%_<@m;c( zt>hg7s|pVa<%&p4)?cM++yqt^Bxalx)e&CFOzGa44JDxIO9oos4(2=Ip_z zd9o%%gFRf=Qh@bvq*M=R%^(DS9dMK)wj0}q)Ne@Y>q9eWQ($fgx)6|1VNX0S zD?E)HUz_-Xr>0E zi(9aZ6P+gtkr6*HlFbK0uqU6w1w&9?3jBDaP_O`U<15Cq$gRi0UF!THoiClM`Ie4A zkD>DwK0*1M%sBF1uXH@~Z^Gh`*YI_x`o}!BN8nOv2Frv9sZF{J^$G@|&UX>6P`$un z@U2XF>4jA?cM{L@+F1g1gWN~~q=vBWEw5VZ*ueZ3#un)uL^bVN(vj7s{26HHw3GXFCpr3n^gh1RfZ)^by>N*5Jm)`lqGv9GFGv%>R=8X>&FGxc z;!)LA#BYfwgUvRnf!}uO2h-ubY_&JpWji?nyMXKbE4xp>!k3-rOV@m(_PJo$VDapI z*NkF#e2HIfiv?1r!I_7iXL~D#I`e?%!NctjU5%V}SOW`$kv{7c|lzbjC z+-11O!_hA_Kt)3a8dI{do|nUVWgMtlcb;}c@{%|zx&rcp?{m4j3A254a%;dk=8ljfIw6!E0p-U!PUd1sKAqpegIUvV3(e#5*?FyrV|@ko!_)3r z%!nwzS&oz!irj83$x|NhV^(Pod^VGT@oBo1zE5c2}9q3mIQsjsGO z=X4lymr7Fa-+7%9S6Rz;)0;1(zp&o(a9#GCk8DjTdiLC7N3Ouou}j~w4&@K?()OUQ zo8<sefDRo}QB z4kSGb(f#G+eViHLwnfGf-zdT!wxKEyVD+3=X!JKylnJs>jDbs?Oa|gHl}YCWf0Vg@ zJ%Wn8Xje?aM5Vz1O-Y9BW>Xq2(L;iV2mw}FEnpgLTE`? ztAmD=m~rdoLM&+`OFJzThXkbK0(qz{rCWi!(;(4C7zr&B(e>3Xd5WeOWRG%}-|Uz?NtzTJ?N} zUWH}DhO#7uUL|QnS4qqmeZ`CyLiwG7Y`X1*r?OdL1}3hW0gZjv@t>#9qzV5-p5+2 z4E&PJCxt{|$f&fXV`@oCqy!gsS6H0B>A^*gJsGgI$M7vC=J5dQHsNOJ%l0_@a{^v# z??-+>0pZA+3;3{3&@~QT@2Mg8X>BcP*_|1FhO9U;Iv3uNHE$1}=)$1~f5ipQ9{`2- z3RwG}1OCwb$Yh9R?mcMMmIsw59t5TO5$}{+*SKnPe*ohTbD@O{89f!=tJ)xeTik5D zCwL90eQ$EmccSjYk`=H6My~4`kh0yNYjhs{skMPVE9)QzWZ$zXv?@Sx7F|~}=7u(! zV@8adS4z@XSuqP(bndgn9Gteqc4thmOoWM=GtTyF=^*8{R|4CyFXWab0QG$Ol{iLA z=h`-&@5WmQDVy8`iJNXy&LDn!27wC@$T{H}rVrDUlQ1w1YFMk{+PCWCf~UY5sg80B z;fNzX85ecyl&+jA?Dg^Y<dz@S@NlRpw|Fz-`TATGrd)xx5X)fSx-{WZj0;=>n=9sW%upR0tN-7alX zosb4{8(Yc}*Z{zpaur+#+S@K%%5$J#(QT>{p`4P}@!T^&(LX9macKce*Sn2U%fSl9 zYHBr}-HYF+4O4Wsu-?K*J$}P~QQ#Pr++0EF=NS)TVCUu=oya|Yaya!It2dInO^@@J z+|P;etUkY#&BHz?4^NQkQ@=0v&f1vkEV?!rU66#W0q*Vo_#@JlR$0LXq?!S?il%=Q z@H1RgzHj>ho=UB*?K}8$1Qzk`B0{9}dp!JA+wecr$o;T1BZ=;EX5~pUej7+c6>+>0 zz!WeRcLl=ev%MvVWpeBcl<03N_`oCp4tcUR2#7Q{H>@x@>Gq+h@-6z8S@92VEC^mL zn(3Xr!W1(pdfx7{hL6px8LTwYfK4oxSV~I|3~p(&!zgtX1HJn6GiAU2+`M^QD&^YB zmlPE`Qe&ways7GEaec*SH$Nn#u;yT&qYAKGF>WF9ZAYf~e^daP3>^@hvBvICRx%T|P+Qg9$DaET5!_ zO`bnJy2>`(6#uj|t(lEX7rgcShPdKVxw!O)g7E!`)5IpR5C%iHP#_fOgEQC-bOS8H zVcy&VB&>TLt6cl|kB*e9Sb0=|EH36Xk(Lb9y=4=e53BuocA;tNpX~L=7w&{pX?;-{ z)+}%!Q7tHCC~)ImYJ6T#MlOUP^g)S2Oi>s=9df57Nhuodw|so!E#pyTNhdiEGB;sB zA|do0H;Of1i6~;1sb}ECrVE`G1j6${8pyQ;E-)=%bJDw0W;mI>R*cWc@piZ+9a3K&(%g z2smE+j0r%4C1QXPisvZ}3}SNyjM>cTSb2H+#nooPV;*JTS8}Ff$DmuK*B5J&3o#BF zp;h02Vqhs8tt0QQjurFbZb;o_L>rg4m>btz2lSJFfIVuOziLB-cp%%XYawFQp2pVG z{D_2^F5YBG2ig^m_YWuvHp^7 z-S1&{#|5J_r1_C()6GdK=BSS2A?Wo8kod|l4f2$TM4Fy2tPiY|q(QU3QnXEpIG=FQ z$|%3V`DW!67fMb$d<||z4gsZ;6(%>ALYBytEO9Dr*Fq-#Z^$>U|Q_ zkALPsq$CSu?ZqU!hTG{9qp<|cqOa=+e41LHd(Fn4dY10eKrU~O2Naxc#@E+Cp;{;i zj&!$CpZD97j0X*ArRdH=?Yya=v+1rx$BBz=`Xav=v|Y%J!|BY~`|qcAM8 za=5_Gt68v6m^2JIqk+f*pz2cmz*NaSmQ_|=qvQ5pv6wT)Fp^OW)S46ol|}es9CwyN z8QaTT-5gw({TK^}dFLuJ^Vb1@Y*~@^y|fTUL3-`gyZsHY>BQGVA6rJAwZv}42KWF< zb~YRqqvrH*>z^n%7|~If)EDVLIFM{k#X(W15DCjeF`WCL63JM9nusr;Q;DZs!wSaC zum~H8Lci6?s$E7pmW)Nz9e?+nTLDA5fz@pwOu^B*o+UiZLqW|9S(4C53EF7?fd8-i zfisJ<5JZi&ccJD~C-%n#4wz&{p)4sQUnUeorqc3771=31a5@t^LR{uH^dqxrY-A&ryr1&Wford+SzD`|Bp(L!3 zkE#1Jp^#*So-0lV0<4vCrH1JnA|9y&iHVj% z$ehOG1G{`W<1J*B5114?Ux7e^oVNQ-4=uGAj4*Ih|NNGm41a99BUUPIH7J^^s{7!iH3`K(c;$HA!Lx;9Ow}Jj6w0g9SoHhp4i|?d+phPT%{>{c*Pd7KRVrvy zG=D}35o#t_L0X#Vs4QZzoa8FDl!|kfLO_O?7(p0+P(SK!2Fa{~)NLq=#aXCu?vSm? z!Rxwe!rpzQU2C-0cF7Fj(Vi;e=Iac-Z@-Lt3M3ZU0%0aoXR=m%la2OKf>PYVrWU3+ zD54&fQ=cT}{|4Xn=s?HH@;&aZBieY;{x;IjhU-a*t%0y^HY8ejzKKV)`GT!92V4sTaruqcdrFzXjW`}Wz zNO%I_*^jgNbgk!|&rbwVERjlTJe%f$PjHb1#U(ZU;{K=s9XJL3b9KsVW~0}sb+h>*0C zHK)qK>j9AMf+D#T%eW6V%BL)1%50gbN?d95J`A2WE(-PwE@_RWj;j&1CSdrC@pTBV zaD|2TN@PHl6py$A@Ktx!v0kWKoRg*tJl(Nyw#4C+G|F9Ujzw+*ig~mtEO$k;%6VQ> zhJCeypX&2Mn}sBT+N$vipA420vC$=(^vU1DFKhvxT&kwB=D=`?4pJ3u&#i0u`1`-(wgu4KE$Li`?yEQnP}Ds=-K zy|IePeLV}mW9n<1kQrvsC#{M5vIYpx>rZOByotOx1wgK864k~eULVFQG?Pm$lN;c5 z#|$YGCb7r$WJ#IPagq1?`osy`$uMRf^mX@I!>g)Rc_QHS0B`eoPheU9OQLyJ!yzGm zO?6D3Q77G=ys$3{r2%DX=XIiGb)7h&t?XU4s_{{b|I6y$^CyRWY4YDBPx9WvHkFH) zoKxK3SIW9pn1RuY=aZ*A3EUnon9va;eR>4`9=R_)Wy3U-oh)}q1e@yTmP z%qx();9CtT#C;##We}?}0?1prF_G_+S}m5j9AXIjL#qO0H5DV4=QV&&=61Ron?#d) zVJHKw*_-j8;XG?N}?-?)(w@{|KRLuwz z5U=IOe2v!|Tm=30z%n&r&|~aN2;&S*Jq`X4;#`L33w@$JnExXfOaF!tDt7)VzBEfL zU<^ipERTtcMH-qDdmOB2H=!Brzn1yNHz4)91s*a6W>`|whLc1#D{R=aQ=gjck-@uv zP>Y;eEG_e^Nq)I%hTPBW0{!h7-8iQ5Dhg?J#=BHT`(Y})kzxsLmIIq)j84sBxHEhe z?y_3Y6B3$=uDSDoiC`nTPt0N>?WggpI=qWg_jU=U~YtYaX3vn(w-r!B_Hf!YyM-lJlKgkXGX~-mSzMq_|%~pZUe<=0R&Poy>;M+Z+@j*%EZ*9X@yUJw8ixNgk2ofr-Ns^;ACT%H6c@e|FVr z(PEEZ2X|O;`9um&#B{l%6;;eU2?T$u^4gC zB*P!kfF_9>vE~dSO>GzlxlRs0FUo}Fx70i|L1Z?i2`jXZ_=msT&B~^uz34Mmlu&=z zxoaSmO)~^=Hj@KU$1_{Z3 z`<*E*7s&6KDHG9Y`ScoK^vQKi>9(>@fnYZ?zb6Jk-6(X?y|45A)HyIb9c&@l67~RR zj`8!K5C*hEJQBTxX$6!`dPXm?7+?9`mL%UZL|8h^hOdIIz?fC384F$PA)D%-x-0rk zzJLiesFd1j5GWqpvjH z1x6Zh!?Mu?cS!7UH1_e>FVe@Zl-Li=N_eMx3^qF|(yd9435U(`2;~@%<@F7v75rxr z)&e@$q0h%9k65EQ(?lJtZ$kb2r{7r4cl^~aN;0&_dbg{DkC(KynftaOIf{O7UL`ZP zRP@@?KAEYkz8j9y=MI%{-xC!8+IbB((INec+KIxuNnTR!U1bq8++XtbD-~j3 zd_o^Yb4#blxB~Ac=rkRJlfHQY=z{y52-(ejtQcCUjKMN{9F>yF!B$?eVSEJ<%*Dp& zBsY#;hGsxUvk|ON+gk^HN7Ucans1c zz^&g^g7NsKPZI#mN6^v$_hXrc`fy>{FxUye58^%@2xv8n28vk~{atiy23xaBpn`t|76Zhm?f?r(9i zh)3cXe{{G`O;L+0)1Vg|qwRF)9AR?W1zeNLLk z=U++oNqvI5n!|QR{oO%Y?~~SPWW<&_5Rm+%Zm17i41O|yiNd3jwd@8k5j(B9K74^x zA>-r-tC|)6-Wx^Tq&*jVBv4Tc`Ba6=fSTiyo8T`6>maUA1xKl$m%ly;&~AI6pjl+@f^x!ILbDrTI93zp zB{vtL!bn@GS1Z1s6*Dz8j^6%eMuJdx++{^^yucv48yXwTwRX((?VXGM zYa#6NuLSra0gtD~Lq;LPyXsb}Uww1GTFTNjyMg*StUI#|9KeZ{%xF=6A z+LXk7Y$-qRY=Q@iisK_^Vz>wkV#IG*9gyrQ=9W7>2AO0B`y zrTqAl)MeBDwyW%KWdrGd_bS?eRh&~Kw- zquQ5;*pL9>q1(`CiNLSQAL^Zbv5$ZSAiFb^O8&DQO3TYXSHtNRp&LJEYCItQgpuEs zL9#ukekZ@c&fKERVg9*P8SY*<@9Go!D$kRpUm6Rv##wqD>NZ0NH&v%6JokFLY~Wrj()Op{$$rsgs}`If`n}Y&jT#8K73s+bQh4Po zk_JQH5YqV3%R8Cq)JJid)k#{PQ_%m>e8Waa8`>sc+q0mycFPOI|rZrlHpV{&y z5Vu1F-EEzw)_Om61FXg62|uK{Z?fs_7{ydS0kNw2+Y z#&hS&PMzLnj?-@A461$VHt`GNOrw5%FZau z44$rwTO08-50M^_birZXqzL_C{1ZLGyS@BMyVxme*D%6MZbv;VgkGkUv?f=2NKU?Lt&Y)gV$&3w-wrG&>xTV4emE|SaPmIEbS8F2pK^Y<(bPfO-t;J93vdmWkn@M#RKoR} znm{V)@#j+CL@6q@aZb7D^!Mdjgpjn)$tOW~- zgyuEl{YAPbPySKq%2Un`vrQ_f3XwA>OinZ8?@u6*A?)pW0;zyA$(94=fSh=4PGWJ9 z7j0aSDbas@2K*R=?;$9|m5lQbNE+`mLeBsC`MJ zv0m?x$s`zr`vcaxdvJRTecY^V;rIIcb_||`;y+x)AH4%yX@}v@lRvX3cKt~{eEe$? z-H6rB_KULtxR#^iB3%;r?f>g`PXk-viU!pnU0wgzA|_>3{YwJS7mg8}Rs@a={Cg5n)uG8R`0X9a~v>7o&@ zow11L>cM@f)1RLY{NvFP#3-pA!fO>L^YHlX)+d33SjobBLff7|5ZOW_gt-6ROjI<7 zEr^)w&xGOQqgg`>P|NK7^{w1OO#jhhU#NbedwF|J@x+0Vx3musrPfiy`JYS>ZPXh- zSQh^MywLk6w|k~9Ep>Wxg!au304>`(c?jpP4*ge)R7foY&b~zoq}~}`1=7k%vqlN? zdNH?HQ#tkbGeGQ-W}%1h<*2&tDO0FRf8pnUHtGN=l48Og+>x>76`bh20BFv8z&uUx zV!%}Rr|tDjVvDMo>26ZBK3p(8%b=QMzv7M~8@dU@e+5t4Q3H6s5 zTvEkbAX5=)K^a;PY_4fd7va-5W0=G>=EJU*8%zSn_y2Ad<1Z~G9%lu!TFgK~;RB%c zIRLt|kLw1Lz9z{M_5XbAEC~Z3i}A4;C_a!`RDcCBvmR{p7Qk$|O74EDbOA=C0EU+S zX$IkjWo32421|G|C_@TZKQmGKpwQ8_!f!V+Bg_F>$ z8Bi8$r)C~gxc$0YC2al(Jx#qH&)Gz60n5rT3vgrl0IVrfYaeLzH$3@8!6m>4r*y-~ z>|1iCUjL(gk&q<&T9Qy6Xp#Iqn*MuG{m&OKVQeTpfqRCf%fH(BpFi`j1|Y+RBI`*# zV5$8(PW}}P|N02{-Yb@C*AP|3kB0^nbkhe|-{uYsh+O zzqJF%Y zXxaTecK`2>fcE^Z0KX=EU2OG#ZVqe&<7Ee=U*G<7+q%QADLhiy@SmF_91Q#tnlG{Q z|G8~(!8Ol74XCe)zH$cyk}&*nhF+8rRn^-viB@-<&3(= z^*WZuwzfLhGxDRP!T9V{Mw6*_pVDrF(4BaBO>puoI1P25e3C=I| z(EmKL#!yoMuIZ@|*Z$#RKb;_O$y8qAdKHxovM<IJ<(Zu(=&} zz9(*b#uWB&d-YF#kzJe?5iP*aSg4eovib(r-0YqmI|>ggFR-gGYMpIXY%dmaj4gkO z#LszXka`P1RZdWM4|rq)<(=&VxNeQ>Out_2pT^5hw)5A@XH!`pho--~T&@Hx09$9& zi)A0oI;mws{G zQ4wgaGC(bz;C#JF7PFQDA^LlR8TF+FK-Ip!S?rvQap(diOA?ZW)&KtQ00$DZ`y)8` z)&k}hPntfo*Wev|P8Ttj$6}=1O7m=j3*IaMHLn9TT!waj03)de+k`DoAmU|qHS()i zDe?yFA$|jqG-f9dRyFX=MQb@+w5S>w4A%ev<783e`RoC({?dYaOa(x(TTg-0b_G0< z;XS|R8;XzVEGH;Jlw?EyfY0PhTvPm6aw{mH{iwDGp9B`SMu-i_(^Ixlyk^z zJ*zDXR%iTy@2}wo9mD!!-tB>Y@&I0x&Plw0n|EK`+c37Ug77z(q{bR-aRpi!^LaU` ziJS*uH>NLv=-(IzH~aphZ9mAp02ihK`IDyKr@GXOa8!yfuKY(rosV8(`OLB8p0~D6 zz`lCRlkM|J$h^9?b~nxGt_EYK4(L1$+?`i9 z5B}J-H8cFHKj70#GhTu)*+}2@%0V#1v41t3B!9cBNpB!mETIKxaBg z2f;OPnx4zFDMkrh|IW16=3*|a$J(iaW4YHpk+q*MfA#)YR|-S`>i*m`|FKLVv-Y71 z{dn*X@7vR?oVrp)WyF`-bNakvS) z{>4ay$G8v}njcb@0CH*_4(2U6y5&i@-?#C!ch+?UJ+?r1B|^Nn*IHp^@i?OC7%l@u z*(~>BVVtG$bQEzV{R_O{X|CC|7Z_*@u^)6 zN!(x1^3s><2RQoCqR)XDsy*ev!+%bc@hDG_h@9b8+w&k}+4L?n`ysSJ_(SbR>;brl z0(SH|;c%pl+ZxFN{A59UrN;TH9Cp}_=_E}HH?gWyGB6~+(>BE zlHR5%HBPAQI9-_Dl$@M7`NrM0#%sqc)IAY!UZxj5wxc_A$_f1emeTRAl_^gZSyNB5 z=xgEU8oq4kdM=GaClhu!uYp^tT^~bsZ02&#qcx%0p_|fJ9tmEU(%kxwo|iz+M15&| zUm<}a|M#-_I4MGtwk*;Bmk~2$! zvOE8s=MG)=Ect0#?g^(a;HxVrm;QCYPtQ0pbxywl(+7EIz(SGzu+WPO)vb^x_Ap>i zEW=6^^l5$Kt$xbX3UKK4W4kUyUt2KXCEX&2uVi+c^aF~>(rAIrr$5*nPW4r5faa#| z1<=oWL8KmS3&1H`?&#)jZD^Y5*u})ii;l7aqyF{n0isxp6X&qoU z3&1j=i)AiX6*)pIC2TnP(5A?b?tr;2phkVCnHa-${fm10Y*6L7dGo@ZgOn*sM29Zcmp@I22rv^h*|W9)`2FPp%Ie zPYw*5#^@uzyB~$}v3a5axT7@Up>$BaTvN!QW_!?=csAB1@up%^q%$fiRcc(2mYCO-UhO)Ym=p z3*=Vz-7wa_=0b2G!&mL~e4YbJBsLAUA3l6Jgwz3O_DMs5?aRwn%(_Q}I;F&gi=4w` zpMR$#4!61jBoyQc<|8-I3id$s>P{pYE=YO+I_qAKt7;j8~q zs?Uc_=lh-{E--#_DR@+j}vc4w_AETBbcZ+68MmA<@E|p&?f{%NkGDu%Ig(-O0;+C?_L{DcGeX>`& z=z@mf-fc)0NQrH1z@E)Xz(IaQP80%(DudN+CR*0PyJWF4N?qZ2Uxfq)`xwuS_HY?;{Kv_CF7_-w3#wW~6&!|k7#(@p3*Bhd{F%Fpr8GkN zP)-}I8MATq?iUzO#434G*u0^z$2(L>y!zu_rFHjQUqw*9qVNH``2?sl@{aN;_YzDL zl_k2@h%Bm}mAXSn+5HIose8^k@Z%{On; z-E`a`grzDzUtypVEWgpchA}T*8hV(PD+Tq^F@}?ly7WhiEzF*-K_B~FH(6zKZt_H~ zo>rCpmg;2Nwc}GIF?(T2(uG*cwmNy&_ez&8G~TO06d}Fpt2~ApNtmCJFddD8_572G zAI@~dObMjVO+t+wtc!&SSA1nL?l{lI+p`VbE{uk{Lr`vlSZM>a7u_yEX>ZmlpfS97 z_h;VZ!*qWzz5i}bFRLsr%1ks3xEm6KiMpx>q{J4J4mq<1Bqi`_^i8L!b|?K3x<$lh z?CBVD=X-N@4cF7mycK(IIlTVSQ0r>enc4#-yVLUX3DCWrn2Q}#c-d&K&dDk~iasVS zpVMG~&lcHPo4hXfW^CPmusL}Xx&M2ih6(NfyLFkg@Ehhb@to`6b&1j@YVNmlZv0wa zX|T9Kqc{m~={N6ab+z@vcw_J5F;e=rGv+fmfO>yeAZ{I9x>VSs01?E&u=Ts zQR1Rtp-nt!86`pDW|oEG#|%C)rf3bGjVzJ({o=~sxl|&P=@Q~nQ$gnO zf@#IO1iyZ(;O`GIZjwQDCrLM@=8Fun9+6a=*b$`z=QLHib4AOgXJ}PeWmkTDB>Aoj zoSULoxYm`=QJQ~%QIpTgpQ16rcZ%o-n1vMbR}<1E=N6}icBtR&+}QBRLqci zCLYegRl%}*ehV0Z^|r#%t#yfE2F&J5>?A@Mg5nt^YC__P!nk>@(-yLwAZfqL5ou(u zWMst7s77CVs{;c&BXpKQDzHhZk7`^B?qZukdf;Glb0V~K1EKI zR(9>io%KCbCovj093&~cS#ce3BsN%vYgAj%8ykH1%(#W9p-MQ;5sX3F--5a!;V$v0 z@2#JtVLC^y&4M{w(n*HyguYTrU%YfZ4u!*lj5Tw%^)AP2Wb&LsHLE0$Th7mU>Y!2T zB|@BQuGw^n_L}-y=k2?kE#}{1Ne=prZidVU5~oXZ2Q#!@^o*#BC|v=Xy79SU@8Ksa z%O#t*PT%QawA6d5m{G?g^86b0E!NQWhYIra#zbi2N(KF3Ucl|hejc%et01Uk%}PJx zU~J1*@{8N};Ws8KEwHVA+$2UIlu<|an&&K(o$6CA-Qr-*>ZGoj@xz>-@cvZ={X0x8 zIN{IK(L&-#kzsvGSY$1|-o{)^ql7^5J4E6S#+g^0TjdsKj2HdRE5`6gsk1O3BA8lY z%xflPq!&VnJ2cI><3oHq)>a9-%%r$8%i&j4m^@Ac%%Yqa!n#^ericn_jUKM@os?8NooxRJo=W}U_DO{umi5FF z{I~LH6%{$DpYvlYY-^_dl>5_fHDpN$Z(&H8fP6V!g;Ek#$Y9*wHt94wojp&D9s(0q zAW)W_l+dkQngQf+o(N)A_7!P)DhrB?st}Yi6bLEB^SK;v{QNh2ocbxP?M`(BJ}TT8 zSAj*LxjUCT-oa|PLAApm^RZYCUqsC43@XuQg$7#S+MJ8WPsEj5;&>d}na(8|Kt^7a^UiGdR)xn~`dDOZ2q7?H7u*DTj{YL2h+LD{;73wiPRwc?0s-{dA&1-ht- za0fxkY=nXI*{fNIj#KVy-7Ymh9*H#5B6=Q{iHngew64y0AGv)2j3UpZai5y9O%2iA zvy1`wae+t~c+BN<+KLemgi#ia6NatUZ!I^sWZs6X8>z@KT?d+o(w#0!)`p*-&8S*> zO?!N^5MH_Ce!m>E}p9}&~5 zYAEpLZ!G`@eUe{_!Mb7u``!v!Vf29f5!;__dZy+DC zX9TZ!pk1zMe0eD-N>tmxw(?wr2FOe+x&xi*}VQ_?oV<2_Hi? zU~hQ7sw~ApsqNaAnqa63kpsAH&20k(1W6<%-LJ&)-;iEeRWCRq9u*+)>Y`v{Sc}We z1my)NR*(`#>OcZ%coZ9)(Zvf=zLUi(G z;NdQ|LF0gP;20C>Sm5U(+%v`Q>aOdz@vjjRphHh+94Se+s6tEJ#CQ=_8zUp25q4Yr zh$ysQY_X*jj7SDAYIuM??nIaKP8%d*jL;gb(}l!77pggK$L?HQG+|yX?ad#lHPpRE zjoT$p3R4u8zja%rWFHN0kJjkslvreM&b&UbP40dXK~u`HvW{pf&Z`#uEIFz)pzvEf zdtZvTYI`k3x5KC4$}c5FS{nIRzCw~ubJ*O6f395)RXUAvCpTu9P3O&&@))HB|9pC$ zSBP!v(VdEV-vemswk0%j=UAb(c8V*5Nw&p#CuJ6rdw$$Tasv&j&vwL;;?w$Q89Qq2 zo9S*by0n*^y)&OBzaT<+$YCot0wj`j*)F2ZK8mV*`!xFfR3fw@{?l?JrFp*Q;xT>Td~;#m>;Qy&Pa=I*_jWeg_8qZa4j0Grw#6oi1X-=QTRyQ=zsFOI$e5 z*y%gUd&YxPpPG8)O?uq`J!(V7a9GBHJDR2J#RLlvnm}k%6+rRuga|G^zfr8s;Ke(x z3@FVXBQtb-$18N$DRo95Amu(H8`sj#fFu8ktL^#c&{v?)L={6r#V&WpaB-JB^RQo zX-f)62OQ^I!<(kZZ5r)e)8$d^mJY)c>VrbrgK3~5J6_jMrK~urVjnp9Nf6s4ts27) z0vYqw#5M&?HscAcfL*aIjT3b#GNX7ostF1~ylgsBBeP30k^_KgR+sa6+&b>tty>h% z9`BepoJ-^k6^3vbOVb{yPM8Qv`Af^cQA4IQH;nDXlC|0=dC(v2`^vOPe-C5^CSP)m9Ao27o9;wHdrM)QqoYS*{Iz7YiJ$Py zz`j?KEB5mKBfm-(+n@5*{7gmNo)8$7N#;<+QPGBIz zb>D4f)J)ze$seKmXr zskgRIa@u|)!JYg4>ox|&%6(MUWI3HbLhC)5`I5(#tMFPV=T3PqW>|$&cyAK_Q}=Et zOrxY5N1lf3gct4?;bY0XL`4&@=MX@&QODkWN!o7sGf~drHSw7frpg7pdU2s0QRa$5 zV6dtZ)3ri`=0OqG&d)z?dgU=&_{h!LnmE=-I4_pSVz+d2#@2aDO~>fKeR=|jUgRj| zuD-Ah&g4UGpR=dv&}mI<5cI^GqJ9=1B<@(Mfx!#O4B^^X;?J>?=sLwsBQx*9^~DLj z_iKrIG|%wO?|j_hOceRjLUHS+*d@5BSu3E}<%R=eBX2?imEKbm?J{^9k09STCzPI& zJwJfMOmafi>bM4;ej*`^X~asm2#j}+0bE}jdPmcSjLwOg`IGxjpS-ua7r2*O)ip}L$9qL{gI^z7n{R9NNYOH;{Ygn3+y3Nuj?uAH$}3s~vbzS9bjJ;q z_hR#Z0M#sH=;?&}_`FhU3C>P^yyYRb1x&cobKFcUD-3mlE7wbPqOi~Q-AHUfQ%rkJ ztjb$@$+&{nk&AZXu}r3M;|`^Zbi1*RlbrT>B*s0p42q1WVxHZF-X>K(u)3f=*~;V@ zx8X}4Euoz-2j8cuyvxd&y^_NyQEKjbf+9cEty~GJ$2`P#r_HfrlHlq;@JdAASgV0* zZ@ekHw&Js+Pl*Nf>g^$}sc%sxjP>=4yO<6VjGx-mtd=spVDh$LW7N&9`;n#Oc~SU? z%bwCmiaS3q6v6H{H`ZH>%!U&#>~43m1xFU>gEk~2G|N=73#Zg$HN&St>=8$qlwI6i z4j#rRDkQ5j?v!=Y_~cJ^iCZ*$XYiNqk)vL>X$%eW`u0WoSWSEifj$H5+-4##L2MT(nGTK5Evh4I&#f?T>_WnJKiX(e$}XAm*p@hb`!Ndlo! zvuUmo0xGS}iq10UwXtvOD^SdQU!ezkKe?XmwO(4iF;roAW;om-HT}uFudwA_wyfrC zgKw;TTH~_El%$Wagw#JJ*oZU>vlS9ECR`1idSWxV>$+mG9G`Q!W`|-!9W`_!5;gDw zwba%u0)t~L=oNQ&9sCTU!u_mBuHj1ka$U$h-5Mv7_fC|kcuE3ik|TYFAG|fye}IHY zPkk9Rc?g%4t-6eAdPC9z)=$hN=IN?DWkTd3X!of_qm*q)FhN@j_zw55`?Z1QyMfG3rR{OHNx8{wZ%ut zU3HwbF=K8U(vOKpbvM@K*1ICViLuhq#B6iM+K=tB{9)%Yd&cW_QOM`!_{i}0HP7fq zRZGoD7;Yhx*!jb57qW%-EQ(zwIK=^c!{H|a3U|f4yshN)kDu=SguK+y=Mnma7x8nb zTmKLx@{>8DL#Jc@Fo0fn14<2T^Xm|pVO?wvYZXq_1X05dR!BQMAH)ycd4}5hEs?Q?D+3;;{atG9%d&#zW zt8w0CD+O@^53_htrQ9np=0Q9+1iSfiHRR+1{Uoe66kP> zp{m`SSQ1jB(I9y{K8tU=Snbg4FTYH7F+DHEWC_AneUHaWQG@1g=o%&QkHrsY6cpHv z3CI1ZT4h)kMTu06g2wQcj0litfRhDb(qM)2EHa7OB3_78+G`a2L-f)yMuHkcr9o<` z&=h2&!3L?sPbRqLL5z%n-8bUv1xGsvk*^Kb2>IFdfvXN_=$vgjt2@@fR|vLo%UI&r zIaX#+@Y`CEYu|{nXWH38b#9JwkX*@e5kr_&RjA#T*X(@^ZQWk2kBF`qKpj7 zdS%#siNz8i5r@90KkH;GQpV;O)0N2+GYgIi;8SwJM)<0S&h^)leWNK(Q^js*S0s-F zdN=)}4WMvy`y?<-;Sb_7)swz00aDfS_@^eaonw}aI~-k^=^`ZgXad%9Vkt+lOjS9L z^M`pK{S129^(;Qg=!DIbBi)E8yz;miZcl<}e)ANP1M>j2x@DKF_zh(u7R{pCqBUZ`E)QxpJUs)UlbdV8ikZw9fx`kU~2DF*!ULw8y+PASx z5Okg0;oPS6{WbKNn7y~mk{b3H42V-bRb$mZtxBTS*OSng5)>OKD|R7tVE*HOJie3R z7gYkmVl!R3BKH3c6ccCp(q#G{m?&$zdM9J?7%pGYs<(u^stIE>cmn#IH@f&*R(mfv za?@g5@U67)kaFaxqbKImK2@wW2(BXUDe4a=AvsCm9fsXvD70Qe&+sudv^uL7B z+;GS?8bqhsNyo&_Ad{RF9??R3!t0i7Jmrd1I-n?}V}>rSb@XumJ;BX!CG_P(=~gQ2 z3EzrJR}#tm*tb#F(QA&n^riCVZ7sO;1XntNc);|H)?jSRbSg?rS5^}`6x8YxfTyRz zNuyOeacFyez&E}21$Mn#lBO=lbGdrAV0i)YLV#qb8O?h~=0{E**lNNDnb|(s)S=>iyAlCFT`R66w1NqQ^-e5<_?_0g!C2 z;#A9JyxY`XUeLb|o`sQcIMJ7fBV!iL4d?N;4Og!SWQDWS6x<0ae>(srG0H#FUkt;y z!Q{%BdM;lnjHOM;(x{#q%eF+c8-leWZ3rk2Xh3Kb`^mD1ZyEa|bd|(`xB9aPVuh$z zo9aBtO>(A67|O=Lm`NaHPO_Qwx6#-M5E1CZJ8nkZXWvnbHO;xo7U)iFdFX$2ipr|iP{IJ6X7S zpMCZVS>~l`75TX>?(8qTxo0TBrEFdI@8h^h9WKHU_f)vL-xfY|cf}oVm^(PS>G(MA zBE@;=mcy*e7*Q=E?@*`Mv&N{i7~LVIv4DhsHCDEabrTr%Jgipgmd79FzHz8TnaEF) z$ADXmDj5Ox`Eh`IRj@MVaQr*bgVx{^b47lt#=E!YTxz~yyKKr_O+gORXYcK&##_ZI z!;^8YdrbS=2}_9&Uf_Bp!nvHDe9moz@3L9MZ!`8fq>UD!@AtD}Z~4 z&`U-zVNBkbdtz1tsXjY`C5LqpN-v4tZ#bQw+n#<+iX6JBhChb~Kt*r!W&A%6cgbpt zbf|aa++!dXFq}xw9d?XomI$YxF%${z^lc(;YR2Tt@}$v{Nrv{LevWr(8q`U+>Sib( z)>9`uo3U6hZEyUmkwbz&G%EDRIq7V@C$shj3t_t!0Vls(y!|g)gkIui`Te?Lp(Y39 zZ+=Za!FGC9x1}h3>Fq!>eglJV{p)57TcA_ z!M9%<&aGo3NAq4U>j7wj-WZB>|M+0@T0{6jIl=Rf6-%#>x~@_%C#zha-~{k~H_JEg zA;z%B=l#lmd)U6<)VWQXf14M0-O_LpRuJuVaS6)xtTMKtBf{Ne20nag9O=TIKw~M^ z=h$*K++8{I6;gH(TT4s3am;W(wTvyo!g$SrK_si`{MBi)yRDd^tRw_>W=$y_fc*iY z&F~wPbk%;gZb}AD514h`Yc9iyN3~NiScu%wFC7Ij_FoD%2wk7Kq^Y$)>y}@28)+gcW#G1 z6rY?Oi8tDw%IvXjL^Zv3NQ$<&Ext(fL;%v=^UsuW>%7{R}MvH_I(9Cq@N!r55! z9j8Z(uA_qCijro! zK~3iRRPZ(24pBleM}J>nylHN_4L*TxxXm=Q^X6KRe2;B_OTI{)hzqwHvm! z6t(7x*?%Ekd8OzEw>I54+qV!W+|=zsoFmY-T4C~FM9ZcpeMf*IEV@OapbeEy;_z~& z2LRR(MzY^b!)>!P-{nM;xf)i`ZOqbaBN!?>S?TkwiJGM|W1rb7t5RI7$OR#I#(&G1 zz1dn1^m8XMN)Kf;*SyY?6V7_|BihgLY8+L7`wd%Th4Gj&o&0vB;uE49)^6C^b<)zU z$?g?Wm$!`$IUzE+34I;2u$u|fq42IYNS>qPI47^hzQ`cOYqc_F-?;f;yQ$(J|0=bq zoj%?Zif}al+jzOm|InqEWoo22hvvI=q0~e`@GSo0(An7^fp71BM6fT)W>8(q#QXGV zD710!q9yNEq=QV)+|^=GRdx^y;1wmA-uL-#KkDj+i@B{dv`YEH>E0Vom{n%zYK>pl zqQN!#J4m9ur$4gki#V4~0&-#6l^lWnn_z^2-8Ovf}76B!RTe5YYc9rbR zP25IyVuE!cDY*QrK(nj}e}vZ!928XcpO+bK&}yi-hcTeBkNpb5Aul(|!hzeh8Q5B7 zC){FVGSqzv@Vf}V(*&ztj1>b zF{F-zWXc8_*7(Tn;CPSNOnF_JydNxF+0?*ZT!E&l;rbAj2cjVYd_Kbje-gWpl=o0c+?*zVB03G*#8H{HzVNFw=`auMFm| z6_q%-Aa1f+sTA&~BSSgdEz^D-i!}u?@;jue4M{Rr?;Bk9%DT1GrmFL3GS$>IfAGAV zyo=8<&+uzUfMg@_LXKSyvK8f8(yK&MpR8RkSmw9+jgtj<3!4+M=vHn=)r1#UwH_o< zL6a71hhIU=%2>au9kT8DXq|GZt7J{Pn@_U4s(FM;NG!Pohk&|yc|!!0U8WaB+5(2c z1DOUOS&fp`?fJPER@V{|2EZMY#EfGnYgW>f((c(88*?Bl*!TEXcGm@yW?nVWVQIP` z+QAW~3w&|hjHnsvROyiAl5I(y(0|ePg2T$&R_~ekMnS&+1aFfo#M6qP1KD-y)x84yKIo<|sQuR4#tu*stJvYuJF1wLYtl`&N8Ialanc&#>;D6ZU1nm?41aO&hd9M{p z^7_>Zj(9^Hy@w;wNH8XKLr2zLM+rU-W6Ey&T!tX=CX0c}C5L^a;tcIQv*CJD(9q!YYNLpo(?Eds<9j!P3GRN8n`A|IY9BSH1SW|VBin20A> zLQXa_a3^Q+oto#zuP~#Jya^j9S$bzWo_^EQ+joTHtG|qWH3iAr8o3Q z3ysUQA2&NrOE35_NNe9I^2j8R#&R)A(nd7yV{K1|3KLW7>(+%*ML*-&Y&c7&;CRVR zWf-345`?gtTj79sVhtJB7%kfH5zQ6f{v%4IBusJhctr6cdW63+Pc zBO5o!&W1Qrba?%jl-!nU3#89Y`2*sVkz*TSqr)_>`^AQ2pj^IJ)tCo%+o6BRtSz6* z{uys!rn`I9uGaUe6>RMI7x{PLH^6(9k-GBb>z%5q1k>)7l$#Nh0G`eRcv`vXC3YPO zp{h$?owvJR3EOzlTu<;Fun=$=i^_qXbFiS*Ok{)?SU7psvtzxZLp_{duFIU_cr_w1)OKN#?VW><BCI%mFh0hlo!0)K zPgP*zkm~%7#8{^|pAg9oqk3m!u2)vuYzVE0zVGiR^1$TIC&Sf^5UvG`m@pTfQvdt+cbDD2BE z%N)ej@PZ<;B4Uu5e-R{5C;B)r#!=YurrUnwv3Oe$wBFciNabALd!t()DGGetYNl<>kv#S_B~OUmP%zR#^Y{R-!ub}LU+nyrpZ;Fsgv@Z zV-Hn8?iEjGMKRgL)=xh#sd_Qx{L>v{xSyDI3tc1$^C%$5knEGTl6WtT|5M$l zU8~w(A0(M9Dj$i4YC@FZUh?Mv_s%55*uRy=J*m-tgI~`qGGC2V_BkH9U#Ehp#Zi?Vb zP7_x^Uk83XHmc-PRgr^SFN5(f8yo#V?lzzG3=J%x8x;NS89eEQOM~p1oqM&qPTol; zbEbSCvlX-cIm-P@A)5P*DBHP7uUsj?rOiEQcSoKVHMk?!VTz@f!7`8!=4~aY>i!S_ z@;u%K?(c={VKN$V&{@zq&zpwv5GF2qaEhnC&Z*7gv;g+O5w|Hz;9=X(bsnr!ftI4+ z36x87)BAx2h!U%jTnhmTvAnFp3d!CmGT0&9zG91@gNO|E#_^7k5V zfis3D@g>xp#Jz!6eY2<*Lt4{L7SktZoA=Vd`)33lvpcy30lMf^IKQd*J%gsn)sE&1WX{nG5~rp=m@(Xj357`e9i97IX5qpm;^Hzks?uCZvHXfOw#$Z zN2mWN@w=G5z%X)!-7Zni0&G3h=lQONTE@!u>cvL_SVzu`!Imv^9{Dv`M92b>hvZ|y z?i*k}C~J(c6bB5K$Q&@s6(7kdW&o`QE0F%zCq%*VEq+?Ow`T5{TzaZo9$zd&>8AY}}*H zli5y+^2s|Yt~J5{z4tl+=JvA2A=u|6lAGfK6=`=CqqUAge@iLJPn$M>+<}!Nmg=Bc;?J_ z=(#d`L^e(qc#~rRPSmo6W0H>RbbcC|(A;G2f^X%P@Zu42%NT0pBUM&TP4MM3M6cU;I;4&=Ul3evF*= z8_>=DM9Iaom0%oJVH^fAqS-L+!T)H`_^l$iq&XBm;MlZVIEk95d{FP#yo&{^KJRXR zJUjqb4IMhJ)oTtM_+2}!MSaFui78nA{q+Kuq`4vvRb7%kp>X< z0+L^UZexl-6|dUn-2Ba^hW;CJB+F^l)0d-6XzXKlbo;rc8I62a@(Nn&|+ zB~~GAU$6Ic08-wh@8v=gM36C13RQYJvc}kVWE~?f!}&hB(bSM-q!GpT?RnQOP~kIaR?|ud8BIV23ydiE1bG~oU1)ud-0TR@UH03g% z1^IoYbBTR;WO)LWwCJ{#KZIIMuU>-M?s~$gIlFi5GNPn@uo1DatH=Vvz;@1l7W5}k z0_)S<`LEPowKw*y5dX5F%9<5wyCt%7uiYB@dL4)HBR8<7U5?hmyBbV5qv=Vol_$Wn zK1D}=yEj}y6UOK~0ty7l=6_HTzMSftzL=&@LNNaEn$Nq-!nzn^;f)z9pbyJxJ-bI@ zYwU8B6k3BTg zy{V#PYu>E=oaZ=)&1<-VZ)uV&;bR{q7k|_b4NP<7zAE{oj?k zpU!M+r)5)y(c6Yl>b|p7cgrd^8;J89RsuZZQj*Bhox&X zN%&?-WnmVrp{r#Jq1&CjxL?0SpZ!-iRLtgLp;c0aGGl4l2rd3r{XUy9Y-sH7re71G zFT}^Wj?G{al}nqhWq z-?sc@zt}HR{K`qEN#LF-r@ghRy-ZWd$66}jjIv32KHR^yCA>l1#@uAvCLLIQQp9&o!RC?`K?wZ^hvvlv$JdAEnq;E`A0F&|1zM>=FmOXn)0Rt`E~jm%~gY2 zia->eLstsjLZH#si;A3~o~vsh%vpr#>-1*o>B6?0beSr*;hXQBPp>vZ#J(?m^LnNu zp<}PbAIMKB)2D_EQQGN5hU6VFD+L=a8OAgU@_RZa1yO>LsKc{r6vUZrYv3#J*PV?c&^DA9yzfmdqs+t)%|a_p_bU6=B`d z?DdzFlc!#uw<5W70LbA0jOBujr^898LWWmmhAI2YCSQ;I` zYX=Fut}`QF&OfdW>~M&lqa36n{>t0<#U=VR;G-FbPxy zRpnsYCN8gAnr)WceVEhEAKi}R@15g7dp!ppHuf}~^BZ$ACm%fh?0>W_)BVNgaRX>G zt-L1b`NZeH&|*n!(1q|*xvnY-{qbk^=z4NsO(UiPZzV&9B$L@1sBQC{&Tx9 z137FB`%cfNGqE>(9MYbXKmUPe2oHtX1IW(v;D8oe#Hc(0#w*?Bmu2PgX|GbQ)SP;D zwbWorNLG)YKX$yuh{%)Z0 zfSud*qF+h;857#N1#>s#q_pEwA zC*zMK)*p|YR8e%UpuM+Q3T_hy0ziMN{ptfevIq1?`{XHv3>P9sg$+W0{;A%<`J9k= z9wHWi`?9F{+LgfRA8(o}fYJl5=K1L`x7M<$0*&f{!!ZMYXUmYDKRXpRTnijiozKT` z^1fs&;ft}EHGbx+&ytuWOVy7_c;VFr*8uB)Im@uSBs~D-`!urfj(9*uMDyQ&82Ehx zM7Z?&xoenLH*`wz2#A0G;f@DvHCW?SBHjg?JZP4N{tuaW9xXP{iA=wP10^!KhZ@Nx z2MT1s?KN6yiJ>gcO6wMP70STXTme1>{NHcQlp3cIKt45mmwRS{_XS)kdKi$Y#2Qtx#1duT4G+$B}WRTq)5t`{=T z5VrnUkF6VUIqe=1lU!WDhq{!DbN$~px)s9%}{`r5x} z>sck?@~Iz=F*?90SX2);KK=)$(w6;M3 zAmYqmzx0v%r*ZYiynmiAf1e|R#yax;D-Ny zVbBNh|3~cai{t;z9BW)Due%27*64&cnN{UOJF^LYEbP8CiT56+Qfcg_fKy=COl8l#GnXcm= z04ilV0epqReZy@5w5Ux}4mkjV+dcufRJEqNH$XqqmkpqlRL#z?S|T#?9~Saohs@Lg zA@J!us|e_jvvqh55TcP>04k0rW1T$m25&2yk5WGYjHSI>fQ}F-0H_F-Z8s8&2VVm{ zpiH6f0jgjjv|1YAKeQ{z$yIr`?iVaBZSg=8xC#Iu$M!GN2%R#&&=&zyCb|n~I7tWq z{Gmy9fD7gUROb{z!M%Esa`cVMhfZIE{?a1@veg$CV-X@AdvJZw`n+ABOE{=$?E{+I zgX!sP`x7@5g<}g8ASin`c9WkSm#7BV`QCI>8k<4s7)6p;!d%oY_4Pxqn)3nwXt6Eo z{yz@xUoUP&K{1_&^+42nSm+njQUUd$zFI;6tud&~&*{Paa0B&jtR%#HucW9@5|f_} zaLr6!Na-;s~_Z!V5#rQNz$I`J=CQeu+9SBhF0%r+T=wL)wj>ApexVrS<$mT}~ zADHa|1wL|EJ{|D5U87L#KgmFx*WobEpkyDM|7C(vfw+#Yr4$dKsA=C}3yMjm7JG>k z!0l0nQZfVpS2!&_=HdxpM=1hG*T)HHnpXR_5wqHqD4>cu$|`ohs~V*5HgS6d;6%L_ z0HxRofRQu}d<*IysEdl_zXo5oRyCm9g7vTS`rrOb#b&Zw%aMlw%^C&J>M`XffmIX0 z@!5Sp>Sw$F1ZV`8A^fJJ=br?RQlPjc2><>=A7mCN6=%vJzUg5UshMmQfFjY-0nkQK zK9st7XIH*oW_(#%52(DwZt@EK&nA__9swxd%xFodRuwu>k@_vatKHC~)dWC=O5$R5 zhO?Fd56$s`f^rb_0@252MVY2$vbb7DR(E4!w(aVB%cG~hUslcA2%{D#69-C&ZH>PK z*jPU1zsYezdI2wKmgSer|GXV^wBXD+)Q!~2*NU9FJ;4exBvspA1Kp;Ilp6w82;q>i zOrX4C7wD<6kJ)<1+pOmcMTmXWCtK-|uO|ewl2_hESMd8q$yrbdi)7#Sg%BxsHA6hI zh3676xo$IWhpn$Bb_4+_taCGB<$=~sHs^1A!EoZVx-PhEI`x+wI|L1l(h0LZ|C^VC z4Z4spm4nAJa3)hy&$SlXAl?OhLFc9cWhagM;eoOTKG#ctU8Vx+t`a*r`_z0ZWQl(SS(5&!e*^rbz4njlw+R}rbkfw!Bsz;37v6){{f`T_I` z7bR(vOsMXY^I*2$FCTA($^x2s6BodAezgpUI;b=N3p2rq*+~PJlsO)Sd=|lnjz~-a zirFuM#}cZ(D7nI{sE6L!?N|h$)Yx=Yaoi0kM}84G`x5seBQE~aC~yV?vsDBB^st&P zh-UR9oHrk+%dy%aWfwXBHcB_4PNOLSRr*O5nO;#}OHXYE&TIL=RIj*V`#`*4b=s0U1@t!O@I`@Ub^H|A{x7%6hzKZcAHvIJMDTk68Gr{Q!0$G(pDBLPmJODsyvjuLLeiG>t+`s)^72xPQk&tO=(i;F+2Uc1H zzMF_%ECQsRDQK9lFLP|hV)1~_0|4t4sRr!5wdEj+*$@r@ z_ER|kEHtAVc&NwL5`AMdu9q^U1+0TFTp> z4hMK_BTxYy{myp=iRzHIp>;Z9!0=?%20snI87=?OFR}#{xn5}Qy>J<1F0xd%owx`_ z7NW9OVK2Op*4I`-csI?f0zX0|IKOE zOt7OO1wTfkDI4228PqwZ*Yf~v_Z=3B8!DSih7gTT|v zJ9xiiGGtR@A#ooMB^_GHp7Q*&JB5S8w=K5)*z-3QK)Sn~2=Fvo>!A>BAj?b(B^S#< z5uuYn7J@6E?jv)9PTN`1u9J7O!AQG^i|Na4o|_+hgC^;v(b^P&H6Oi?er&&!ekp3w zrAazS0{9+A+;cw+SzwJ5J|6;b!i6~$vtv#P_Ny1y1yIE>NyOmQ_(H=~jeq;B~RAaOt({a4S4U1aO$E&IavBz&xTG*knEYVcZTxJRHmh zo~hg};DLCGJd(3=NaFna-hUw-{C-AVmOKdvPd9sH>>CzsVZ;h@?Y6)XEE@99)k~43 zpa$95c4P1k3&!(RcTECPxyhM;nJWag%;r1jN&PSPrKrr8KO#*9mI9eWNh0sg88TFY5oYF5dlsH3jth;2GnWM9lnsHIQ#6;g~CYpV)O~{K((C!{C3OU%1X6;N0xUm zz_w8p;fLDQ?*OEq>j`*(RhD(~DVpjMS8j7=5e4rvRSe`kQ@Kv~Ml5hirrTA*1JWIO zGs03Iz9RTITr+=}onrZ6>o@DM?wCgT*ax8vq+kDIQUHkb@#W|Xyef%pbNv&>_jHG@B&WOu&dFxHy(Pztol@Pp#rye<%6mcS{_IvQX=j3L zWQ-`*xb4t$%pG9e#u8iC@1eo3Z^)fIuNG}nq?Uj^g1yOTkR6J>FN6w_&R32?yUV?_ z3qvLW2I)rFBMpHXzdFaxGj_JgJkZPSrUw;fR)xBAbs3IE9#5iQ&wmI4bW`^G6~4&9Qud&-A^MJ^#1(H1 zA*=$lpTF&f&YKUNQ%8_#*fM_qIDT;m_=ckf)#HZw51=d;elod=Q z5lM1EiX9-O);1#pY&J=iw#y1xO9OxSkJU;<#yIkzJ1=1Vx(^zUHcs5ohQ(qmkEc*s7Ow^e zsX|pAUnjHzQDa6(94?_%xWYMrUgfLj&fMtW4x0Lot6sT>l$M(42QDVuI|7&=_M1K1 zq1~oH{Hn-7H9??Petz`*FZT(ca6|KU*FE%#)cwZ;K(; ztWk^{(d1x2$K35mgy$Jv0I-dBYL(k_hP_Bg@ccX+nE>B%W@4T|W_OX#D(>BP^F_}+ z0v^7p3avv%wvDUtwufq*t{jmm_Ce$dFUqf@ekB>Eqgs=Lmw*)M$%@@*LcN|to(l;v zkCKgjBD3djpmAP0^I(Q1bprlF&R-Nq3Llg{ggt>rLWr(HbV2^4`Gn`FPd3_XNM`lx zB^hXGF+P?=d0SWp(ZX8mk!RHsgYIy?5mZ=nV6o5^&3%fqE5Z{PhpjsjD(Q|I@9=nEu#VV)!x5Kjpm#{(COC-p zg^aMj=$cLDq;F1 zS=y^3=|)cM-|v`HLX@*WO2}PQGhI2q^l+(%#Sq%Lc|}BUN_E-cahxzMH8LK{0Y?EI zr*O9IoGRg7Kc$Nd^GD7B_p7~H`ZTP)#Li$2S<(UQN`#j>1s6w~`8v60+baZi)&6r;i5qSXrBbA++)DU%lE?`#YL zX-qBZ#wR>>N=4PAl7LG_HMaYcmbP#mgbR^L8G@YLFcqsm}QbltVva3je|i+ zTeSF&IY}Qet%KNwJ&E=zA*E5mdl2e?vtsFAOW1Epvz=;yO*FG>a zmmfdE@LX`C!1JeL4d8bxLh-o~`IP}D;^!8cY*`||RrbR1?dj`Zs0nX!nQP`2yrS3E z<^zOB2a5f)SLat?YG~TWWk@&ieYw#2aF7m}2Pqw4KiOVEkhsZ$HuH_SY!b&ln0-$d z7z}|WV;m8={z%7VH@0M6h7v|cp$r3I&#nl*qDm#Q;ioE*ZAKmGdqv}H^Tw`O++HPY z7JMj}hwF(?<7o3MhqKe}5QqdM#ro$8MQ-Zh4kX`50HJa50pWRt{~{A4Dz2Lve+buC09&0v;|wA)aP4u zG#BENoP{Lg-cn($4G6!V^{6BNco(;&M_8jp&c<6Ge{tdTt&tJON5^N>TR@?O_FLgJu8>l_=LE{ixDwU!%d!JPOC)o65tn>dPRgYrhc)jztkJ`)fV9q z_A{0WnhOsei_DAtDfVsgHA(K2WPM~uoUdx;_(e{8_EUBeGWdj!GY$?#BtpKjweR!-!@0%7}i4ixtL`%RS9eA#w*qql$A2{5!(=cC!|WRR#6Dmp!A! zl_uWVGTLD6+nUi3sX${x?!MA%8H>W3@|56r+jItq+^2In(gvCcJ-7JHSjGJ|591=X zvksn;36!L4+Id+5vd>F0+LI#-dO4LEs$wn{2~;Y4CHO^$@K!L@~Ouxicq{GJrG#}HCytXh}#LqCL5 zw80zDt{aSXAg|A|CvmzHN3e(A^?51!x`sSbOjY!{Ngo5pMZ64c1WnNfH&U!PDnbjS z8fayn<_ICq{uagag&wC3eT-?`jUZ(0b2#3DmW^Hs>AamDV#vFSwrhRUDgJK=I;*a- zMwfJ5%Y(7AVwbmK8+~@B;Og&%hmnPIOmui>FP*p~6fL#p^}wF^@AA zWt;imIyJaC)|irz9<5`k8@~Juo#>y!WAC_y;)GT7PS@|bxK2P3BKXR@^f)e9V<*#G zE(K3-M-w|>58rswN)SJ48U+v!Nd!a5A`a_a4juj(+UPa`qhbd}3 zIPxYW3ty^FvP#tF6c-EDyDp7sOBHMU_*Nfx6@XHfPz1zOgL&S(ytHK`DP$j2Ut~>X zy1vgQUBMoS z3$ARZN3bJr9>7%70VSgPu~?jJoTd&%Cb?O;DnGldmb;%b<<5z%(>bvxN#O5DeMmXq zXzU+HQ7kL=x!2>2^Cb2b!fq-Xm*CL^lSO7j&ZrHJ&zrs5&NHS3{b=Ey9>@cw!A8ts zw2PaUTAl?!7nfw3Kj4gJo&y1@7BjK?D@)hfRm*s;&(fV_$frNon;s3D8&ZW&!fQb( z-1EOHGT4^mq73NAb`dCJ0PXLX5+ZidVw<{n!%X9$e=t7JW>XYNrtIw_j= zydrP=dWXNCT!ZOdtLto{^JIn7e3gk@QCIs2xqCMh_n_u&Yf#(BkL?bczJY)4@K++3 zIOp9Wq8YC08Yd;C*x+fdDF*f@%ili5n7_aJzC??I=Rb6Vi9>N#Bx?(_5mMIavs|G2 z{TcZLsyro_En+aiBmON3B)X>9+8||usmjK~j~pX@f^L3R)M(H-Bf0>$aBQkb%JgLp zG~`+eDPmpOww^HaPMPx>T*3-OiIK;$c)N(%q&{JyVDVNo)ZmW3S~gAbg*;MiWY#_W z9jBsA)R!VimfeUwe~zOGjSoT>^JUhG@ZmSN0FekE~Mgi^{TolfCzQCGJsPMLBc+kA5uibQpLCHxw6n-o@;HMOUKh<_l@C= z66y|9Kea#;gIFqpPYpf;_k`fp@WYboy#~@LW;VU{`_EGs3SAE zqwX9R_p&NYhlSs}w}EQ((-#v1x*rCnoxQpom&a^v;BjJE?@LZ8WDL8Lw4T) zJo~5L?AH;jfswTE+q0Bxw@h_Yd^prCKiB6cXf8_(!B``5d4wtB!IFMzZ@^Q6;6^co zc*kd%&CSaodVy>zOc9Y&McUr*pTcJ%4NB<_iE|m+EnZJy=pZodVZM_C!%8*0>Lk1Q{|!L;G?GziY>A~+j_jlQJNFSXi?}9tBe8Jipw1iU@CxO zzp2?YeQWXETD-p=J%6!Ajm{cN>mWHP05L47U#STLdtDauE4eLWP;l& z>pc@V4fo}3VLPrS9Tyz}KCohq&3JbzGAA1cTW?TU$5g-5(%m_66q`hUN=2&7WK{E3 zo>fQmaZ`94gTp(mO`1hx*-1$0SiIO377DgO;-xRUGFIs?8`OJrx7u&9q1-;eQ9?FkaE#*jUh|7x@*KG(;)@O66t8?{ulorBTK@w@?=SkgLsk)Xb( zvZ?1pB+1=2p@mqI_Xlf5m2iTnsvHF=Y_dnPJv6&4)&mDuJd-|Sv~$r)Q7K0dAoO?r#HPKK8drKEXT*lo6DHjiU;uKSms2;OLuO z@*m+pq~kKPo4qGgVrP0Tzu8GTJ3))pf5xt4UXAe!`$muJ*CR<}e*>aTdSP)VGEwDp zpjE#-{oa$D`!Pw@J@0+(BYGB&+WgI-use2HVy;Dz=f*LPf`q<`vb(;P{+@<@j)VVm zzAFvP)Q`HuG;mO&z(>CqJglk(t}aQ-O4B~;8=c3+`JS2^DO&^!dY}^z^|zBl_;1xV zo>FLs6JEkneBqgYBWWj$skf+1Ky|bjrky9v4Qg0?ni;*Jm3BgZEYX=nWGQE$F0m#C>cslDOFh&R-EWf*d5;q^<*)AX&6n&WP|pJ|#${(2 z;6M}8D=G$a1LtrTAlmO`K(_lIJ>%3QfLb$m=b=XVpTUBHx2MB|WeX%CT{3-C z{9`m|9sT2cv~9LYt@yb&;5Msd$Hz<=O2>O#j?A#81u({9a?wbF=j6=0ahI{$Fl!r< zG+j0=Z&3IB=iVN)la?TcumgwB72ow5#TT_gP)^Ntilea?_Wyif8CABtg<=0Z)t2Zp z-ngqc*gVFtAjh~Kc8utg@CoPQqrQp}9Az`;@cjqK#kr#%h8?p z@9N21utp_X#TCI#VMyf1C4@$)!ZEP|;jd3a8S?_LGwl@dl7S=U+u(>X@X7B@8}<{( zA5vKSWBD|Ems>D*Krm{QF6j6zmKKND%|nlrSf4Ux*MR=3yA?a^_o5eH+L85BtaiOSG^B2yn z_n~%cwI|L4v&aS!V?GmSbg(Id3OX)L}Hjy?d5jpK%5$!34@YiH@15#4gKDg3>HTJA^@ z`L*d|a^8B5XY5CO`Z&&71Ww|leip&Z=y}j(Jie@qa?s}dl1(NnmeOKDjNF^YoHI8Y z-#sGnpsKLi;4Q_f;UTVo3&*A*R5sPFO~yh2u1No0jN?Uu57Y1x7E5-NJt>a&RI%Nt zGcpx#d!)%}S??V8_)r;H5p0cK_2$`qUKe!lbZApO~%}Pfeq=>3o z9Kd|=#}~Tcj2DUQMVN@j&W#-#>>&4yUdBisbDFs0L4&0@wKcLdcLEE!{6y(OtZ_C7 zsKv8qXe~VqRwJTl$I|N*vxTMnjh|vVBQ|gc`$pA9*w)X~+!_4Q4BxBUfQg!lE)!6! z&@i#e!9Bj{pUce`qSaE^$$?O&Kco?$fvoYdUFWA_@#V= z8Fi|l7l7EatYj9M?o+Q~Yj!X)&)=C623-nv89mG+YWr}noQ~jL!sif0ODRX(m-uaI zhlQwhH|X5KP7HW27TKDtA)=ICBK9dX?fev+u#&&GKv09U&)!9t*4S<*0=9wJPtJap znxOI2pn@1rYtG@jN56b~VZSlAs&=rf?>9!O^2_{aX0MnFC!d*Kx3Js5-!G^+-(MoT zl&rO&FvcQdXaE(x`=K{Zh(38U%*|p)-s9@*2jVz96w2Ghvjq$tlWoRBaMB?KQY`Xa zEV%NH<8nNhmg{5pYcS*K3N%8+UF4TCM2Y@X!PkuVufRMKJnxR$lQONoL&h6x=Z@u+ zBeZOECvdn)uD@cw85or2@r_fro}gE9;xT6D>ZUzt^-5`;#g(bMK2j1R(emVHgz3&XpANB)e(U^ktu$nZfVazm zp#4;XYroW)#-;2?k@u?kl2JcftDUy2WWJ1*hz{rIkKeb?zyZov>?1nYEeGuz3ILa~xQe|#E zE>Z4`5Zbsy8_5Q#@SdcyMsGGIS(I!jyg63b4QK_2eSa|n8QzQB*CrO`$xs>Z)yO~f)6w*bT-HtI}I;}Gnij{_% z_81$nu|A9OVYh@RMcQYT=#cGkvc&gJ84~h$C_wgRb92}Wj@(US=5$K~HpJ^c#oKgl zc5C?*I!*R}QlRM|J)^l|a}OY(*7H31>Pe@6c*VKuPK16KQj7Q7?NIQcXiJ>HzSMcp z?=vCJ=gn&xj-NU>Qhy{9ENjy(e~Yx^i3hbsQ5C;jeOM0)3fTX2Ie8tJ{(!?{iyI3e z!$1yn{fYV5#=3GH>sxxXgT}}7E1vaCD~@KW^yo(ja+s9QSFD)M8>f6aydJye2P-E@i`hN*>~iND$Q5>3H^se%k2C z*+7oa9ao(@UMdsas>%JKwXbs#Oou6hH?ndt`=oyStwAMCio;mYA@W2zG6l z>%=#Puzvhwb}9@OgOY0G1vtlTqSJj{eYc+ai~g24nOO0QL5@3@JCR8Pj3(PxTxdhb`orG zt+w*P;Ci@JeHg`qkb%nbwLh9~Kx5)wk2{Tv2;!(Ay~Ubsd!OzKGP2w3o7{XYz(hOz ztxlS?A84%RQoF=AVMiIs@3e~rJwj@Q82oh8Pr zSo?@r%Cn_I!VH$BiC?WBqcDd;7GL z5Ei6o=X$&eHW=vV74$NEhjI~yg+0MwY6-6t+i`~d7#WE4)?}wvFoV7f7)6?JylO8c z#H509ftL#VGGPV6frI4sn#*$EdO&s2+aPOki8~etayU+r25O7u5oCWE`z;rr`-LzZ zK8P9*&-(eTnW}+kbkKOpZwIfq(f^6ifjfptr6xRCcg^; z%ieQfO;PtLsIpetkWcW0!=VCrcV$tP_NWhN?nDUh0utXe4Tj(iQhWBd+6Zp>bG~yq z=cvN`1tRuQ%Y|A*DCj9*}dLw@|;y6q1;j81= zj}~SFg!U7;nDN@?e!(i?=y%oxOI_Zb_O9kFj4R{Y)Kt?yP#v>eGktHv7==3hN`&oA z3Ouu~)G1O|?2T++ie45SdDQ6aH^dcF$$Ig4rh$&ZP@_72vm9tzAT^ayhPe_*x|!+Hv$euxOi*DUz*CPx zrOltRq)w?I%B6#Ce%lFQb~mqw-1T%6=<7}oJui>xrndd=c}=b?!GllL7te&E~TCNfDH7rFWuM$!q zDBqjXw(-LizbE^*Xj_cTdJ}nTuUkbcUxjm60+p{L=xAGQ}T5%~K#?HBh zD8dcDJN49y9}(`DP%)5dyMw*!4)Rcfa8o^8t5}>^PR!!pl6>_+hJUsA^=vNRvz6tb z@VFj_0F#;@Lje3(+|!dOGK9+G@nQ7^W~vIuvjAiOB~U&lh?k$zH!fIZAMP^Dw+t?b zKtchpBu8u*Z31gx=3@N=^J+OqsI@ADofHUuY=Sv(sY4m>80QtXOhzx}tnZfCJnf*fKuq+X| zp35^Nna8n&uKaiNmNa9-4A!;4OpTAt$MC^Cs&vVppTv@{LdGNzJx}QK@s)`mh6vaw z{R58-yrVJ&jX$M{en@g$O4@og3VKsGZSr*x>*)tLv4J_j`Ci*?RB%sT-bb=Y(?WTX z=!3~tlg(zH)<=%Wv!YMx&e%JgK{k1nZew>vN1$lm5HI{5!w%!|PxZGmfrxCqkU(h& z;+D&S$=k(hr@kMQ1;(oJPnGr5g4sv8#PnV=c+sXOLowsZZirn{JYss1=HbltCR@nY z5vF?g_FW&>gsE?8DiKu=%XEcU)hGQbSoFPHOaiKo5=W)8b=IS`Bu69aa)oFw8oHd$ zlqo|XxhYe{cZku*`c0tgLvyA8v_ri2{f6=kpCswZQj#jDD$ zr?NFt0#;((;=zbWg0D4-lf-vLTuVsD<%W5PISk8>558j&@UT4v;*$E%3yP2gCSVA) zSp-R(2S4Hw!%Q1^_VPq18P~cRq6=SB6DzTF=Y+AtrRQ|BS6|Y?OXG_kwX~96o!j44 zdv6}@y4DiezU4I(1_u`dsv5Q76INrReS;N2W-<0f>1L{(@f?Bt4&NN+XK#(QuSCYI`>2t^l2 zBE`V6C`+DdtPb3^JN%&Auyj2VfnLn-r1#}ayk6wG=8zs;GKu|QaID=o9_+h`bWc|g zuUOk!TAN3wWN4KDPf8YrcsZ6?ZuR)}sM%p{AU_{9EinCcEnSSlWd>J^F(zWQ<$kWS z=$;A!z7~-q58V9%kgFk5EBs`D%TN}D%sWE?3J^S0bA2IRa;d-U?pxH9vvfbkHE6t1 z!`OuqngVR}kj;{W14eniAx z!CXQy6LAW5?hzc-M%ty2Xpw8-LUK8{wMSXgS4ca;l?LM=e&VndOvb zIBxBGsf_08t{cMWW8F4zl=Bkv(qhv25gh#LKIfRuBy&v*Ws=e(%wU0C*Dhn*(jDZG z6rtAapIfjITB(wHY~URZ$HAWJuojypiYcYuMsmMm*PujDtyDhJaALN$rJB=w#BdxS ziXN92kvb=^s2#Y_rcgBQenNVBf=6waq=pf9eFB>)MND`98yjnr)RL2HkuO5F)^a1-ejO`gRCa1PW)@@%7v*7@H5u(AwQ}%BCTO4hzTlJs?2E|L&<-|%m z_$sjM+nBV4^fQ$inJvCu_JWE;#v31(yytT%iW?bGJCx?QmhJ7s8$EB|(e$rRpG87m z|53=Aup-5ICexJ?s#P;2d&^%fJ!yWwrM~~dq6%W$XC>(LS!D~oz=ta?&-iO9hR_+Z zOnPQH-wn$H+2z-}4}yck%{i?TD_hFl8qv=M!Sc$xAm^+Th&7VOmj_HM0?~kv+8!w! zUy@H9qw97_lNzhAODeEA$bJpT`VOkT_4kjck+LbOPe<_K$fa4X8YDnUeLKjQAun7Cl5nR@IQFAJEtX41aU6OXXxm?~WRGQ?aRkWcB_$t?F# zs>1ESsR_Ynu->*w8js<+7nCQxpFJ2Ccn*UD+t}CjMGWkdVr|O z=2G)E1jV9SW^9=6qpR~B`ehtUDZ8@%6%R!p#bQxB1yM9ZYJJ?%+dyU4uPcjBL@U8H zA5fxf{!FE-=8beU8puRHC4#x5HBRsb*Fve$NhA_QrozF8I=08@FJsjr2RNb)^@A?# z5Pev0e5hYUn2m`h1`=7!4iu_32#=_3&^T^&m$^6v60j<-P4(>EA!2-eEHMj?N2p(J-4FrNV?vP!)`^?$z%$Ys^!1=`LwP>rZddi;r zx@j`c;Cv^9Bs`RZG>v7rO&~6a^@$~Y>GidV?kg1wBGu2em~c#H1z*dYM&AZp8SH<1 zVnF?!BQrtPhkP6$rNM_(8X*x+;Iv;r`~JZ@o%vO z+|#!lYX!Zp_@kO*CJ%IQM5W4lz0~qJjj}6@;2*4pP_L-SlQS2Fx2Hew;e7Au2K|zl zuB=xj>3lz~99Zhw1Eshf6le4EfnDj8lF0I9MKsn4PWNw5xdm*E?80D~81BQ7rfAo* z0G^2Gs5}^UR$x((AFo{JtKq)Dt9-n}ZRB&s#J-@C`w;fChsvnZC7G5sgRR;v1)_5b zGVawB(kISYr;73ILPoo49jGjHHf`#kt1|ALcq;~aTy0TWxSjmCkQ~rhF3&V>U`|zzexiAs%``u|y%kTNsJ(mvr#_{2qIPNH?rpRWy zJca=@ogOp4wwv6YN#TUku$K#TtN8CP&tpE;P;XkQml5gF1l(ho$9=UNJJ&v;IB_xAnMFq0 z-VX_B+MQN!QE3dvLL6tXe>sC$?GW7Ue+Zl z&k3ihnCKHPY2&_If(qfBV|M7pIQ61^MVSfy+U=x@BJ%#BU(@JY#V=Z>79>1o!NhpV zN|J$m^3R)eNqqT=RruZKOQy5)D!eGC1LHDa#b$Sz!^Elv@b6N>SgIb$O+9_DBId0M zkT->Gqbt$@pwL&;DGW+JFdoijDl}27THdJ_OHgab3XlW15S-#PJ zoij9QKM2HI3})dNtfG|BQCgNhXw-PSD-d^GaUD>9ewFS;aU^lDMx$9oq5AsgZblLo zbNt`x5p^A5;O?Am%&6_Xm)j=w_)N+xSdOyHw&Se)OEIuXYoT}&a!EQ%pNA?f2vN5# za*6+Aa^E>@z+c5$M$MqO!;F>QmsS*675v7rFCGKG_v>5!vS{|PISmde6CPb!ot*nk zsb@Ws*&rilt328&r3{j`zTuD4QpjOE9VN+vu*H&eJPARoP<-u>X!gi%@O+-iz2B<& zssJjXpRY}QUlh5Q=hy1<#35P=v5NF4RBwmEt~=GCs38A$<_`rWVfRZi$VAINRf-k& zA$57^xz0oHCD-+k)jZLzJ$RM`Ww0tvkZV-B7ioH^{NO)>X2bG-x)fLUKlY@}(%E;| z=IGbE*OljrQ%Us~ciU2u=qen~q55@EemlC9W5;EuQ=B9Pl9M({Y(~YOqL9Fv0&?}d z;_xbj0gc8-3t1)X@~~ls2X%h!K+E@k5)2@GpUBoqAGyDX>Kx!etF;32uEL*tXSkuv z5>(k)_I^op8F;oouJ#i_D{bugerc=p8j4wSdMl`4oS?9hH?IqE`uM>}_npU42Hg|J z{l6HmEh@aQR$>esc@$~mk!>{%!Wz}SG&23dk=)nv1IJubTZAq#jZ3iJemvmzOD8j_ zs{J+vZ*VcJ#e7O=-j92OGRqUbc)51XnYWW1hNDvlOu{rcd@+^%R(eTJRI)O_j)RxV`(^80q9C0~1 zoe?4BUmA4kSe;pk+(6Yg$Ns;c?E2{mZzD_Z8H!E%e~)@N!FR>n?MR2^o!%?0R4Ja@ zPJM5I^Fr6TBN%O!0;e_3XRGUu%5tLw-S1+?Qk_e7ZKkr+-G-`uhMm5;+)^sK!0Hae zzq#~{(U~LYqg1Rz5&c;ejI5ZvGOFT@??s_9N7c7$6Be)iT`HiLP|DaA$##KiwHD44 zouDPDu$j2e>Lzr_fHeb6J>dB*sjX#&DZ258L~5D|xkH_!n7xiX+n+M0{m6+;EVHr~ z<0-Z(;H#Y43NsSh?pKU@IB^)BRgBj3c|GOW$tc)bmhPqFAsHTj3FwzZz)~ z0vJrwo}A=ZOol2a^ED9W2w6@3GbPeY&I%bDH;5?>0!2p{=F@Yct&gYOho;_d1tt5ZWAcx1y-e7o1MW{1e z)BzJ$b~Sd3W=Ca+!s`BcEE1nX^Z!EVCu*mo`M06Y@BHn^s3R{jOUz+euhk7Fic$fJ z?gi5ueO_Iq`&3S~SWB$&aEO)DguD5|UGL{2y?~k&PqrpAsAD zHWCBUHFt24!s-r$u^o;+)1H2Iwoa$tZ5I4Qa)R55hPI5=$nLw0e93%zlK5rtD7=|6 z@qjw;7IV9xdO-&3n+G{Mw|3~{i~QUnKf!d$k-XQdQJ+QC?ny8 z^Sa-M!@K0t4nc0Y*KZve6LDc6HQ{J-dNLRCzM%4A*&|*ni(^iT@$ef+&m82|>h{Wc zY$9AvBp$^jVbJBlXZL1~WK&(z|mrz1gF^(vANbBFk@ler z*}?`}I>*8Un0$J-Gii54mGM)rIM*A~ubJ7yR#T&Wv=1bz8`nw}p)_JXu50G7p_t1n zzwAEGfH8sF>m&Lx{!Kf-({KVZO(L>jB64FzfA!G~-kq_P;vCs7-1wo@R=%L7GlwY` zrw&R#3z1^1?D7xK`eXm3X5z zyYZV;CSBY+=Z&jQ#*rsH1~RF&^iD3~q+?w|<6pV$Ckj9Zn>?wK+c8ApLU0 zviA9#_O2EkT8BsNcNtm%&8k-2y7#t2LSCP}ar)Mp5pwKG5qh(2w-tq!%)QwE)<1DZ z;``-L*O$>4C^Z_cUddQ)odKIXt zWv4MP{fXB0lJ&vnp3JoUJ4-L5bi<8cOJtqPFGyl zM22Bmk5Zc-@*Hms6Y^% z96&djFBITI(Y2O*R{gFhUtA(nIr1KOA3#+45zNwPIjssLbtUyKq;gY#;hCWmg}J23 zF61SFJ>{CoY$(_z6zV3Dft>cTr0q%``oFSw4brdjvq8hvlx7sFiaMH8&bY|TeDFK* zk)gX8380AyqLXMf_Sj!hpmZhMtz00*EeFzesIRE_Ad`H(5%Bjw;8O|)fX+D3Gc|*L zFnG^LRSQZ^=zw~6sxr-S^W1shP((frJnKlzFC-p0tJK#(yT9!HD}Dn}835PHvyfgS>Vc@PCF`o@>4S%2AL(c;4KzjGpS+e1LhVGtk)37K z9+-(sn^(ChPh2Y^M>1xaDyrn{nDFe<6S;$&o$!GNXXt3=fW(JVKMee90kgO<3QH_y_2diyy9(v@ChGg^VGeOpBITqmS`HblhJFot4bzy7pZ=U>`rm z9MWk^l4}!`w2Bl_9o&9EW|o8hsn|=3uP+F)2(6QDK+t+DmN)+_XmTB*(Wx@TTP%vfV&!s-v2n9<-NaZVnIy$e4mkHr=7#csI*R^5cn}H+9*TTPh zzLf(r>jto-X8un?+7#gh>>$DWs5~X-609CkF)ilUe%w6&8m;gFgY16-c9`D6Meot~ zN=NbAm(H&5M%7s<+rRyH*Xci_7cY<607JwWNg^wzUyh4VDd&>90AQMS^$x#SOr)Nt zG-3Ss^*^vjK|SxVKeIGa1$w_kHea%*`#^x|M=AgHRTQ}6g^?iR5~doY}6d;9JEEV2tTWx31V%6ayttO+Gn$6Xx<` z!WSgYN?&?jlIxd%0>GE;d(yfOgopj_w=e_Jpi4v!m0y9FH?;Vr!RZ(PF%o4P2PE>q zv(-s2B;JeSVnDV{1gsll?}G50g^jQ;I+7}2FX8FutC|2UJza;YrWt@bSp!D&e;ZEr z$!jZlPJs-fZ!ys0R(ih%By~+{6P5wCy}~WNBpZN-XX;Inr3SXidy2qgEG>#c$Bi0- z_kRj!1@^KMpU>g~s2fiKjbWqs#d+aS)5YFjp7Qsof>4cj02G~$6g}lsP|w@29&;k- z9>QZ(+Mc(WP_)+x(Db%ARH~sO;*LKpmG*zucy=WCl%`jhy}>kpLERJg>%+&nHYDBX z8^GTx=yy!`kDGw_X0rk)+B0^g*$Z!fkeoP0EWpODEXvW7*I5=HWxL>liof){xNvTO zTw40#SyNdJwz&QkRyS1`sGBMbFs5AAHA~nZ8y2f!|0pH%|E}d$fj#y3n=B#ck<9RL z(QrX85X3%Uco=iAyyQA((H*_|AHjgi%G4H_`mc}7ECY`LbX8sUAc?ZC-7mB#195%# zmwMP1!o>G>|8;a#2SyB>ml1;wE*G##!dGjPQ@{l}hR)LWFH+Hqeo%rWy!l%4}0DK<2Ad4>ov=E@ANBJ+nz~DRJP#OK7<@Ud~S{YM4GIM9(>|X5Y`KG%j zDg*EOC6P}^67=Hc@TfFay_g3;dFHbt`sNFMGBFW#83>sWd)&g*N)Z_N^%Bzs^rZj# zy5zOy6g_ZeA3ijiC*M`N0)1~@G*$U}Z-};#;Ks0DHy$#-MCf_8vY(nM*U4OdP%xP{ zQ%(KHtMt5$eMQu9Bo$curUT$VDt~&7GhP5OtEpK80z*}V?iXBl7HK}3VZ)T*>O}&? zNS0of6**7?a{8HTjo#~LO7E+YCn=nO?w^tujb#7vVoGIhjd<;kj2*xXDFdluN1q|C zoGrs)3vzZMxQ4?>83ozzFQc<`Ody}n%R=+VF6I5tgQq;%^J&Da(8k?lxwvyCbd?tJ zxJ_2m2ZXPUQ-CB1Y-bNhQj2!pbi%5-1}84Dya3H5^`+H}vFF7o-v^SmK3BrW#G#%q zA@B;*1>4rqANCCaUI^DKL z2T$uaWsw_CxzzpXqL7YkVzi0uQEOXeVBXq8otXHTi+_GN8Rg3w5rZFP#qQNkpf3aE?>4U~-*qmv)K5+!#J1Y@I*-$IGaij3rWvnFu3r?F zPa!;*ESTW^e&VMS9e^`f#oS8q|9stf(so$#(rm~P%c8cca(Q^^ECvjY7jgdC^=*en zu#A8Kj#!VmWQ;DA3YOb0#fKwNJ5@f>n9Q{!>-P>%>uxWKN+#h$U4&d_+gYq**5Mm| z(IYd=v5HA0|EGs)L5`EO{Ift$osFS1%>!terzt1nMB7vj?Q`KS*zhJM7ifa~bmF^N zMelil4ngrlyb2dwmA2gm>K1s6CI!16x;9$Es-HXF1?@#Rx>pz|xu2^TNZLw?RdxtG zqTc2+%%zsH7O!<@YK#5uZ<^Wx_~6NrCuGP7uw%)5u;N~M*+u;Jj%d4CYMyv=+VAxM zWIh!-Rs5`2{~zK5<+aCO@2zb2MP9zzlV74(w$dLFcPS$SEy)>k-l!2+hZ{bk^z(R) zC>V2MZ7E=c*c@srS2KsRgg8%RYhD(#&nVO}XWj8aS3Qvj zSM+{rKjy`V;um7>pR66@?)E88#j$xS~{BCg!un2~n!uH8NIQ6^^5n9_< zmV5>+BX0`=iD42%$}C&7XiQ4CiZH-G5iXhU^iPWPpCEHr4;{U4J-dH#u72dQm-E~x zr2#ui?>E?li;qxYR~5)hKeaH{YiX8oH8RV-WumyaMbUt>XcJJ(r;Oj8!0|Aqlr4AC zq)x{#yx6ltqz$x3kfG18(7KvJH1e%MNQNxgl4hz@alqzbEsJD&7TODrhFNS9 z^Mq+xpgC50MJI9D3t$_kL5kJkk1597#c}>Bw5MF>!BCZ zpWO>+Q524sE*^42a$C%jM3r* zxt}R25DL9T92`6)w*0?mlGCLc)l^pq*8rMIDp%qQrUUki6jj$c3j zgP&k;=L-OP8@t6feAp}KFK!n`nB#>K8RDe*J!Qlp)C1S?1efF3e>7r>%Uwb_;8V6k zAkB6Iv*9ke%*50S%%-oWTRGHf6VuoTgr&8%`k{yuh(T+f8=ZaWF1Y9&%Tkx!s?t~* z(JJ`FDO!G96XS0`@OP9S>lj}a5THx7yO5(whHy>tTl75A&|{^&d(HhS{ErdLXVLWC z(B~0yG@lJ|chW>1P9BWJI{b=fz6GpztFDG#M3=eY)^FIr3=~Uf+MSIO@gdY_}{% z2=7pI!bgdIg5+2xK&g8&rSE!gALMZO-I50zfI}Y&W|(uFuOfFDE8c6;C;8M@sA$;L zV-z&4^5n?Duj||^k8_;!%1LcWw)MXq(q%bG?Cq=%#bUKMBuv4L0Y0!;9>k#A=mvQ} zZmQ7^9~>mjo|{|kVR|u`27BWB{S+bgXjVbK7}3ua-0^~V@Q(h1lq<&wStn7Z6*&@1 z2l9=H`lj5bvNLruC2IgTr3%sO%e21{gJB@CBD3W~dOv#uVdKD?g_bUN`YO6?w}jZX ztFE2gE>CrzmvI=!kyXF;&4Ibe`f7dHjJf{tinjV8{#ZO0m(!g<$U4m5SY8~^BJ@zbVDRu8IQSQ98;e# z>ETuQ>YJ-9{fKAzvOJWlBuIxLvM;AHdOaw`9)HYfCK-`vRmmdCjvY=7)x;^8LFAa} zM21TMZ4k*L`R=~_07dPqzgelm7KiI>RTi?ak?3}?O4+BD{XbWV+W6`%-v?Rh!MIK@;0d?oB4)cYr$E`V_5hXpIY5Ddnvgi&K)BY)q9mAMn(uAV<^^VO9C1o*~8Ls`1+Ydqmeq4V=L4F&vXpiK#8!E=4ob4*JdB6wotC|`^^*qI-38$BOK3rT2H<5X_+>*Ynz&8 z`t#iI!2!5`y#DdC+g`S(GG)gIQt4aDLISGl$`hcGSeq6iiGbU50JH z+v+YYR^9MI_?fRHDNUy!mFUR*J(Q|HED~C}Ka;53YpMX%U0OI>s@45VUz1oeT1g{Q zbekEh)}mjr6W5at`hNqYkOmOyl64}B>;4+dzeOf>81=})47Vb-7X9~eUu4QiJd2$2 z58UJVj5XChJaNZr+&LPMs(JU@2kS|vdnL0o|Aek8M>38I zQD2Hv$NqX4pYm$r-~`3qQYh3q6O3~`_I;R@p_xHN^lm2uqCUhsJwD|}_>@9}jka*h z{!rz{W{~==AMwcZ7E56ccluJU;7q%d7V|XnFp6b>x8PA)p?){iM+{|JbkJ=?6)Od^ z@7XAlZ`gR1o_ow+e)g<&3c?z>P$R0X<7M+3*pDlEhtRm9&mi>PFw^uklkrANqw<(p z;QPB9_94>qQlTU|qe2@JzbGi#nLX;Xm&C9wrCDP%zC&66Fy8Z}6_I!ReM<__bO6T` z#c|AG*9H-t*qnlw^=PvLsqCZaKL$x*vxamfU&EbeL?UzTnn$YLOikQ1^;!AjGGI<( zlyKUUpI5$n)jZYm45Y;3)Y*rvvBP9@Gqnft3lVcZ{NPB!d|yk{GE^`GJ1&ZM#70cY zWBf#Lfzb&;8dRelf3xq@aZ#81bkI7~pIk+zG;oc6s11|;sC_wuABU`qNXgE6-@x`e zv^<}`{lL<$iA;$;{_}L>Ez_@kG4j$K0ow+%AD&ZT1E`wGjBSgBMd8oA*L;nwc0bjB z_RO9avLrQkkL|S1`@Pa{<7_1jR-na`hNkzKVzf4~WgH0ZgBqfJcD~9k92D#xDmnTj zqKj^j`5x6tep(Knl>!mG)YC;gCN;P70Wz2W0yw|1bE7$^#BG{@A>42}cwje+uCcOG z=wzB3ha%rIBNxSDJC=|1yx-%9*1iH4G8|J`#2iYu3q2tUn=SUdb z1%+q>;VprI>iW3oaK07TqsOYVEU}dP)Cc*s56%PF^A9uV9wN*0g(q?mblj3xLM2{p z?C;Eca(uUjcSBs_2TMS7I9*+lGSfZ%Qt<5p+z`V$ErGYmzxsvoZr2~yZ%9`}*`iTG z01mi_zh&A>AuYe|FF+vskiUK1#6Ep`E#*t&=;EY{E;*QZKdkMAec)Ylm+tirSC8<0 z-rB0vQJ{K@A@ug+Oc)BDd)$PI!qVxyqdl>&K6qxRU&N!BhqXEiT}Lmp46^Mzax_-N z&qWL6b~~#~i?2Va6C!DB#QNw;hdchY%58Nv-2+8WN?n+qgW%SYNRuEF@DY$>$9GM% z@ep0)^ZPV95PusO&}dl={2tm0D;)7efdZa7jMd&RV3BzpTe{tC3_8~~um z_-njo3xMYkxMV&MIz1FZ5^gumpSbFsl)H;Lv;mEQ$7C5xc%nhPRYQAnFf2Y)$KK>H zZD}HF_TgLp5fbS@nZ|c7YVh~F&CIHllKT^ImI_HsJ$ULxA`^Z`aOV4~ha{8s{!kRY zzw+7CB@>_FJ~S-Ty9wc-u_Tkp<57P5YYDM+M%By$CU3Z~T9>~s7b*?1!OCZjnUxR< z(tD@%)UtBrOA;=5GmlQOWxklY%;dofZ}`@Yb^9EdD0N&r|QqsnZFi5>ak&;~Nl> zZ+Ec`?dFb!;jei7jcSE6^7tXmw|&A->zc#mr3Z-O8pITuz-pp^q?rV7Sj?VoQkxf% zHyN(iCR;XVxl!-vSA6cjGMmF&uR1>*F@my@n{?g-^rQk;K~SQCFfSZT9`v^*Sm0C? zJ`h=qwZ3cVl1vLXvR4s(=H(*lg1DBM$Ld-aI#DndD$K#LLi@Qe`j)4lvDW_ZIikaxZm^$;I=(Pd+Gg%W~IiaC}mEgqHgp0w~-TKJw~ z-s3e-k*Nw6Q=QpV@yyLaOGzAxYPx_Q2;(MpSr^Y<_ziC)?Iaw&OBD-pjHao*Z8%)x zhh(BRB<{cAF@3qDTe0(Ln5g16qGAgR6uPSrl@!^-I2e+AD`zG}?E3{Pc zsI!~*^^Wr}3E$2OJNtodIhhO|TNItfpdlH_RpVC(a>c*aE*fhc`#W*TMCnOU_zle& zc>7V6Ty|$JrPn!Z70ou>W%5Fj|KP&uHcBcy*IhW!Z61WzwL7SV)hf&tN%c^+ne3cR zMJojkQ|6hQ*Ua&B|E$%7W0j^IdW`4>v7MA}FPX7^ZJJQ*I0dCt zzEasfaRoah^7{FTMZR*>=T9UZBC0t1QoD9BFlPqRG+=H6bwfLbo_>j&(l87t76?|f z+%cBA6i0|&<~MmNVIH03%niwEEM0iPF1}PDeSN%%(kEP|Ry637N#h=%MlYM)jYnjz zI9Lvc0;H8UM9WB;n04g322_*pBhXL0EWadlnP89}e|4_XRVzLnA$8Thiw2b|m{9Xw zybM$#SuRn1U}jQT#*jNM7B+=V;andHo8?AuHiuS`?lV{DED3y-6!~V;i5+g@c!Bp| z0O9BfQ88g*zW~n26#9}@hFdqLDjvBlUJPQ~>rrO2!#-$dVy~##tQvgz!v0(5jo}kY z4Ku?VzQ-8*s&tJkvbM-f836|T^~!c+?RUXH7A;Z zG{g7^;q>5fNk$kM~gI-Nj218gUF=DHbiNc&2ywSHGTOGLiVaQ zLu#KEBjo*5GrPSnHP(%xgBmVaJ2lUli^uxoi$WJn(si4Ejj@G6+R541VGa5ZY zVxkL>k4$GNnYnRrmEb5GaYMm$S}kt*z#~AeUjG~(s#u9Hf?0f#3ZNYojBFcR)j`QT zsee@wxBM*f*auv#k3Zg2$Nb$hxMKL;Uj<*mo?qQ$b0RqnmU1g`Zy{!r|2IWi?n=LX z#hd8Sj?BO{v3W!vZam~DX{=eRj%s3R1ulZ%=Z#O3Ym?oUq6?uz8G-zz5GA`({We8+ zA3n85%vTZm$dNSdcGv-Hbu`xNWSRm&#*qqt8efTnW;d4dJHDzY@7U62$cZQ`TYjp{ zQMTq3L?`m;Z3p3}n!dD!SQS(zEAyhxKB)N=WCXvraz=f{X8|vjtv>-wm}_%BXfJ8f zw;M7Trq!fY))-|nL-h4^6+Wi(uf>G<#vP%RPw|Rr4*qparYKN82W%+zd+phti>;rsvqPI}fB5Poqn;?aD}FMcyG!RP5OIov{>B_rUDfC`JB?9q2!Z{s z>87}He3d>3Hy|W6mz+7#1SH}#w}H|gfEytHh!+HzJ-KcSWKI?4o8+M&Iw2 zI)0yB=qbdcUrwhHF&mU0Sb+9!kT+|?{V_o2R#Sj!9}z!G=DoTn#Z9>N%aUb zix-1ML3D75Z&BIBH7X)EE%5lN{WEJ8jb}Zk(x~6E@^o*mH;XhfpkH^!#c|hNb=yI^ zJ|JpvafMzdw{YmZO}y{(_)BY^V>w1h`mJ0vBn-L8Uf0Xul#;v}!j_J8-t5(6$UeU{ z0;OX)V=;c?IK#U?`}3N)ZmoU9y(m#2Z0*Sv*3AJg>{lryoQ7P0sAhu zjQb^TyoZD)JSZ<_^l>PAoO`+O%DILMtpD=a$|YF`!75=>?6-{>cZ9ZaG)C%{Li@pD ziU{`PoM>VBQfR6BpQmL<$jR_~$qq8HFLgMhBb6S-`m>Z&t~iK{BOZ-@E7U8QS#8ln zSfwW@lIa7YDT29w4i3TPpIW3SOe;aFqQ}am*V&$L(vH9ME48Lp{Mi!ncBSXVS z8vns4lc!`ZN04ogM*)jM+OP9}i-*2PsRGjsxz^YXw*W&6ZwrsRY%W!>66C|ffp>+v z$xr-S`V)u<@gSQWed~?cX!E+hi>!#WyXH;PkKM~a>jY-BcNVxo>PiMbU`IW;8Q*N9 znIa)IL%61PDz?+~`D>TJVXzUIPz`0^2$AkVJnu?L6AN@RnvSyKZ&(K>tKNDxiBNs* zS{iV7+R}`x#qs`FRLEkJ;{{5td@6g*C6lj*$U94YFIR_J zYTYk2UQ}DVdF!V9Wne*yg|?1H)z|nllHP<;A&4%MI(A#JqmwMoj6p;RO=*M$W@%M- zZ8sO0RoS@snA)d_72Zj*P&MLxYH+|7&y2$Ade8!5FTT+V3QrLwat#nes_;J!{H zOaYulfE{s)QD=P7Y9w_P z%>w2s%zN%qA;(&!YHUwszIi_=^?GZCC050&4_B}y;2Jwk^L^SjI#lTR+8ExjKyD!o zE|lO><@EMN&&TbX=S?6%@E$9(ZCc2xLwN5>JWH#X^O>Y!!Bo$!ks3*DX*l0^HCkrv zr|*Nr(WZ8sxhvbqmhQakK4N~GR&edJGvZkjDBX>W!1*lLc>4 zMO>y#CiS{q;-DQ7zr#Ipblv1+ke=I&^y>qWJ|QMet!`%{L1axh;qGt0b)MSlxxe`m zlM=x}J|j*J*sts$iE+4y9@FdhOS(pB;j+2K<67D?SyTlno=%@cz=&gRwmF!?W_fJA z#MmcO{IaeM_SZ3x@7E&AVebts$AU$ziE&5a>&zYBe?Vk3va{YaeN{&-1HGW?g9m-q zRqxG(v>3uf78;nvqA8jck9usoNAULyo3Gc*znlCKb>c8(pCtSRtPqM9VTcqJ3H9!5 zW(|nPg4(O?joNnoF31xD(F_-#P}fhWc!jGx*!$#;3h60UZ5y&R`%tz9sKsL2j|C6X zrtuflpPN;IL#y}4iIG(x6cAbz0y{{{d>GmHW1|WPA+qjDMhr~0nfdsO4)@1)oR}!I z8*~A^L{}15So$g9s)O>NBpSE0mVsDDZe=&;?Dp>F;!o)#oOfzZ?{2p5!H_xaZGUwb zWn&kh@36SU0hwbmUOARzFO!G0b>AxYqdX664;Lu+_qNBWg9rZhax51&lo9Su|NQBrI}vy$LLhceZ?kgWT=6Pe{yr9=TFoQ4y!&N4 zXN(NXTF_O{ldEdq?GcGNSoeu|e;`>IKOX#eT?>@gJK|5j>zC3>o9C^gNosM{lT|#J zCORX@cjt=rg-@w;xult~Y8pS~LzU~Yxs<`HOj-2}nai*_cx8W<;+8y&Iq46r4A8zI z3~z$7spH|FSs=+wDfSYZI@j>S3bmkbi^S3w26`S8qLm7*@*jF=J9h6#w|5IK-H{iW zasSbO;@_Q(lOgG2;hrH>Nn2GXNRy(RlR%A~S5PtMb1o3%f;IO9QFe(n7+A*%9+c_h z3+R@j3yH}@f$J(MVy}Pji#;M;$(ok`nlgVYv&cem`P&qyIjc^ZBvzQ)hQBN%#KJZ= zjiWAudm#WSV&L3)N`(G_d$(+R8z%$m&k4qCI5n{6&|zl*J8rN(QD7N)6AeBI-!t5s zD%e7A19Y~Cq2$DU7KxO*_| z(r?8a;0OJ)|EgWB|Lo+UWTWkHM)jDgrvc7%!x%zzwcOrdwi%9~0p)c|#l4X8RSlag zy^J!!SI~6L^iF5>YG8_PbtPE#%3Sqm8leqH>}VnMe$42*Isp-`V~)~vso10hmrdbEt3C*=sXGf{fV5ueI+Tfj%LYi2_FKKNy|aU>#AzSd(E z^6OWUu0kc@J)ZT$8U|s9O`sW$nF@-vRWi6Xg`TfnD|~i{zf%q&@Su50{%e~N#-evB zS*e4W>yHI&LM1{Zg+_*E!f%4DPrNk`g9}KLOdH@ca>L(ln_cj;dvyN(A7*Q@uFYzy z8dZB!SheH!eX(7pTkgONa}13)L&e@L2s8Ul;eWQ5;RbkR;AvAj3?5>A#mXD5?K_}e zIihe1cmeHrSeie-73NxhMLB}&l#h=r zHjsnb%t}=wJ1E}O=^x*N6PUK$$?8wq6!~vij*3rNzJQxos!U*$;Xy)P#>!G!GorY? zrIU2n1^bUtRjX}jqr!@wT+7~gr5D%pIdfhFv-9RVXAbhEo;Llb{<`#9pey3`kw;;< z?m>4u3g9Y_Epke{$3?YDL@4$z*8X%}kjWMyyF4z_AyTpdn&@QkA9`krB+2ap%&(q= z0$+3%3oGkP7NyHBT`F5QNP~K{y6eN5L)WUO@viwdID(-~E|TAElkP@HC0Hs>gq0s@ z#Qbq4>_xn8C;-*FzAkj_OS2aK7eU$0!hukNT^(hI8J)-=Xewqi(GkZ!fB0F`8+4d9 z_v>}xvAEYckwG>6F6JhJ+c8}AGDuc=@LV4=jp+43kWGoL&F!$QQ+@Y!^eNj{FaRcR zQUTcv+cp)uPKoe2?BoshQBT|F>v>+2nssFLeKPs&+ubI%llOa27#d6)at3wyz?I^K zhGpzS(Gs4oQ`;~=7_pYo6`BtoBBTZE;0{$Ujv~+UUodY9S_~sx#nVq*!X}KEJmj!2 zcqCx1MZAphNI)X{eK zAq+6FlB9V5UU3{J;;~#)`^rq|#-IM5_`5xCE1Y`2^cStOTGnBkx=)nRig%QV6zeGtKPa0J|Uh=9y{!|3qX zufW%yf3mQ?lq=OwBFnf1o(@7?mw-*gzVv-^u+-`2PCNy-kD%lu%El9UCM5P2nysyC zsH52I2xd5Fni_<=)K?pfN76>qY=31oT9qq$BdfG)=Fv{Y|T)u9Cj$&N=VjAaK-eUuD14_$H>=2d=dNpOQ zqu<@oWdf`ul^0MxKkl??+pCJ01u>>8Wq=*X>dEAvlUxoJv@sWwhU7-)lb+=%qXJ zk-aih>6d$?ZqRA0M0Fp@r5Fy40Bc6JZzzb{tqxosMI_d&2<_%Equv z4j_;1`jg{TB)+%`jUedQMBoXicQF@RJh8&ja8+39vqcN_Cj5ua#Fa^L_amqYP3jfj zj;vr^h5>|-hUNCFBwjdh@7XS1B|3#bCp`(>vKT~9w2UK&Me9wkbo*7=^oIzWpD_5A z;7xogaK~>-HET|Q!%YNh;yZ<7y}Up?SU}Q$fd1fPqj1FP1A&rzqTgtq2o}o+7>yWi zGiZt=N~bOv_blr9aSTXi4i(^cBS-q3T8NF=#W&T41s&oo2#>;kP{maiqmMJ7E(dEV z6xzz8wI&|JzgeEqa^;(RbEP(xQ33i5LN6)LQEI^a(83xSSe!zjM+2IO_J61Klp*F6 zUqy27{pVoT<_uTHf_(h+BO0g9v!zP{v@=bZFe;);w|PwS)0GT-qvWTs^!I_?insH6 z$BY>*ZXl?+!?#(9WM1)d9JHy!5XcfF6V#<>&Zm;^3;w;X4)w>5D`rCfi+V70$cf8dqNklk%Vubf19d0ubr+1U;;4TFE=-SqOkUerfYqNfE%Z4|7)(K<~mD4yZJ8WO!e}!^whJA zf&wih$!+tWH^qGgJLMIc?wW|{Xs;Pcg#S;?N(PdQ>E1#3jGiYTz`CXACc{!p& zy|cDYSoHC(=OX4ko@Ga&I~Be|`%f|p&i<%T+Hs{$)zmX^-5`Fl`-B8z&Dfp&XvV0| z%dB5xwhu@TfAqhNK?IVxcnZI$lW5$(J9sI5FBUW#oR%dsDsy<-s=8AOz7K&2(`u+{ zb!EOIs@D7Gq0orSxE!L*QNbOsD*5-3G!wx)|Eh6<(K-wr)GKOgy?dSkBbX9f&8--a z09$3TnV~6qcYRB|eKyCRxbx70>6BEC-A*8bGrvlUPQlC)FCQ{M2TUx{xn$lYHHqR* z&2jVT@3DVp{n|ELhz`3LOv-!KU5r&ZjL3XtLg%to;z+dB7lcWGp}}hVP>`WF)TW;O zEj6@_Oly|g=6bMjPUg=Tr=PX;e6m(&S(u>N3L65c1?OSlC`bC3rL~DTS9IYoGWOQ= zu;=aaR>U3V+4N@24l|*Lg0IfbgU4J`6JC`GUucd)wn402#*sfzmoE2bQ3)W1xnzF? zyU3EXwo5(x805myWfv}}cw7-sNJ&8|hRLE8rMJR?I{4k*jx@|; z)lt}__#F$6DbrA2WoBycx30{Et8YETmuK5n?437G&l%C6-zPQl-^ii<4*N#;*ctVhwtKu*wPRkC; z4BWB=KY&pYAuMyTAsbcSAm-V6@8-bT`i=`KXh2wNVIitHnoV%Y+mbZJmU67cxo7Zd_(rPTnka%1# z#>Vw0HUbX)UAHev<%3#)IEGfUquzTi*FpQIVOJ>w4z|!y(f1WJ)wWPYohvT}i(GS3 zvz$G(hJUU{U4~M+I>LO;8c&w9#A6pBQ5!vNaeZgH+ur_2w`G%DMjc&t0V{!|yueSk zn-z2O6|;U9<2ct!Ezl)vJVUr)$sx>_WoodTLW&NN9!I@omnP{LI2d^knrLI&rgF;; z?oKugm8p8 z-hWuqzDp)DJOZqM?0B7&(x3@6- zy)b12#swlyrzbgmQ`e`w;;K2TPl=bsqG=2_Nw2?p9x;~t`aAf1$;(z#-Q<|`ciCMH zw=f+|y0+lpgGmTvt@sbbLZ=@GaOvq!2{HJP6{=PFd(K8w`KJ1{?%({pEVQ=W1v~(Sm>I`3APuRy!B$)_i!gP-dqy?2ZDGTTpcF-Vk*lKg(67rARvT}fQZ1ia_$}Hd(ZK{|6j%)$sQruW$n3UTl0D5SNN<( zXs9dW?^Bkb2C58{rL!AX`o7@Ik4&9Wc(8i6XWUJ@hV*ws%fY2jyrrx%r#7F4h{}#C z_PEV&Kr*_3!0k*1tbC z)V=O*uP-uH+wb;#&>)yj#&Jcym`)>&f*s zbZRKa3J$$>77#m4+#w#zoULB#_2j*pG4SEPWM-E%9aE$KekH zEnBgZPQ!lDQO1;4S@MmgpOZnYH*c$CWkJI@HIrX4e_a&I@jIF<_?dXLw6b|w=WHR;F%cPt?l7m!6)+147+9u%B_yB{{^bdo=tde|TC95-O2V$; zLT`Hkzl0Tbt1I(Vn~Qbo2`$O{WEztWH;h79Rli@HRX+RT%mPFFo;%qbr>A;_#*Jv5 z69yY{^%_L{hd6UGbBLOmV#fZvq>A>Wd})IBup&hsH_^WHPUp+2lna}86hD@4KXV_5 zx=MH~J5@@yL_;Q@aJ=VF5N`RRYNJkCZIk-fo%dqlx<8{gSl1;;2lZx(i&)j{NPE3J zR=xJ>na`Hcj+R*u@y>3HyLziRsX7^U4z^3K?Gv-o3gD=UE4FY!-yWlJy1k1jtG9g* z5t)@QIT!nAb@^q7`RKA+_7M!aj=s%L>V%^V<Ejkt+(Ldc0G&iLb3*99`*SXDAp)?AGM>T2MPQD~VGXbdjh`-4t7Rh4z0-GMTX{ysD<024yj#NhNp#HYap;kX&cb+#H&~a7LD}Vrus-(+0t#kTL4k_;ToQR?(!M_0hFL~P$F*~5mi6# zbM*FSsNcrDEstDh)z5+%PBc1$-jY^}-VFMs`HKciYi<0}OY*_XLMb2dDsHOQW{~;t zZpDiAF0)9E8`t+#Ig5u>L>1p@5>qEBQIx0EHvLwUEIV81IdGf(+4Gt24SS2?)H_56 zP}*1p8s*>9?r4E7w^0N7)nMEAi5-i&;$AO|&j9;ftKYi6>rM@>wX~sK`C#KZ)az;` z>z%phDHZrLH^WOqleu=TvCLU-s_9z=Qct1m$!^j^j+gw}ZpR#Rn68*|GBI7*?)pe_ zi)~IiCO&h_Vp8z^FA|A$snD0SC2bXwN@i?bTW-@##}%HwLRJG&nHVvq)e8`o9=1;e}@eqvPHNqd!!{^ETpyTPy0zV{q@ ztBeg=`=!j{#?6qDs72!VZA}AC=4ylj~g1E-#JxqW|X;D~H^iJIA+9ouqT*(RdUW<|0Waa^-Pwj^HP!=oi>Bl=!9+NhypD*? z?-TrPqI&`FIgrWecupqYksdL1`!SbyGfk-Y&&&DWUWWVtBO*o-8Jr$V5`1aV8C@h` zq$esLMpp%aP^f2%yK8amOjsv9_ob(`kgb=*$8#ko-@fn5y5N|Er>89^rJCf_ikJu` zA3e)o*UP%wpT{^7LmYa4G|fYnF7g$ASX&3FC%{tqsf2stl9y6MbiMN;CAmyW61a`O z;P+}2Mgo}$BR6+w3_j$jjRC6z8mc42xJQ##Opae}=}8<-m^IfCr@-7zd6T7E=yabV zNaWRTZzk(77RMk!E@!=FB05V4=CcB@IT#W#NLGUMEpobVb8C6r#gJXX%% zHEcCj0^0`trCq7+jx`d)Rre(#C8{3&b+8Ax?Btg@ciBh2jI~6(!jDS7#CI!O(DbGt z-D29y2RFjNoxj5WO7_56aZLLgJkz15G<5oBydon^zwEUQ4yLp&M}E%>w7jL{B3Z^P zMe-d51iy~JYcJHOOLd~8?6*$F{vK>m*Du!(#h~+sA1FM)4GFw-`7`DXlp7Fyywf)c zrraoc(frpJ+epYO(8UajbpRGCR)V1HXuea_OPJC#cej$6CZMhogeCRB-aC9)zW1_G z{k^V?+6(Hz*eU-PP1vF2kH9q#6pc_+HKV#rn#Pag?(B`v?*~B7js2j3bvp_k15OTx z5cTMlN-}qOQ{~H9Ur>*_kLpfq_`ToP*e^P7aD0-bv?F9&-dx)VPBasEjq$sh%$b|0 z&(Y=-N`tHv^fYw2|l_fp)HKqk7o z2I5ie26V7?*z5i+TGjNf& z$ARODX*Np#{_Wp~0tX>%@+a5v`Hx8dkJlkZ9Ib^zQg{X#xR?LA1^Sc6;grum9L@Q! z(EQ^f{<^py@vkU6{oZ_p|9#?r@4TJI&2PhqYS!HS@9g^XQv6t%@eG+via7r-*KwKj zyC|3w|Bnnw8rdyh(Aa4fv@#*1njKc=+oIC9L-@jkz>N)(A=lxVGbOO9-w~bEMl-6} z;)j#%q-mK_KDCXYk@eZ*wKOy0y44KZ22Sn$=}-8ZpLU7kPdSdY&A%v6yZ1q=(7VLC zcgX*E95e(tuIB7fKca*NoUjmn>F+@|r6>Bc?h6@>MFG*R!qt6dU0|@-e0DV52(V=< z*t0f%OHiJ{t|I&9V|g29<%oEa_-x@Xaf(YSBTjqN{g>!KwF%Emx>e4kkJ*&g{}86A zR|YTKZ>f0WKt~wdhGZS4s;#$&o9As9w56k9zV@_UV5`z!-_&ZRLQB z34J7V8Mh*#VW;KPcMyQ6CGHyIZv3y4f!}Qqd?Rea;xmaA9>rlf4NP>k|F2R3X5j5O z?%lcbqdJLm)<5Oy?@vCvuI?HN&**}}3x)Pvq;6V00zdaq_zsu7 z0iHhl>xMsRSpa~R&PpDjM-BuwTE>6zwAX(|rT{>@C~zXi^&B`@TCY#4n4r9nZ8$`p zmRMRQy4qWIq-$UA#7Zu8JybU@UWKZ$pNzMjuj~lZuYvc&^Ry`Ui&MW@mu*R4>CPE@ zJ$piw6UYRKmH$0GAK*TU1C1j|wf!xQZs#QkNKx2JfBn6c6S2RK1z>4D@j3#H z*Sl5rQpQ^sUK8~u+x2f0m*CKqAp;hl-+|#7bh5zj)%2>It@+FPKFx!ACC+0AYlG?P3U>rH;X{2drf! zMZXeDIW!66Gi6fQHLn>o73cE-4=(!*ARLRPstet*90OJAzDwlkoY#o_@EGart2ONd zs;mZi>5H*Q_feXoDckbj6V7wM0y7kBspMt&Ez`|+&mES%Oh$E1q(Du>(UlBV@V8v{ zbh_aBD7|E|-owB4G6wwKv&;26+Vj0HQr$TZ_y)*DinE_x9cz+8G1OZ0A_&JHr2Q3% z*UkY>jn!!w)iWc1VAiZwBXY_MrBJAohYYCMNB(CRBP#HAryA%#6Y(|i>w`ADRh-&zirS!5&QQU=7n#q&CpGpEV5iv10v$(^ zmhF{%=ePY&xAa~tuVy-yZShe!wTA7;H}16faBO&c108bWqv6}aKj`NSa6khC5<8Of z@J>xMEe!e^<&Sci)fKj5lB!e(J+3D~H}}j~mZ))x*2%KQ?TqxLFY{eEFKJvaQvX!* z*ZD3}hgiz~CgStuP}N!t=4-mn=!zDwb~d5KQ~uER18`KR2AhNgr*?M!ivI?bIz5cZhyX19U5wR}%pA_0=gpIRJ>>JcMHvyw7kiLhsu&(3pY+ zzc>gro{nw#G@VQBc&Q%&pl|cntK3=^DU|sdsg|`(PoqNT>fj(t&we3k7@`?tM_4JdzS_^>If~2%4GPlTVN(vP>iKy&ZBC?F+Yw`RTCC)+XlT5BAmRG3?Y1R1fMxa9* zLZE#D#7^VGbbh}1G(fbLYA^*qfIyAmDe)%Pp(#4f3VVA%X9zo>1`B)P;xW}1puuiY zwO_-#dBUa&BYQZs_-xBDKsoeVDuTV#=JU*_W_P=1A@6E>#VacJ%q@DWL84MD^_k7b zUIFK3<0lz0;VS^CerKJh?^j;i`!C75&p3AyF^LByY86ABI3iK;2l4K+gky<$(Vm1C zYQ1CPL{0wB>r9L^9N=T|CM8jb4R4|qm4Y@R*1z1W|I-!*F0$@Q`gqZavs@|T4D-$6 zG@VYjea147RR2KS_29>HZK^i5mwJ8f`HwVI60^lfqu-`212Yr9C&JB8$nDk( zf2NBqKsn(I|J{vA7wklo>0|xg87%GX#O8aZvkzdB_GQ5yh?@?~dM-zA$H@~rAGzd? z1E^WcTFX&Q`AQ$UA^^V7J)Z5-%NdPU(M%r?o=nkqNaqu(0+51wz#S-Rq*%i5`u=Ed z#IiqQMMZP1tYL%8D#gc(!BySEk|m9AydP8tw(coZTlZ3SLCc%=mFA@pgc1a&HoOBT zOwKq#AR%Re&g`?{aL6JX9HeMGhmybCq;CBgEb(JVp2)cioq>#Z^5APmK9ibg4zsBgvY}EXj zSmN8}elmk4nr%AUd*fs9v&CCN>{X^#Ol%b!3$B-71IGd<@=j^zIq!X}vhNPQLzfsO?hUlclR;Jy;6JCf@1%-nTipi^# zdeOJa1in0)t>q}kmuB)T0USZJgdOZBUkjy^$E_NlvIL#l(62cG&Z=TN2LJ#cn3mN{ z7g7ULxS_sm;Ack8v?ghI}(ju4snvF_S z50bCS4N}uPVRo!r^%OF?Ui}&)#WA^Fo@xuxS-7#(FzYdGPb4W9HPmpn?5l4cuRu8c zI8aYf8S|g8_5b&!jK%P;Clm3Mxlpo z6%~`@GG0igOYy>MOIqG+ypuu90{m9&#V<_x%M^>z#)>7B?cQV-jD6*B9BOvsIPa!k zclt1&(YOaH$#j6?x|h55E3vA_=+t6MEj^9MOq~ywlj5_w`u54?LVb)@V{RvcLI5nD ze+u+Odb!X_jF4@MZ#wNva1f+=NNyl0jkxYpL+eC#+LlT>g-CgpL&c}7bEEZ@PB^+5 zw?|8Br);97y4LP&_amGj%kFS!nFS?H2APoKJM!`-(^MhLCu`U)%b|V0*fZ_4CNf-O z5etSfbmbNcJV|xW7iRd`QiXy|Tf#Z-Hq(h26yu6wej0QIizfq9IXzb(UE;W$!LSjs z4#;TMoq~zm&seraOJWPNGF1h`FjS(G%v82Ru(9O|=zU?^hvRNLB{Pq?|2@HLcWnf{t`qx&=qvD6S>Ki0=^|dS{cl4$XLE1NL5lJx1^Ed9U}8;~w>o(C$c* zi+E&+Wj_=NA3h4-K?y&CD1HOH7%rd)z4{KLWtx5GZd*wzu-UGBED?+?n*8=|?68H;mfysfG_Puc&7y7?dChVAukssQjrF zJi3|!1+qE(%i61HhW+I7;fObal73}%6$4sSz|8X~wWj-upm~GGEl=TzAiJk#7+7PXqv4CQdQ&SzH851JHqm$yb~k8M zXWX&ykScW`_dQ1&wp6T~|MLc~)S5(%wjMB|ji=_qd0Dm?0F^^TCPuuebOVgHjjP2K zh7Ui{e2bCT-W@lF&H*?6vz?xH!qbk|E{H^@=z`-g&&(egm@fCoc7FVDsj0`)-u6>k z41Yw7fuG2~Pl9{iczT2UcvzE689ii!v~K3Y+^_teK$(n2n$xo>b2vC4hZ5pNsFGNq z-xrHs{#*?0Qiwh<$Bhm?a?Z6Sn+ZeY5GK@;jwP;>b93Ngaw2&fy7eVtw@Dm9#w_pQ zN3Dc}WD)v+NS4(M2%EhAUUlNT{KO>@2V08OMan)bd0D80tNwZ?UZd(X(@D3YujM?F zw~H>At!$Aa=)Nw8AELKowzd>XFOl0U^7U@e4yB%frUrj#Wf3RuTd2pvx35B8DNExCI6zNwh?eiU&}LDvvyTnUAU@SmO<;&?fMG_V}ig z-n)H&6qp)w02~+Rlun`TqIq~-$$bNYup=adhZcuXa=2DaCCxC^xPn{auC8@MV#EWF!u>rBm;o4p2g(8D~ndADw z6?ch#EYLrum6^kwCoj_0ESjp;B%<*cNQiSQVKBwsiUtHFaEpiK@!%erw(m?BQ zKgyt39xV+yVFF$K_v~1jM!L|bnwt?J%+M4-m}y}0MIG@0VOMVGOx+bhlw48U-kidG zO6Pzyfo|~}K;$i2W~{Fk7m9VuG)ce|azHE*Y>9y^SIo)YC;NttUOw}Dsr(V5gUwog zeELn}GEpTnhmP^^lZu~oSM`RWpC+x*XIyJg(~mT)QfelClAV-^%Yqz>$W9lK@0Nh< z7bU&?TBXwNd^mLiT>Vcbe$;P{fQk|vkJSGGp3C~t)-?w`H7%lRWkNv1!tOZrASymQi>*zjCASbdLz3 z6|xi)OpD3zT_iHv{j^(*QWcB{Z-ELP932 zpo}QO7B@2rd_+m>`7gZjS!9%#U^hn0jfL|H0rYiTb%C~NmPVaTuW&7pkyQnAdz312I|C(`?DIJB`cgxuMeYF$ zzC#lf?IP25i_`*={syu6Eh9I~RWeHT&qP%qBf&)92Wo8`^Rb8LR(l`hG^NjmQ(KZ! zwZ{z~327tESJg7p6*MeiEJ9TgoL>p-pB)W1WqYD3`Bx9?=KW8RAMQC4Q0_NW+m_iB zi0?&!S|2Xwd6O)a{@{B;woPaQ8KJ5VJ!n-vA-%~ao((8{1sdlQbw>4sb~r%Rri4vMTiuZLlt=92E~! zDM6cVS_lwrz)}WcX47z(nV6>Ev|`y;muunBUQAVXCvhLh!T&%ng;_Yg4rF`St-_L! z-f3hw4xtco-Q%vl**YYuU3Hr)Dc1cHByIml^?rK#JI*Rx;uhF|fVM$OL)c)p+8Pht z4xmf+V&X2Tkozd*dVdg-Xm1#LR(-uRoz1-)oiH-KcB?9?IK94#Y6Dw-RB$9-`n}_8 z35yUEcROo*+|bAV=v$)tFF4Psi=}R2dcFOcyWDd)e3$onAckXBM!WXJ`)lcGm8Z+O zFXuffo-}tWldL_O7Xc+MpKpjJO^F__qGuw7s!aPJW#*RKAJSp7DMzsgJ5a_~zycn2 z4aubfpQq^C*`j^z?as^Re5C)>7C?E>7~((^J+0rjRi(X1um3`2_~hVVD21aAq1uCIA(QAC9OWntEm+&d^_6HCF=el2SvuaV(6x7c7l7g~mB=3NoI@FM z$I@aFwC$QHN(G!>%`QGwWt&JTLPq6X&HCof!9Iwq<;Uw~9N}8)`F2W}myNz4)=fs4 zhO<43tP1*Yb_S4d~_d!>P#opc{j=8%Q1GCjlNng=XRPeCgt$lYxyULjRu*b{7 zp}631v59EpX`mHV2tysgG+bMGNTY`6M7-)Dq^4h(h9cLilhFzSQ~v0OqT$XN5eQx3 z!ejhQ)8QVuG+naC)pZN?*)3T|w`V~5bW=`>bJtt)es%i4bx0I>V41-S0`q`T9liV7 zb`w6v%_JXYA)y=G*G&la%A5kXlFkzC(l_;=^ZO)-#HqR#B91nB&FioycA=xUF|^4tj)e$ZV7V-dC}ODG%Hn@!m>R|JfNE; z^yfoTI4k$P&U!PdtYCMzqzm$X=518LArWO)h0|)f)vu^3XYwpPR;93DR~qWAaI#AF zLb;|Eu}9|5=K2NqF2J$thS}*;pN8#Nja9F24DU0S=?i{KFkb_ywu7=!bE4YVD>0Bx zBxlvlBbLtj&m-urg3C6+{~olkHVxA6Ag9u;=@ze(bZK9ix8B^u$`i)QI|IDj^(xtS z=J;~312U(##h@2KfWp`L$%jeb>EIm%2;k-UZo>Y>ps7wJZ0EGKfZNu-ddlc7vxHv@@QRQ zypULnz*8C}NwQKBSN@jrFT4?zAn*IN3s=}yt^6L*nQ(OT{CFu$O1v__)t}@b#$su4 z@6)SO($hA?x49F*J_xz~MSaBQX`Tkr-x-C!cgWR@i6Lh+c!2|{hTiMG51{d*u=JH= zyAZpyCXRPWOKchIAMkZuSbjGSs<=35MF`QUA2%J+nSK`i}YKg)Z!S?a5Ei!T0n8*@Y?;(b_}Qs zcBh(0>uct5L{ya#SMC(m_89cm#ArpB>7~Zk+Dyxq1>NjjitD6R_>I-x6}*UndoOhy zDSK*aKnfxS?PMCo?{Y820O#yt}u8%qed_yw-_J2qM z^H}0CJ7N4k+uv$XRg)&skryny5Na{`7&PsCcYN7Din4RW^Kf*_Q*%3^;AL7cB(K?0 zVe8mG@0EgV$2>p2l3wJZ*me1yu2&Kw=`VuzV_u7m*c&2Zg^>C0-s}`ctu(A;DR_l0 zh_u#N^w#9FB(L3wXg@oim(iO;M6*qq-2NXb))Ys(g79;*4FG}khlVGsZ;+)@+dRUq zRk4S?V*9l#MQ$}hhWhF0csw5vAe{m7A)_$%RbMYbv>ga;v6;Pn0m(@t<*;SATK0w| zI&Cre%S<2Q8|yjy-X1TA+USYKlCU&jEhV&n4#*-aKOXg`r%tk;Z$JEN5%;s)C5*rl z=TfgeTT16dw%hQN#Qiz^Es?pDlHOE5}9sFRKyQ^LSwr zLVnSD+)=y42K~=LAHISNxc4>!hyP1g73&GwoWk@HSyxgAjlDU@{vOaqy##9*BBMHr zf2`3Nza~)mG#o>tWS-hdcBMF)tGJ1PaqU4vEcug;gDdMQ(by`2@HU`=FctUiT;Y3S z;&j0pDR5j0up$8*WHnLN*Se4b0)*;PhfnK9b@fjm)qpCtQ2oUo0Id8n7cl2%*94TM z_TNM%&B=2gTSh&%as6|coNt2#cBw&6(wrzl2u=%d4n`P-X%z|7{xX_@Yh;(VU1#Pg zs21zpp4RaFJ<0yFlNjAbPo>9|X(v&7_NP<+UmrbClSLyUP?YP+xY(a5f&XS=j0H*J za2y5cEw1+|A| Ia>lR!KOJmCzW@LL literal 0 HcmV?d00001 diff --git a/ui-tests/tests/vwc-text/index.js b/ui-tests/tests/vwc-text/index.js new file mode 100644 index 000000000..060692a0e --- /dev/null +++ b/ui-tests/tests/vwc-text/index.js @@ -0,0 +1,32 @@ +import '@vonage/vwc-text'; + + +export async function createElementVariations(wrapper) { + const textElementWrapper = document.createElement('div'); + textElementWrapper.innerHTML = ` + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + The quick brown fox jumps over the lazy dog + `; + wrapper.appendChild(textElementWrapper); +} + + diff --git a/yarn.lock b/yarn.lock index 638d329a9..a60dda6ce 100644 --- a/yarn.lock +++ b/yarn.lock @@ -17884,18 +17884,18 @@ strong-log-transformer@^2.0.0: minimist "^1.2.0" through "^2.3.4" -style-dictionary@^2.10.3: - version "2.10.3" - resolved "https://vonagecc.jfrog.io/vonagecc/api/npm/npm/style-dictionary/-/style-dictionary-2.10.3.tgz#7c89f8020524172df6e534ab4217f6923e1daf7b" - integrity sha1-fIn4AgUkFy325TSrQhf2kj4dr3s= +style-dictionary@^3.0.1: + version "3.0.1" + resolved "https://registry.yarnpkg.com/style-dictionary/-/style-dictionary-3.0.1.tgz#ce083c42891c65bffdf9cfff075306f6cefee3d0" + integrity sha512-t6FzcaIY9gCTDufFMP3sUPMqHAA6vW0sHY7p39ckox8y5X8y/0xKbH3Y88QBJN4hKYWuejSLpDf48EVeA0LTiQ== dependencies: chalk "^4.0.0" + change-case "^4.1.2" commander "^5.1.0" fs-extra "^8.1.0" glob "^7.1.6" json5 "^2.1.3" lodash "^4.17.15" - resolve-cwd "^3.0.0" tinycolor2 "^1.4.1" style-loader@^1.3.0: