diff --git a/amaranth/back/cxxrtl.py b/amaranth/back/cxxrtl.py index 0fa657b0a..725bda48a 100644 --- a/amaranth/back/cxxrtl.py +++ b/amaranth/back/cxxrtl.py @@ -23,8 +23,8 @@ def _convert_rtlil_text(rtlil_text, black_boxes, *, src_loc_at=0): script = [] if black_boxes is not None: for box_name, box_source in black_boxes.items(): - script.append(f"read_ilang <= (0, 40)) script = [] - script.append(f"read_ilang <`` Yosys command. - * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script. + * ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script. * ``script_after_synth``: inserts commands after ``synth_`` in Yosys script. * ``yosys_opts``: adds extra options for ``yosys``. * ``nextpnr_opts``: adds extra options for ``nextpnr-``. @@ -348,7 +348,7 @@ class LatticePlatform(TemplatedPlatform): * ``verbose``: enables logging of informational messages to standard error. * ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command. * ``synth_opts``: adds options for ``synth_nexus`` Yosys command. - * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script. + * ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script. * ``script_after_synth``: inserts commands after ``synth_nexus`` in Yosys script. * ``yosys_opts``: adds extra options for ``yosys``. * ``nextpnr_opts``: adds extra options for ``nextpnr-nexus``. @@ -474,9 +474,9 @@ class LatticePlatform(TemplatedPlatform): read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} {% for file in platform.iter_files(".il") -%} - read_ilang {{file}} + read_rtlil {{file}} {% endfor %} - read_ilang {{name}}.il + read_rtlil {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} {% if platform.family == "ecp5" %} synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}} @@ -566,9 +566,9 @@ class LatticePlatform(TemplatedPlatform): read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} {% for file in platform.iter_files(".il") -%} - read_ilang {{file}} + read_rtlil {{file}} {% endfor %} - read_ilang {{name}}.il + read_rtlil {{name}}.il delete w:$verilog_initial_trigger {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} synth_nexus {{get_override("synth_opts")|options}} -top {{name}} diff --git a/amaranth/vendor/_siliconblue.py b/amaranth/vendor/_siliconblue.py index bd5f99855..db71f143a 100644 --- a/amaranth/vendor/_siliconblue.py +++ b/amaranth/vendor/_siliconblue.py @@ -26,7 +26,7 @@ class SiliconBluePlatform(TemplatedPlatform): * ``verbose``: enables logging of informational messages to standard error. * ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command. * ``synth_opts``: adds options for ``synth_ice40`` Yosys command. - * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script. + * ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script. * ``script_after_synth``: inserts commands after ``synth_ice40`` in Yosys script. * ``yosys_opts``: adds extra options for ``yosys``. * ``nextpnr_opts``: adds extra options for ``nextpnr-ice40``. @@ -121,9 +121,9 @@ class SiliconBluePlatform(TemplatedPlatform): read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}} {% endfor %} {% for file in platform.iter_files(".il") -%} - read_ilang {{file}} + read_rtlil {{file}} {% endfor %} - read_ilang {{name}}.il + read_rtlil {{name}}.il {{get_override("script_after_read")|default("# (script_after_read placeholder)")}} synth_ice40 {{get_override("synth_opts")|options}} -top {{name}} {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}} diff --git a/tests/utils.py b/tests/utils.py index 5509b37fb..79f8f081b 100644 --- a/tests/utils.py +++ b/tests/utils.py @@ -104,7 +104,7 @@ def assertFormal(self, spec, ports=None, mode="bmc", depth=1): smtbmc [script] - read_ilang top.il + read_rtlil top.il prep {script}