Skip to content

Commit

Permalink
Add AffectsChiselPrefix tests to PrefixSpec (#2693)
Browse files Browse the repository at this point in the history
(cherry picked from commit 1a23b42)
  • Loading branch information
jared-barocsi authored and mergify[bot] committed Aug 23, 2022
1 parent 16dfc84 commit 0ad1919
Showing 1 changed file with 24 additions and 0 deletions.
24 changes: 24 additions & 0 deletions src/test/scala/chiselTests/naming/PrefixSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,7 @@ import chisel3.stage.ChiselStage
import chisel3.aop.Select
import chisel3.experimental.{dump, noPrefix, prefix, treedump}
import chiselTests.{ChiselPropSpec, Utils}
import chisel3.experimental.AffectsChiselPrefix

class PrefixSpec extends ChiselPropSpec with Utils {
implicit val minimumMajorVersion: Int = 12
Expand Down Expand Up @@ -497,4 +498,27 @@ class PrefixSpec extends ChiselPropSpec with Utils {
Select.wires(top).map(_.instanceName) should be(List("a_b_c_d"))
}
}

property("Prefixing of AffectsChiselPrefix objects should work") {
class NotAData extends AffectsChiselPrefix {
val value = Wire(UInt(3.W))
}
class NotADataUnprefixed {
val value = Wire(UInt(3.W))
}
class Test extends Module {
{
val nonData = new NotAData
// Instance name of nonData.value should be nonData_value
nonData.value := RegNext(3.U)

val nonData2 = new NotADataUnprefixed
// Instance name of nonData2.value should be value
nonData2.value := RegNext(3.U)
}
}
aspectTest(() => new Test) { top: Test =>
Select.wires(top).map(_.instanceName) should be(List("nonData_value", "value"))
}
}
}

0 comments on commit 0ad1919

Please sign in to comment.