diff --git a/src/test/scala/chiselTests/VerificationSpec.scala b/src/test/scala/chiselTests/VerificationSpec.scala index e535f8d9c05..edcfa37ccbc 100644 --- a/src/test/scala/chiselTests/VerificationSpec.scala +++ b/src/test/scala/chiselTests/VerificationSpec.scala @@ -41,7 +41,7 @@ class VerificationSpec extends ChiselPropSpec with Matchers { assertContains(lines, "node _T_6 = eq(reset, UInt<1>(0h0))") assertContains( lines, - "intrinsic(circt_chisel_ifelsefatal, clock, _T_5, _T_6, io.in, io.out)" + """intrinsic(circt_chisel_ifelsefatal, clock, _T_5, _T_6, io.in, io.out)""" ) }