From f5506682d9dde088500f0b98cc447adaba0bf0e6 Mon Sep 17 00:00:00 2001 From: Damien Pretet Date: Sun, 26 Mar 2023 19:51:56 +0200 Subject: [PATCH] 2023 clean-up and update --- .github/workflows/ci.yaml | 22 + .gitignore | 3 + README.md | 21 +- async_fifo.core | 3 - doc/architecture.rst | 0 doc/release.rst | 0 doc/testplan.rst | 31 +- flow.sh | 167 + rtl/async_bidir_fifo.list | 8 + {src/vlog => rtl}/async_bidir_fifo.v | 0 rtl/async_bidir_ramif_fifo.list | 8 + {src/vlog => rtl}/async_bidir_ramif_fifo.v | 0 rtl/async_fifo.list | 9 + {src/vlog => rtl}/async_fifo.v | 55 +- src/vlog/fifo_2mem.v => rtl/fifomem.v | 17 +- {src/vlog => rtl}/fifomem_dp.v | 0 {src/vlog => rtl}/rptr_empty.v | 39 +- rtl/sync_ptr.v | 30 + rtl/sync_r2w.v | 31 + rtl/sync_w2r.v | 31 + rtl/wptr_full.v | 65 + script/setup.sh | 21 + sim/{test => }/Makefile | 7 +- sim/{test => }/async_fifo_unit_test.sv | 32 +- sim/files.f | 6 + sim/{test => }/svut_h.sv | 37 +- sim/test/files.f | 6 - sim/{test => }/wave.gtkw | 0 src/vlog/sync_ptr.v | 43 - src/vlog/sync_r2w.v | 44 - src/vlog/sync_w2r.v | 44 - src/vlog/wptr_full.v | 80 - syn/cmos.lib | 55 + syn/fifo.ys | 26 + syn/syn_asic.sh | 25 + syn/vsclib013.lib | 99000 +++++++++++++++++++ 36 files changed, 99616 insertions(+), 350 deletions(-) create mode 100644 .github/workflows/ci.yaml delete mode 100644 doc/architecture.rst delete mode 100644 doc/release.rst create mode 100755 flow.sh create mode 100644 rtl/async_bidir_fifo.list rename {src/vlog => rtl}/async_bidir_fifo.v (100%) create mode 100644 rtl/async_bidir_ramif_fifo.list rename {src/vlog => rtl}/async_bidir_ramif_fifo.v (100%) create mode 100644 rtl/async_fifo.list rename {src/vlog => rtl}/async_fifo.v (50%) rename src/vlog/fifo_2mem.v => rtl/fifomem.v (62%) rename {src/vlog => rtl}/fifomem_dp.v (100%) rename {src/vlog => rtl}/rptr_empty.v (64%) create mode 100644 rtl/sync_ptr.v create mode 100644 rtl/sync_r2w.v create mode 100644 rtl/sync_w2r.v create mode 100644 rtl/wptr_full.v create mode 100755 script/setup.sh rename sim/{test => }/Makefile (53%) rename sim/{test => }/async_fifo_unit_test.sv (92%) create mode 100644 sim/files.f rename sim/{test => }/svut_h.sv (84%) delete mode 100644 sim/test/files.f rename sim/{test => }/wave.gtkw (100%) delete mode 100644 src/vlog/sync_ptr.v delete mode 100644 src/vlog/sync_r2w.v delete mode 100644 src/vlog/sync_w2r.v delete mode 100644 src/vlog/wptr_full.v create mode 100644 syn/cmos.lib create mode 100644 syn/fifo.ys create mode 100755 syn/syn_asic.sh create mode 100644 syn/vsclib013.lib diff --git a/.github/workflows/ci.yaml b/.github/workflows/ci.yaml new file mode 100644 index 0000000..fc8be69 --- /dev/null +++ b/.github/workflows/ci.yaml @@ -0,0 +1,22 @@ +name: Async_FIFO +on: [push] +jobs: + Lint: + runs-on: macos-latest + steps: + - uses: actions/checkout@v2 + - run: brew install verilator + - run: ./flow.sh lint + Simulation: + runs-on: macos-latest + steps: + - uses: actions/checkout@v2 + - run: brew install verilator + - run: brew install icarus-verilog + - run: ./flow.sh sim + Synthesis: + runs-on: macos-latest + steps: + - uses: actions/checkout@v2 + - run: brew install yosys + - run: ./flow.sh syn diff --git a/.gitignore b/.gitignore index 513d247..6a859ed 100644 --- a/.gitignore +++ b/.gitignore @@ -3,3 +3,6 @@ *.lxt .DS_Store tags +lint.log script src +async_fifo_syn.v +lint.log diff --git a/README.md b/README.md index 3ff81cb..29d53dc 100644 --- a/README.md +++ b/README.md @@ -1,5 +1,6 @@ # Asynchronous dual clock FIFO +![CI](https://github.com/dpretet/async_fifo/actions/workflows/ci.yaml/badge.svg?branch=master) [![GitHub issues](https://img.shields.io/github/issues/dpretet/async_fifo)](https://github.com/dpretet/async_fifo/issues) [![GitHub forks](https://img.shields.io/github/forks/dpretet/async_fifo)](https://github.com/dpretet/async_fifo/network) [![GitHub stars](https://img.shields.io/github/stars/dpretet/async_fifo)](https://github.com/dpretet/async_fifo/stargazers) @@ -18,10 +19,24 @@ Design](http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_FIFO1.pdf). The simulation testcases available use [Icarus Verilog](http://iverilog.icarus.com) and [SVUT](https://github.com/dpretet/svut) tool to run the tests. -# Documentation +The FIFO is fully functional and used in many successful project + +# Usage + +RTL sources are present in RTL folder under three flavors: +- `rtl/async_fifo.v`: a basic asynchronous dual-clock FIFO +- `rtl/async_bidir_fifo.v`: two instance of the first one into a single top level for full-duplex channel +- `rtl/async_bidir_ramif_fifo.v`: same than previous but with external RAM + +The three FIFOs have a list file to get the associated fileset. + +The testbench in `sim/` provides an example about the instance and the configuration. + +All three top levels have the same parameters: +- `DSIZE`: the size in bits of the datapath +- `ASIZE`: the size in bits of the internal RAM address bus. This implies the FIFO can be configured only with power of 2 depth +- `FALLTHROUGH`: allow to reduce the inner latency and propagate faster the data through the FIFO -* [specification](doc/specification.rst) -* [testplan](doc/testplan.rst) # License diff --git a/async_fifo.core b/async_fifo.core index 1895686..171a888 100644 --- a/async_fifo.core +++ b/async_fifo.core @@ -5,14 +5,11 @@ name : ::async_fifo:1.1.0-r1 filesets: rtl: files: - - src/vlog/fifomem_dp.v - src/vlog/sync_r2w.v - - src/vlog/async_bidir_fifo.v - src/vlog/rptr_empty.v - src/vlog/sync_w2r.v - src/vlog/wptr_full.v - src/vlog/fifo_2mem.v - - src/vlog/async_bidir_ramif_fifo.v - src/vlog/async_fifo.v - src/vlog/sync_ptr.v file_type : verilogSource diff --git a/doc/architecture.rst b/doc/architecture.rst deleted file mode 100644 index e69de29..0000000 diff --git a/doc/release.rst b/doc/release.rst deleted file mode 100644 index e69de29..0000000 diff --git a/doc/testplan.rst b/doc/testplan.rst index 937264b..ce2aed1 100644 --- a/doc/testplan.rst +++ b/doc/testplan.rst @@ -13,14 +13,12 @@ A first focus is put in write vs read frequency relation: 1. Test the same clock frequency on both sides. Phases can be equal or not. 2. Test slower clock on write side - - * clock can be close to read frequency, but slower - * clock can be very regarding read frequency + - clock can be close to read frequency, but slower + - clock can be very regarding read frequency 3. Test higher clock on write side - - * clock can be close to read frequency, but higher - * clock can be very high regarding read frequency + - clock can be close to read frequency, but higher + - clock can be very high regarding read frequency Read/Write enable control assertion ----------------------------------- @@ -28,24 +26,21 @@ Read/Write enable control assertion A second focus is put on read/write enable assertion: 1. Read enable is always enable, unless empty = 1 - - * Write enable is always asserted, data are not corrupted - * Write enable can be occasionaly asserted, data are not corrupted + - Write enable is always asserted, data are not corrupted + - Write enable can be occasionaly asserted, data are not corrupted 2. Write enable is always enable, unless full = 1 - - * Read enable is always asserted, data are not corrupted - * Read enable can be occasionaly asserted, data are not corrupted + - Read enable is always asserted, data are not corrupted + - Read enable can be occasionaly asserted, data are not corrupted 3. Read and Write enable can be occasionaly asserted - - * Assertion frequency (either read or write) is periodic (1/2, 1/3, 1/2, ...) - * Assertion frequecy is (pseudo) random + - Assertion frequency (either read or write) is periodic (1/2, 1/3, 1/2, ...) + - Assertion frequecy is (pseudo) random Test coverage ------------- -To ensure a wide feature feature coverage is performed, both clock frequency scale and -read/write enable assertions have to be tested together. Big range over higher frequency -scale factor doesn't have to considered. Only few conbinations can be tested for +To ensure a wide feature feature coverage is performed, both clock frequency scale and +read/write enable assertions have to be tested together. Big range over higher frequency +scale factor doesn't have to considered. Only few combinations can be tested for good confidence on the IP behavior. diff --git a/flow.sh b/flow.sh new file mode 100755 index 0000000..8a441ca --- /dev/null +++ b/flow.sh @@ -0,0 +1,167 @@ +#!/usr/bin/env bash + +# -e: exit if one command fails +# -u: treat unset variable as an error +# -f: disable filename expansion upon seeing *, ?, ... +# -o pipefail: causes a pipeline to fail if any command fails +set -e -o pipefail + +# Current script path; doesn't support symlink +FIFO_DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )" + + +# Bash color codes +Red='\033[0;31m' +Green='\033[0;32m' +Yellow='\033[0;33m' +Blue='\033[0;34m' +# Reset +NC='\033[0m' + +function printerror { + echo -e "${Red}ERROR: ${1}${NC}" +} + +function printwarning { + echo -e "${Yellow}WARNING: ${1}${NC}" +} + +function printinfo { + echo -e "${Blue}INFO: ${1}${NC}" +} + +function printsuccess { + echo -e "${Green}SUCCESS: ${1}${NC}" +} + +help() { + echo -e "${Blue}" + echo "" + echo "NAME" + echo "" + echo " Async FIFO Flow" + echo "" + echo "SYNOPSIS" + echo "" + echo " ./flow.sh -h" + echo "" + echo " ./flow.sh help" + echo "" + echo " ./flow.sh syn" + echo "" + echo " ./flow.sh sim" + echo "" + echo "DESCRIPTION" + echo "" + echo " This flow handles the different operations available" + echo "" + echo " ./flow.sh help|-h" + echo "" + echo " Print the help menu" + echo "" + echo " ./flow.sh syn" + echo "" + echo " Launch the synthesis script relying on Yosys" + echo "" + echo " ./flow.sh sim" + echo -e "${NC}" +} + + +run_sims() { + printinfo "Start simulation" + cd "$FIFO_DIR"/sim + svutRun -f files.f -test async_fifo_unit_test.sv -sim icarus + return $? +} + +run_syn() { + printinfo "Start synthesis" + cd "$FIFO_DIR/syn" + ./syn_asic.sh + return $? +} + + +run_lint() { + set +e + + printinfo "Start lint" + verilator --lint-only +1800-2017ext+sv \ + -Wall -Wpedantic \ + -Wno-VARHIDDEN \ + -Wno-PINCONNECTEMPTY \ + -Wno-PINMISSING \ + ./rtl/async_fifo.v \ + ./rtl/fifomem.v \ + ./rtl/rptr_empty.v \ + ./rtl/sync_r2w.v \ + ./rtl/sync_w2r.v \ + ./rtl/wptr_full.v \ + --top-module async_fifo 2> lint.log + + set -e + + ec=$(grep -c "%Error:" lint.log) + + if [[ $ec -gt 1 ]]; then + printerror "Lint failed, check ./lint.log for further details" + return 1 + else + printsuccess "Lint ran successfully" + return 0 + fi + +} + +check_setup() { + + source script/setup.sh + + if [[ ! $(type iverilog) ]]; then + printerror "Icarus-Verilog is not installed" + exit 1 + fi + if [[ ! $(type verilator) ]]; then + printerror "Verilator is not installed" + exit 1 + fi +} + + +main() { + + echo "" + printinfo "Start Aync FIFO Flow" + + # If no argument provided, preint help and exit + if [[ $# -eq 0 ]]; then + help + exit 1 + fi + + # Print help + if [[ $1 == "-h" || $1 == "help" ]]; then + help + exit 0 + fi + + if [[ $1 == "lint" ]]; then + run_lint + exit $? + fi + + if [[ $1 == "sim" ]]; then + check_setup + run_sims + exit $? + fi + + if [[ $1 == "syn" ]]; then + run_syn + return $? + fi +} + + +main "$@" diff --git a/rtl/async_bidir_fifo.list b/rtl/async_bidir_fifo.list new file mode 100644 index 0000000..77eac25 --- /dev/null +++ b/rtl/async_bidir_fifo.list @@ -0,0 +1,8 @@ +async_bidir_fifo.v +fifomem.v +fifomem_dp.v +rptr_empty.v +sync_ptr.v +sync_r2w.v +sync_w2r.v +wptr_full.v diff --git a/src/vlog/async_bidir_fifo.v b/rtl/async_bidir_fifo.v similarity index 100% rename from src/vlog/async_bidir_fifo.v rename to rtl/async_bidir_fifo.v diff --git a/rtl/async_bidir_ramif_fifo.list b/rtl/async_bidir_ramif_fifo.list new file mode 100644 index 0000000..f9beac4 --- /dev/null +++ b/rtl/async_bidir_ramif_fifo.list @@ -0,0 +1,8 @@ +async_bidir_ramif_fifo.v +fifomem.v +fifomem_dp.v +rptr_empty.v +sync_ptr.v +sync_r2w.v +sync_w2r.v +wptr_full.v diff --git a/src/vlog/async_bidir_ramif_fifo.v b/rtl/async_bidir_ramif_fifo.v similarity index 100% rename from src/vlog/async_bidir_ramif_fifo.v rename to rtl/async_bidir_ramif_fifo.v diff --git a/rtl/async_fifo.list b/rtl/async_fifo.list new file mode 100644 index 0000000..7167c4f --- /dev/null +++ b/rtl/async_fifo.list @@ -0,0 +1,9 @@ +async_fifo.v +fifomem.v +fifomem_dp.v +hdl.list +rptr_empty.v +sync_ptr.v +sync_r2w.v +sync_w2r.v +wptr_full.v diff --git a/src/vlog/async_fifo.v b/rtl/async_fifo.v similarity index 50% rename from src/vlog/async_fifo.v rename to rtl/async_fifo.v index 4c5cfbe..77661e3 100644 --- a/src/vlog/async_fifo.v +++ b/rtl/async_fifo.v @@ -1,18 +1,5 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php `timescale 1 ns / 1 ps `default_nettype none @@ -20,27 +7,27 @@ module async_fifo #( - parameter DSIZE = 8, - parameter ASIZE = 4, - parameter FALLTHROUGH = "TRUE" // First word fall-through + parameter DSIZE = 8, + parameter ASIZE = 4, + parameter FALLTHROUGH = "TRUE" // First word fall-through without latency )( - input wire wclk, - input wire wrst_n, - input wire winc, - input wire [DSIZE-1:0] wdata, - output wire wfull, - output wire awfull, - input wire rclk, - input wire rrst_n, - input wire rinc, - output wire [DSIZE-1:0] rdata, - output wire rempty, - output wire arempty + input wire wclk, + input wire wrst_n, + input wire winc, + input wire [DSIZE-1:0] wdata, + output wire wfull, + output wire awfull, + input wire rclk, + input wire rrst_n, + input wire rinc, + output wire [DSIZE-1:0] rdata, + output wire rempty, + output wire arempty ); wire [ASIZE-1:0] waddr, raddr; - wire [ ASIZE:0] wptr, rptr, wq2_rptr, rq2_wptr; - + wire [ASIZE :0] wptr, rptr, wq2_rptr, rq2_wptr; + // The module synchronizing the read point // from read to write domain sync_r2w @@ -62,7 +49,7 @@ module async_fifo .rclk (rclk), .rrst_n (rrst_n) ); - + // The module handling the write requests wptr_full #(ASIZE) @@ -77,7 +64,7 @@ module async_fifo .wrst_n (wrst_n) ); - // The DC-RAM + // The DC-RAM fifomem #(DSIZE, ASIZE, FALLTHROUGH) fifomem ( diff --git a/src/vlog/fifo_2mem.v b/rtl/fifomem.v similarity index 62% rename from src/vlog/fifo_2mem.v rename to rtl/fifomem.v index e23eb3a..e69d9d1 100644 --- a/src/vlog/fifo_2mem.v +++ b/rtl/fifomem.v @@ -1,18 +1,5 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php `timescale 1 ns / 1 ps `default_nettype none diff --git a/src/vlog/fifomem_dp.v b/rtl/fifomem_dp.v similarity index 100% rename from src/vlog/fifomem_dp.v rename to rtl/fifomem_dp.v diff --git a/src/vlog/rptr_empty.v b/rtl/rptr_empty.v similarity index 64% rename from src/vlog/rptr_empty.v rename to rtl/rptr_empty.v index 316fda4..17269c5 100644 --- a/src/vlog/rptr_empty.v +++ b/rtl/rptr_empty.v @@ -1,24 +1,11 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php `timescale 1 ns / 1 ps `default_nettype none -module rptr_empty - +module rptr_empty + #( parameter ADDRSIZE = 4 )( @@ -31,7 +18,7 @@ module rptr_empty output wire [ADDRSIZE-1:0] raddr, output reg [ADDRSIZE :0] rptr ); - + reg [ADDRSIZE:0] rbin; wire [ADDRSIZE:0] rgraynext, rbinnext, rgraynextm1; wire arempty_val, rempty_val; @@ -41,25 +28,25 @@ module rptr_empty //------------------- always @(posedge rclk or negedge rrst_n) begin - if (!rrst_n) + if (!rrst_n) {rbin, rptr} <= 0; - else + else {rbin, rptr} <= {rbinnext, rgraynext}; end - + // Memory read-address pointer (okay to use binary to address memory) assign raddr = rbin[ADDRSIZE-1:0]; assign rbinnext = rbin + (rinc & ~rempty); assign rgraynext = (rbinnext >> 1) ^ rbinnext; assign rgraynextm1 = ((rbinnext + 1'b1) >> 1) ^ (rbinnext + 1'b1); - - //--------------------------------------------------------------- - // FIFO empty when the next rptr == synchronized wptr or on reset - //--------------------------------------------------------------- + + //--------------------------------------------------------------- + // FIFO empty when the next rptr == synchronized wptr or on reset + //--------------------------------------------------------------- assign rempty_val = (rgraynext == rq2_wptr); assign arempty_val = (rgraynextm1 == rq2_wptr); - + always @ (posedge rclk or negedge rrst_n) begin if (!rrst_n) begin diff --git a/rtl/sync_ptr.v b/rtl/sync_ptr.v new file mode 100644 index 0000000..416bbb8 --- /dev/null +++ b/rtl/sync_ptr.v @@ -0,0 +1,30 @@ +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php + +`timescale 1 ns / 1 ps +`default_nettype none + +module sync_ptr + + #( + parameter ASIZE = 4 + )( + input wire dest_clk, + input wire dest_rst_n, + input wire [ASIZE:0] src_ptr, + output reg [ASIZE:0] dest_ptr + ); + + reg [ASIZE:0] ptr_x; + + always @(posedge dest_clk or negedge dest_rst_n) begin + + if (!dest_rst_n) + {dest_ptr,ptr_x} <= 0; + else + {dest_ptr,ptr_x} <= {ptr_x,src_ptr}; + end + +endmodule + +`resetall diff --git a/rtl/sync_r2w.v b/rtl/sync_r2w.v new file mode 100644 index 0000000..05d65fe --- /dev/null +++ b/rtl/sync_r2w.v @@ -0,0 +1,31 @@ +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php + +`timescale 1 ns / 1 ps +`default_nettype none + +module sync_r2w + + #( + parameter ASIZE = 4 + )( + input wire wclk, + input wire wrst_n, + input wire [ASIZE:0] rptr, + output reg [ASIZE:0] wq2_rptr + ); + + reg [ASIZE:0] wq1_rptr; + + always @(posedge wclk or negedge wrst_n) begin + + if (!wrst_n) + {wq2_rptr,wq1_rptr} <= 0; + else + {wq2_rptr,wq1_rptr} <= {wq1_rptr,rptr}; + + end + +endmodule + +`resetall diff --git a/rtl/sync_w2r.v b/rtl/sync_w2r.v new file mode 100644 index 0000000..396250b --- /dev/null +++ b/rtl/sync_w2r.v @@ -0,0 +1,31 @@ +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php + +`timescale 1 ns / 1 ps +`default_nettype none + +module sync_w2r + + #( + parameter ASIZE = 4 + )( + input wire rclk, + input wire rrst_n, + output reg [ASIZE:0] rq2_wptr, + input wire [ASIZE:0] wptr + ); + + reg [ASIZE:0] rq1_wptr; + + always @(posedge rclk or negedge rrst_n) begin + + if (!rrst_n) + {rq2_wptr,rq1_wptr} <= 0; + else + {rq2_wptr,rq1_wptr} <= {rq1_wptr,wptr}; + + end + +endmodule + +`resetall diff --git a/rtl/wptr_full.v b/rtl/wptr_full.v new file mode 100644 index 0000000..3c8258c --- /dev/null +++ b/rtl/wptr_full.v @@ -0,0 +1,65 @@ +// distributed under the mit license +// https://opensource.org/licenses/mit-license.php + +`timescale 1 ns / 1 ps +`default_nettype none + +module wptr_full + + #( + parameter ADDRSIZE = 4 + )( + input wire wclk, + input wire wrst_n, + input wire winc, + input wire [ADDRSIZE :0] wq2_rptr, + output reg wfull, + output reg awfull, + output wire [ADDRSIZE-1:0] waddr, + output reg [ADDRSIZE :0] wptr + ); + + reg [ADDRSIZE:0] wbin; + wire [ADDRSIZE:0] wgraynext, wbinnext, wgraynextp1; + wire awfull_val, wfull_val; + + // GRAYSTYLE2 pointer + always @(posedge wclk or negedge wrst_n) begin + + if (!wrst_n) + {wbin, wptr} <= 0; + else + {wbin, wptr} <= {wbinnext, wgraynext}; + + end + + // Memory write-address pointer (okay to use binary to address memory) + assign waddr = wbin[ADDRSIZE-1:0]; + assign wbinnext = wbin + (winc & ~wfull); + assign wgraynext = (wbinnext >> 1) ^ wbinnext; + assign wgraynextp1 = ((wbinnext + 1'b1) >> 1) ^ (wbinnext + 1'b1); + + //------------------------------------------------------------------ + // Simplified version of the three necessary full-tests: + // assign wfull_val=((wgnext[ADDRSIZE] !=wq2_rptr[ADDRSIZE] ) && + // (wgnext[ADDRSIZE-1] !=wq2_rptr[ADDRSIZE-1]) && + // (wgnext[ADDRSIZE-2:0]==wq2_rptr[ADDRSIZE-2:0])); + //------------------------------------------------------------------ + + assign wfull_val = (wgraynext == {~wq2_rptr[ADDRSIZE:ADDRSIZE-1],wq2_rptr[ADDRSIZE-2:0]}); + assign awfull_val = (wgraynextp1 == {~wq2_rptr[ADDRSIZE:ADDRSIZE-1],wq2_rptr[ADDRSIZE-2:0]}); + + always @(posedge wclk or negedge wrst_n) begin + + if (!wrst_n) begin + awfull <= 1'b0; + wfull <= 1'b0; + end else begin + awfull <= awfull_val; + wfull <= wfull_val; + end + end + +endmodule + +`resetall diff --git a/script/setup.sh b/script/setup.sh new file mode 100755 index 0000000..fc1238f --- /dev/null +++ b/script/setup.sh @@ -0,0 +1,21 @@ +#!/usr/bin/env bash + +# get current script path (applicable even if is a symlink) +SOURCE="${BASH_SOURCE[0]}" +while [ -h "$SOURCE" ]; do + DIR="$( cd -P "$( dirname "$SOURCE" )" >/dev/null 2>&1 && pwd )" + SOURCE="$(readlink "$SOURCE")" + [[ $SOURCE != /* ]] && SOURCE="$DIR/$SOURCE" +done +DIR="$( cd -P "$( dirname "$SOURCE" )" >/dev/null 2>&1 && pwd )" + +if [[ ! $(type svutRun) ]]; +then + svut_dir="$DIR/.svut" + if [[ ! -d $svut_dir ]]; then + echo "INFO: Install SVUT (https://github.com/dpretet/svut)" + git clone "https://github.com/dpretet/svut.git" "$svut_dir" + fi + echo "INFO: Enable SVUT in PATH" + export PATH=$svut_dir/:$PATH +fi diff --git a/sim/test/Makefile b/sim/Makefile similarity index 53% rename from sim/test/Makefile rename to sim/Makefile index d6a43e0..772e649 100755 --- a/sim/test/Makefile +++ b/sim/Makefile @@ -1,11 +1,12 @@ test: - @svutRun.py -f files.f + @svutRun -f files.f test-dry-run: - @svutRun.py -f files.f -dry-run + @svutRun -f files.f -dry-run gui: - @svutRun.py -f files.f -gui + @svutRun -f files.f -gui + :qa clean: @-rm -f *.vcd diff --git a/sim/test/async_fifo_unit_test.sv b/sim/async_fifo_unit_test.sv similarity index 92% rename from sim/test/async_fifo_unit_test.sv rename to sim/async_fifo_unit_test.sv index d3f87ee..8b7e3dc 100644 --- a/sim/test/async_fifo_unit_test.sv +++ b/sim/async_fifo_unit_test.sv @@ -24,24 +24,24 @@ module async_fifo_unit_test; wire arempty; async_fifo - #( - DSIZE, - ASIZE + #( + DSIZE, + ASIZE ) dut ( - wclk, - wrst_n, - winc, - wdata, - wfull, - awfull, - rclk, - rrst_n, - rinc, - rdata, - rempty, - arempty + wclk, + wrst_n, + winc, + wdata, + wfull, + awfull, + rclk, + rrst_n, + rinc, + rdata, + rempty, + arempty ); // An example to create a clock @@ -119,7 +119,6 @@ module async_fifo_unit_test; @(negedge wclk); winc = 1; wdata = i; - $display("DEBUG: [%g]: %x", $time, i); end @(negedge wclk); winc = 0; @@ -132,7 +131,6 @@ module async_fifo_unit_test; for (i=0; i<10; i=i+1) begin @(posedge rclk); `FAIL_IF_NOT_EQUAL(rdata, i); - $display("DEBUG: [%g]: %x", $time, rdata); end `UNIT_TEST_END diff --git a/sim/files.f b/sim/files.f new file mode 100644 index 0000000..31dbcb8 --- /dev/null +++ b/sim/files.f @@ -0,0 +1,6 @@ +../rtl/async_fifo.v +../rtl/fifomem.v +../rtl/rptr_empty.v +../rtl/sync_r2w.v +../rtl/sync_w2r.v +../rtl/wptr_full.v diff --git a/sim/test/svut_h.sv b/sim/svut_h.sv similarity index 84% rename from sim/test/svut_h.sv rename to sim/svut_h.sv index dff0e85..3122f57 100644 --- a/sim/test/svut_h.sv +++ b/sim/svut_h.sv @@ -54,29 +54,29 @@ /// and information with an appropriate color. `define MSG(msg) \ - $display("\033[0;37m%s (@ %0t)\033[0m", msg, $time) + $display("\033[0;37m%s (@ %0t)\033[0m", msg, $realtime) `define INFO(msg) \ - $display("\033[0;34mINFO: %s (@ %0t)\033[0m", msg, $time) + $display("\033[0;34mINFO: %s (@ %0t)\033[0m", msg, $realtime) `define SUCCESS(msg) \ - $display("\033[0;32mSUCCESS: %s (@ %0t)\033[0m", msg, $time) + $display("\033[0;32mSUCCESS: %s (@ %0t)\033[0m", msg, $realtime) `define WARNING(msg) \ begin\ - $display("\033[1;33mWARNING: %s (@ %0t)\033[0m", msg, $time);\ + $display("\033[1;33mWARNING: %s (@ %0t)\033[0m", msg, $realtime);\ svut_warning += 1;\ end `define CRITICAL(msg) \ begin\ - $display("\033[1;35mCRITICAL: %s (@ %0t)\033[0m", msg, $time);\ + $display("\033[1;35mCRITICAL: %s (@ %0t)\033[0m", msg, $realtime);\ svut_critical += 1;\ end `define ERROR(msg)\ begin\ - $display("\033[1;31mERROR: %s (@ %0t)\033[0m", msg, $time);\ + $display("\033[1;31mERROR: %s (@ %0t)\033[0m", msg, $realtime);\ svut_error += 1;\ end @@ -94,7 +94,8 @@ integer svut_nb_test_success = 0; \ string svut_test_name = ""; \ string svut_suite_name = ""; \ - string svut_msg = ""; + string svut_msg = ""; \ + string svut_fail_list = "Failling test(s):"; /// LAST_STATUS is a flag asserted if check the last /// check function failed @@ -174,35 +175,43 @@ endfunction begin \ $display("");\ $sformat(testnum, "%0d", svut_test_number); \ - svut_msg = {"Starting test << ", "Test ", testnum, ": ", name, " >>"}; \ + svut_msg = {"Starting << ", "Test ", testnum, ": ", name, " >>"}; \ `INFO(svut_msg); \ setup(); \ svut_test_name = name; \ svut_error = 0; \ svut_nb_test = svut_nb_test + 1; -/// This header must be placed to close a test +/// This footer must be placed to close a test `define UNIT_TEST_END \ teardown(); \ - svut_test_number = svut_test_number + 1; \ if (svut_error == 0) begin \ svut_nb_test_success = svut_nb_test_success + 1; \ - `SUCCESS("Test successful"); \ + svut_msg = {"Test ", testnum, " pass"}; \ + `SUCCESS(svut_msg); \ end else begin \ - `ERROR("Test failed"); \ + svut_msg = {"Test ", testnum, " fail"}; \ + `ERROR(svut_msg); \ + svut_fail_list = {svut_fail_list, " '", svut_test_name, "'"}; \ svut_error_total += svut_error; \ end \ + svut_test_number = svut_test_number + 1; \ end -/// This header must be placed to close a test suite +/// This footer must be placed to close a test suite `define TEST_SUITE_END \ end \ endtask \ initial begin\ run(); \ $display("");\ - svut_msg = {"Stop testsuite ", svut_suite_name}; \ + svut_msg = {"Stop testsuite '", svut_suite_name, "'"}; \ `INFO(svut_msg); \ + if (svut_error_total > 0) begin \ + $display("\033[1;31m"); \ + $display(svut_fail_list); \ + $display(""); \ + end \ $display(" \033[1;33m- Warning number: %0d\033[0m", svut_warning); \ $display(" \033[1;35m- Critical number: %0d\033[0m", svut_critical); \ $display(" \033[1;31m- Error number: %0d\033[0m", svut_error_total); \ diff --git a/sim/test/files.f b/sim/test/files.f deleted file mode 100644 index 101af97..0000000 --- a/sim/test/files.f +++ /dev/null @@ -1,6 +0,0 @@ -../../src/vlog/async_fifo.v -../../src/vlog/fifo_2mem.v -../../src/vlog/rptr_empty.v -../../src/vlog/sync_r2w.v -../../src/vlog/sync_w2r.v -../../src/vlog/wptr_full.v diff --git a/sim/test/wave.gtkw b/sim/wave.gtkw similarity index 100% rename from sim/test/wave.gtkw rename to sim/wave.gtkw diff --git a/src/vlog/sync_ptr.v b/src/vlog/sync_ptr.v deleted file mode 100644 index 1abfd71..0000000 --- a/src/vlog/sync_ptr.v +++ /dev/null @@ -1,43 +0,0 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- - -`timescale 1 ns / 1 ps -`default_nettype none - -module sync_ptr - - #( - parameter ASIZE = 4 - )( - input wire dest_clk, - input wire dest_rst_n, - input wire [ASIZE:0] src_ptr, - output reg [ASIZE:0] dest_ptr - ); - - reg [ASIZE:0] ptr_x; - - always @(posedge dest_clk or negedge dest_rst_n) begin - - if (!dest_rst_n) - {dest_ptr,ptr_x} <= 0; - else - {dest_ptr,ptr_x} <= {ptr_x,src_ptr}; - end - -endmodule - -`resetall diff --git a/src/vlog/sync_r2w.v b/src/vlog/sync_r2w.v deleted file mode 100644 index 082dbc4..0000000 --- a/src/vlog/sync_r2w.v +++ /dev/null @@ -1,44 +0,0 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- - -`timescale 1 ns / 1 ps -`default_nettype none - -module sync_r2w - - #( - parameter ASIZE = 4 - )( - input wire wclk, - input wire wrst_n, - input wire [ASIZE:0] rptr, - output reg [ASIZE:0] wq2_rptr - ); - - reg [ASIZE:0] wq1_rptr; - - always @(posedge wclk or negedge wrst_n) begin - - if (!wrst_n) - {wq2_rptr,wq1_rptr} <= 0; - else - {wq2_rptr,wq1_rptr} <= {wq1_rptr,rptr}; - - end - -endmodule - -`resetall diff --git a/src/vlog/sync_w2r.v b/src/vlog/sync_w2r.v deleted file mode 100644 index 55255d5..0000000 --- a/src/vlog/sync_w2r.v +++ /dev/null @@ -1,44 +0,0 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- - -`timescale 1 ns / 1 ps -`default_nettype none - -module sync_w2r - - #( - parameter ASIZE = 4 - )( - input wire rclk, - input wire rrst_n, - output reg [ASIZE:0] rq2_wptr, - input wire [ASIZE:0] wptr - ); - - reg [ASIZE:0] rq1_wptr; - - always @(posedge rclk or negedge rrst_n) begin - - if (!rrst_n) - {rq2_wptr,rq1_wptr} <= 0; - else - {rq2_wptr,rq1_wptr} <= {rq1_wptr,wptr}; - - end - -endmodule - -`resetall diff --git a/src/vlog/wptr_full.v b/src/vlog/wptr_full.v deleted file mode 100644 index 9dc3b22..0000000 --- a/src/vlog/wptr_full.v +++ /dev/null @@ -1,80 +0,0 @@ -//----------------------------------------------------------------------------- -// Copyright 2017 Damien Pretet ThotIP -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//----------------------------------------------------------------------------- - -`timescale 1 ns / 1 ps -`default_nettype none - -module wptr_full - - #( - parameter ADDRSIZE = 4 - )( - input wire wclk, - input wire wrst_n, - input wire winc, - input wire [ADDRSIZE :0] wq2_rptr, - output reg wfull, - output reg awfull, - output wire [ADDRSIZE-1:0] waddr, - output reg [ADDRSIZE :0] wptr - ); - - reg [ADDRSIZE:0] wbin; - wire [ADDRSIZE:0] wgraynext, wbinnext, wgraynextp1; - wire awfull_val, wfull_val; - - // GRAYSTYLE2 pointer - always @(posedge wclk or negedge wrst_n) begin - - if (!wrst_n) - {wbin, wptr} <= 0; - else - {wbin, wptr} <= {wbinnext, wgraynext}; - - end - - // Memory write-address pointer (okay to use binary to address memory) - assign waddr = wbin[ADDRSIZE-1:0]; - assign wbinnext = wbin + (winc & ~wfull); - assign wgraynext = (wbinnext >> 1) ^ wbinnext; - assign wgraynextp1 = ((wbinnext + 1'b1) >> 1) ^ (wbinnext + 1'b1); - - //------------------------------------------------------------------ - // Simplified version of the three necessary full-tests: - // assign wfull_val=((wgnext[ADDRSIZE] !=wq2_rptr[ADDRSIZE] ) && - // (wgnext[ADDRSIZE-1] !=wq2_rptr[ADDRSIZE-1]) && - // (wgnext[ADDRSIZE-2:0]==wq2_rptr[ADDRSIZE-2:0])); - //------------------------------------------------------------------ - - assign wfull_val = (wgraynext == {~wq2_rptr[ADDRSIZE:ADDRSIZE-1],wq2_rptr[ADDRSIZE-2:0]}); - assign awfull_val = (wgraynextp1 == {~wq2_rptr[ADDRSIZE:ADDRSIZE-1],wq2_rptr[ADDRSIZE-2:0]}); - - always @(posedge wclk or negedge wrst_n) begin - - if (!wrst_n) begin - awfull <= 1'b0; - wfull <= 1'b0; - end - else begin - awfull <= awfull_val; - wfull <= wfull_val; - end - - end - -endmodule - -`resetall diff --git a/syn/cmos.lib b/syn/cmos.lib new file mode 100644 index 0000000..1b0bf84 --- /dev/null +++ b/syn/cmos.lib @@ -0,0 +1,55 @@ +// test comment +/* test comment */ +library(demo) { + cell(BUF) { + area: 6; + pin(A) { direction: input; } + pin(Y) { direction: output; + function: "A"; } + } + cell(NOT) { + area: 3; + pin(A) { direction: input; } + pin(Y) { direction: output; + function: "A'"; } + } + cell(NAND) { + area: 4; + pin(A) { direction: input; } + pin(B) { direction: input; } + pin(Y) { direction: output; + function: "(A*B)'"; } + } + cell(NOR) { + area: 4; + pin(A) { direction: input; } + pin(B) { direction: input; } + pin(Y) { direction: output; + function: "(A+B)'"; } + } + cell(DFF) { + area: 18; + ff(IQ, IQN) { clocked_on: C; + next_state: D; } + pin(C) { direction: input; + clock: true; } + pin(D) { direction: input; } + pin(Q) { direction: output; + function: "IQ"; } + } + cell(DFFSR) { + area: 18; + ff("IQ", "IQN") { clocked_on: C; + next_state: D; + preset: S; + clear: R; } + pin(C) { direction: input; + clock: true; } + pin(D) { direction: input; } + pin(Q) { direction: output; + function: "IQ"; } + pin(S) { direction: input; } + pin(R) { direction: input; } + ; // empty statement + } +} diff --git a/syn/fifo.ys b/syn/fifo.ys new file mode 100644 index 0000000..6cf3258 --- /dev/null +++ b/syn/fifo.ys @@ -0,0 +1,26 @@ +# read design modules +read -sv2012 ../rtl/async_fifo.v +read -sv2012 ../rtl/fifomem.v +read -sv2012 ../rtl/rptr_empty.v +read -sv2012 ../rtl/sync_r2w.v +read -sv2012 ../rtl/sync_w2r.v +read -sv2012 ../rtl/wptr_full.v +# synthsize the core +synth -top async_fifo + +# convert design to (logical) gate-level netlists +# +/adff2dff.v convert async reset to sync reset, used to mapp FFD correctly +techmap +/adff2dff.v; opt +# dffunmap + +# map internal register types to the ones from the cell library +dfflibmap -liberty cmos.lib + +# use ABC to map remaining logic to cells from the cell library +abc -liberty cmos.lib + +# cleanup +clean + +# write synthesized design +write_verilog async_fifo_syn.v diff --git a/syn/syn_asic.sh b/syn/syn_asic.sh new file mode 100755 index 0000000..2495b2f --- /dev/null +++ b/syn/syn_asic.sh @@ -0,0 +1,25 @@ +#!/usr/bin/env bash + +# -e: exit if one command fails +# -o pipefail: causes a pipeline to fail if any command fails +set -e -o pipefail + +design="./fifo.ys" + +# if [[ ! -f "./vsclib013.lib" ]]; then + # echo "INFO: Download library for synthesis" + # wget http://www.vlsitechnology.org/synopsys/vsclib013.lib +# fi + +# Check if a design is specified +if [[ -n $1 ]]; then + echo "INFO: will start $1 synthesis" + design="$1" +fi + +echo "INFO: Start synthesis flow" +yosys -V + +yosys "$design" + +exit 0 diff --git a/syn/vsclib013.lib b/syn/vsclib013.lib new file mode 100644 index 0000000..73ce302 --- /dev/null +++ b/syn/vsclib013.lib @@ -0,0 +1,99000 @@ +library (vsclib013) { /* Post layout timing */ +technology (cmos) ; +/* choose one delay_model */ +/* delay_model : generic_cmos ; */ /* Prop-Ramp */ +delay_model : table_lookup ; /* LUT */ +date : "12-Sep-08" ; +revision : 0.10.2 ; +comment : "Copyright (c) 2004-2008 Malta Open Silicon Libraries. Released under the LGPL." ; + +time_unit : "1ps" ; +voltage_unit : "1mV" ; +current_unit : "1mA" ; +pulling_resistance_unit : "1kohm" ; +capacitive_load_unit (1.00,ff) ; +leakage_power_unit : "1pW" ; +nom_voltage : 1.2 ; +nom_process : 1.0 ; +nom_temperature : 27.0 ; + +operating_conditions (NOM_1.2_27) { + process : 1.0 ; + voltage : 1.2 ; + temperature : 27.0 ; + tree_type : "balanced_tree" ; +} + +/* Wireload tables are in fF, so capacitance attribute is 1.0 */ +/* Wires are routed over the cells, so the area attribute is 0 */ +wire_load ("1K") { + resistance : 0.00175 ; + capacitance : 1.0 ; + area : 0.0 ; + slope : 4.58 ; + fanout_length ( 1, 2.86 ) ; + fanout_length ( 2, 9.15 ) ; + fanout_length ( 3, 14.19 ) ; + fanout_length ( 4, 19.22 ) ; + fanout_length ( 5, 23.61 ) ; + fanout_length ( 6, 28.01 ) ; + fanout_length ( 7, 32.40 ) ; + fanout_length ( 8, 36.79 ) ; + fanout_length ( 9, 41.18 ) ; +} +wire_load ("10K") { + resistance : 0.00175 ; + capacitance : 1.0 ; + area : 0.0 ; + slope : 5.72 ; + fanout_length ( 1, 2.86 ) ; + fanout_length ( 2, 11.44 ) ; + fanout_length ( 3, 19.31 ) ; + fanout_length ( 4, 27.17 ) ; + fanout_length ( 5, 32.03 ) ; + fanout_length ( 6, 36.89 ) ; + fanout_length ( 7, 41.76 ) ; + fanout_length ( 8, 46.62 ) ; + fanout_length ( 9, 51.48 ) ; +} +wire_load ("100K") { + resistance : 0.00175 ; + capacitance : 1.0 ; + area : 0.0 ; + slope : 7.15 ; + fanout_length ( 1, 2.86 ) ; + fanout_length ( 2, 14.30 ) ; + fanout_length ( 3, 25.03 ) ; + fanout_length ( 4, 35.75 ) ; + fanout_length ( 5, 41.47 ) ; + fanout_length ( 6, 47.19 ) ; + fanout_length ( 7, 52.91 ) ; + fanout_length ( 8, 58.63 ) ; + fanout_length ( 9, 64.35 ) ; +} +wire_load ("500K") { + resistance : 0.00175 ; + capacitance : 1.0 ; + area : 0.0 ; + slope : 7.61 ; + fanout_length ( 1, 2.86 ) ; + fanout_length ( 2, 15.22 ) ; + fanout_length ( 3, 27.58 ) ; + fanout_length ( 4, 39.94 ) ; + fanout_length ( 5, 45.65 ) ; + fanout_length ( 6, 51.35 ) ; + fanout_length ( 7, 57.06 ) ; + fanout_length ( 8, 62.76 ) ; + fanout_length ( 9, 68.47 ) ; +} +wire_load ("top") { + resistance : 0.00175 ; + capacitance : 1.0 ; + area : 0.0 ; + slope : 7.61 ; + fanout_length ( 1, 22.82 ) ; + fanout_length ( 2, 28.53 ) ; + fanout_length ( 3, 34.23 ) ; + fanout_length ( 4, 39.94 ) ; + fanout_length ( 5, 45.65 ) ; + fanout_length ( 6, 51.35 ) ; + fanout_length ( 7, 57.06 ) ; + fanout_length ( 8, 62.76 ) ; + fanout_length ( 9, 68.47 ) ; +} + +wire_load_selection ("by_gate_count") { +/* numbers are tracks, which are 3X bigger than gates */ + wire_load_from_area ( 0, 3000,"1K") ; + wire_load_from_area ( 3000, 30000,"10K") ; + wire_load_from_area ( 30000, 300000,"100K") ; + wire_load_from_area ( 300000, 1500000,"500K") ; + wire_load_from_area ( 1500000,30000000,"top") ; +} + +input_threshold_pct_rise : 50.0 ; +input_threshold_pct_fall : 50.0 ; +output_threshold_pct_rise : 50.0 ; +output_threshold_pct_fall : 50.0 ; +slew_lower_threshold_pct_rise : 0.0 ; +slew_upper_threshold_pct_rise : 100.0 ; +slew_lower_threshold_pct_fall : 0.0 ; +slew_upper_threshold_pct_fall : 100.0 ; +slew_derate_from_library : 1.0 ; + +default_leakage_power_density : 36 ; +default_cell_leakage_power : 3240 ; +in_place_swap_mode : match_footprint ; +simulation : false ; +library_features (report_delay_calculation) ; + +default_input_pin_cap : 5.0 ; +default_output_pin_cap : 0.0 ; +default_inout_pin_cap : 0.0 ; +default_fanout_load : 1.0 ; +default_max_fanout : 30.0 ; +default_max_transition : 1500.0 ; + +default_wire_load_mode : enclosed ; +default_wire_load_capacitance : 5.0 ; +default_wire_load_resistance : 0.00175 ; +default_wire_load_area : 0.0 ; +default_wire_load_selection : "by_gate_count" ; + +default_operating_conditions : NOM_1.2_27 ; + +lu_table_template(x05_48_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.4, 1.5, 4.5, 11.2, 23.2, 48.8" ) ; } + +power_lut_template(pwr_x05_48_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.4, 1.5, 4.5, 11.2, 23.2" ) ; } + +lu_table_template(x1_97_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.8, 3.0, 9.0, 22.5, 46.5, 97.5" ) ; } + +power_lut_template(pwr_x1_97_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.8, 3.0, 9.0, 22.5, 46.5" ) ; } + +lu_table_template(x2_195_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.5, 6.0, 18.0, 45.0, 93.0, 195.0" ) ; } + +power_lut_template(pwr_x2_195_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.5, 6.0, 18.0, 45.0, 93.0" ) ; } + +lu_table_template(x3_292_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.2, 9.0, 27.0, 67.5, 139.5, 292.5" ) ; } + +power_lut_template(pwr_x3_292_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.2, 9.0, 27.0, 67.5, 139.5" ) ; } + +lu_table_template(x4_390_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0, 390.0" ) ; } + +power_lut_template(pwr_x4_390_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0" ) ; } + +lu_table_template(x5_487_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.8, 15.0, 45.0, 112.5, 232.5, 487.5" ) ; } + +power_lut_template(pwr_x5_487_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.8, 15.0, 45.0, 112.5, 232.5" ) ; } + +lu_table_template(x6_585_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.5, 18.0, 54.0, 135.0, 279.0, 585.0" ) ; } + +power_lut_template(pwr_x6_585_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.5, 18.0, 54.0, 135.0, 279.0" ) ; } + +lu_table_template(x7_682_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.2, 21.0, 63.0, 157.5, 325.5, 682.5" ) ; } + +power_lut_template(pwr_x7_682_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.2, 21.0, 63.0, 157.5, 325.5" ) ; } + +lu_table_template(x8_780_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0, 780.0" ) ; } + +power_lut_template(pwr_x8_780_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0" ) ; } + +lu_table_template(x9_877_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.8, 27.0, 81.0, 202.5, 418.5, 877.5" ) ; } + +power_lut_template(pwr_x9_877_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.8, 27.0, 81.0, 202.5, 418.5" ) ; } + +lu_table_template(x10_975_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0, 975.0" ) ; } + +power_lut_template(pwr_x10_975_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0" ) ; } + +lu_table_template(x11_1072_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.2, 33.0, 99.0, 247.5, 511.5, 1072.5" ) ; } + +power_lut_template(pwr_x11_1072_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.2, 33.0, 99.0, 247.5, 511.5" ) ; } + +lu_table_template(x12_1170_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0, 1170.0" ) ; } + +power_lut_template(pwr_x12_1170_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0" ) ; } + +lu_table_template(x05_65_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.5, 2.0, 6.0, 15.0, 31.0, 65.0" ) ; } + +power_lut_template(pwr_x05_65_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.5, 2.0, 6.0, 15.0, 31.0" ) ; } + +lu_table_template(x1_130_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.0, 4.0, 12.0, 30.0, 62.0, 130.0" ) ; } + +power_lut_template(pwr_x1_130_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.0, 4.0, 12.0, 30.0, 62.0" ) ; } + +lu_table_template(x2_260_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.0, 8.0, 24.0, 60.0, 124.0, 260.0" ) ; } + +power_lut_template(pwr_x2_260_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.0, 8.0, 24.0, 60.0, 124.0" ) ; } + +lu_table_template(x3_390_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0, 390.0" ) ; } + +power_lut_template(pwr_x3_390_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0" ) ; } + +lu_table_template(x4_520_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.0, 16.0, 48.0, 120.0, 248.0, 520.0" ) ; } + +power_lut_template(pwr_x4_520_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.0, 16.0, 48.0, 120.0, 248.0" ) ; } + +lu_table_template(x5_650_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.0, 20.0, 60.0, 150.0, 310.0, 650.0" ) ; } + +power_lut_template(pwr_x5_650_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.0, 20.0, 60.0, 150.0, 310.0" ) ; } + +lu_table_template(x6_780_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0, 780.0" ) ; } + +power_lut_template(pwr_x6_780_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0" ) ; } + +lu_table_template(x7_910_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.0, 28.0, 84.0, 210.0, 434.0, 910.0" ) ; } + +power_lut_template(pwr_x7_910_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.0, 28.0, 84.0, 210.0, 434.0" ) ; } + +lu_table_template(x8_1040_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.0, 32.0, 96.0, 240.0, 496.0, 1040.0" ) ; } + +power_lut_template(pwr_x8_1040_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.0, 32.0, 96.0, 240.0, 496.0" ) ; } + +lu_table_template(x9_1170_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0, 1170.0" ) ; } + +power_lut_template(pwr_x9_1170_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0" ) ; } + +lu_table_template(x10_1300_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0, 1300.0" ) ; } + +power_lut_template(pwr_x10_1300_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0" ) ; } + +lu_table_template(x11_1430_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 11.0, 44.0, 132.0, 330.0, 682.0, 1430.0" ) ; } + +power_lut_template(pwr_x11_1430_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 11.0, 44.0, 132.0, 330.0, 682.0" ) ; } + +lu_table_template(x12_1560_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0, 1560.0" ) ; } + +power_lut_template(pwr_x12_1560_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0" ) ; } + +lu_table_template(x05_81_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.6, 2.5, 7.5, 18.8, 38.8, 81.2" ) ; } + +power_lut_template(pwr_x05_81_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.6, 2.5, 7.5, 18.8, 38.8" ) ; } + +lu_table_template(x1_162_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.2, 5.0, 15.0, 37.5, 77.5, 162.5" ) ; } + +power_lut_template(pwr_x1_162_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.2, 5.0, 15.0, 37.5, 77.5" ) ; } + +lu_table_template(x2_325_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.5, 10.0, 30.0, 75.0, 155.0, 325.0" ) ; } + +power_lut_template(pwr_x2_325_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.5, 10.0, 30.0, 75.0, 155.0" ) ; } + +lu_table_template(x3_487_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.8, 15.0, 45.0, 112.5, 232.5, 487.5" ) ; } + +power_lut_template(pwr_x3_487_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.8, 15.0, 45.0, 112.5, 232.5" ) ; } + +lu_table_template(x4_650_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.0, 20.0, 60.0, 150.0, 310.0, 650.0" ) ; } + +power_lut_template(pwr_x4_650_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.0, 20.0, 60.0, 150.0, 310.0" ) ; } + +lu_table_template(x5_812_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.2, 25.0, 75.0, 187.5, 387.5, 812.5" ) ; } + +power_lut_template(pwr_x5_812_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.2, 25.0, 75.0, 187.5, 387.5" ) ; } + +lu_table_template(x6_975_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0, 975.0" ) ; } + +power_lut_template(pwr_x6_975_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0" ) ; } + +lu_table_template(x7_1137_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.8, 35.0, 105.0, 262.5, 542.5, 1137.5" ) ; } + +power_lut_template(pwr_x7_1137_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.8, 35.0, 105.0, 262.5, 542.5" ) ; } + +lu_table_template(x8_1300_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0, 1300.0" ) ; } + +power_lut_template(pwr_x8_1300_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0" ) ; } + +lu_table_template(x9_1462_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 11.2, 45.0, 135.0, 337.5, 697.5, 1462.5" ) ; } + +power_lut_template(pwr_x9_1462_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 11.2, 45.0, 135.0, 337.5, 697.5" ) ; } + +lu_table_template(x10_1625_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.5, 50.0, 150.0, 375.0, 775.0, 1625.0" ) ; } + +power_lut_template(pwr_x10_1625_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.5, 50.0, 150.0, 375.0, 775.0" ) ; } + +lu_table_template(x11_1787_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 13.8, 55.0, 165.0, 412.5, 852.5, 1787.5" ) ; } + +power_lut_template(pwr_x11_1787_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 13.8, 55.0, 165.0, 412.5, 852.5" ) ; } + +lu_table_template(x12_1950_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.0, 60.0, 180.0, 450.0, 930.0, 1950.0" ) ; } + +power_lut_template(pwr_x12_1950_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.0, 60.0, 180.0, 450.0, 930.0" ) ; } + +lu_table_template(x05_97_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.8, 3.0, 9.0, 22.5, 46.5, 97.5" ) ; } + +power_lut_template(pwr_x05_97_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.8, 3.0, 9.0, 22.5, 46.5" ) ; } + +lu_table_template(x1_195_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.5, 6.0, 18.0, 45.0, 93.0, 195.0" ) ; } + +power_lut_template(pwr_x1_195_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.5, 6.0, 18.0, 45.0, 93.0" ) ; } + +lu_table_template(x2_390_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0, 390.0" ) ; } + +power_lut_template(pwr_x2_390_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.0, 12.0, 36.0, 90.0, 186.0" ) ; } + +lu_table_template(x3_585_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.5, 18.0, 54.0, 135.0, 279.0, 585.0" ) ; } + +power_lut_template(pwr_x3_585_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.5, 18.0, 54.0, 135.0, 279.0" ) ; } + +lu_table_template(x4_780_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0, 780.0" ) ; } + +power_lut_template(pwr_x4_780_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0" ) ; } + +lu_table_template(x5_975_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0, 975.0" ) ; } + +power_lut_template(pwr_x5_975_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.5, 30.0, 90.0, 225.0, 465.0" ) ; } + +lu_table_template(x6_1170_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0, 1170.0" ) ; } + +power_lut_template(pwr_x6_1170_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 9.0, 36.0, 108.0, 270.0, 558.0" ) ; } + +lu_table_template(x7_1365_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.5, 42.0, 126.0, 315.0, 651.0, 1365.0" ) ; } + +power_lut_template(pwr_x7_1365_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.5, 42.0, 126.0, 315.0, 651.0" ) ; } + +lu_table_template(x8_1560_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0, 1560.0" ) ; } + +power_lut_template(pwr_x8_1560_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0" ) ; } + +lu_table_template(x9_1755_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 13.5, 54.0, 162.0, 405.0, 837.0, 1755.0" ) ; } + +power_lut_template(pwr_x9_1755_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 13.5, 54.0, 162.0, 405.0, 837.0" ) ; } + +lu_table_template(x10_1950_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.0, 60.0, 180.0, 450.0, 930.0, 1950.0" ) ; } + +power_lut_template(pwr_x10_1950_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.0, 60.0, 180.0, 450.0, 930.0" ) ; } + +lu_table_template(x11_2145_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 16.5, 66.0, 198.0, 495.0, 1023.0, 2145.0" ) ; } + +power_lut_template(pwr_x11_2145_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 16.5, 66.0, 198.0, 495.0, 1023.0" ) ; } + +lu_table_template(x12_2340_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 18.0, 72.0, 216.0, 540.0, 1116.0, 2340.0" ) ; } + +power_lut_template(pwr_x12_2340_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 18.0, 72.0, 216.0, 540.0, 1116.0" ) ; } + +lu_table_template(x05_113_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.9, 3.5, 10.5, 26.2, 54.2, 113.8" ) ; } + +power_lut_template(pwr_x05_113_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 0.9, 3.5, 10.5, 26.2, 54.2" ) ; } + +lu_table_template(x1_227_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.8, 7.0, 21.0, 52.5, 108.5, 227.5" ) ; } + +power_lut_template(pwr_x1_227_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.8, 7.0, 21.0, 52.5, 108.5" ) ; } + +lu_table_template(x2_455_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.5, 14.0, 42.0, 105.0, 217.0, 455.0" ) ; } + +power_lut_template(pwr_x2_455_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 3.5, 14.0, 42.0, 105.0, 217.0" ) ; } + +lu_table_template(x3_682_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.2, 21.0, 63.0, 157.5, 325.5, 682.5" ) ; } + +power_lut_template(pwr_x3_682_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 5.2, 21.0, 63.0, 157.5, 325.5" ) ; } + +lu_table_template(x4_910_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.0, 28.0, 84.0, 210.0, 434.0, 910.0" ) ; } + +power_lut_template(pwr_x4_910_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 7.0, 28.0, 84.0, 210.0, 434.0" ) ; } + +lu_table_template(x5_1137_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.8, 35.0, 105.0, 262.5, 542.5, 1137.5" ) ; } + +power_lut_template(pwr_x5_1137_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.8, 35.0, 105.0, 262.5, 542.5" ) ; } + +lu_table_template(x6_1365_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.5, 42.0, 126.0, 315.0, 651.0, 1365.0" ) ; } + +power_lut_template(pwr_x6_1365_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.5, 42.0, 126.0, 315.0, 651.0" ) ; } + +lu_table_template(x7_1592_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.2, 49.0, 147.0, 367.5, 759.5, 1592.5" ) ; } + +power_lut_template(pwr_x7_1592_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.2, 49.0, 147.0, 367.5, 759.5" ) ; } + +lu_table_template(x8_1820_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 14.0, 56.0, 168.0, 420.0, 868.0, 1820.0" ) ; } + +power_lut_template(pwr_x8_1820_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 14.0, 56.0, 168.0, 420.0, 868.0" ) ; } + +lu_table_template(x9_2047_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.8, 63.0, 189.0, 472.5, 976.5, 2047.5" ) ; } + +power_lut_template(pwr_x9_2047_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 15.8, 63.0, 189.0, 472.5, 976.5" ) ; } + +lu_table_template(x10_2275_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 17.5, 70.0, 210.0, 525.0, 1085.0, 2275.0" ) ; } + +power_lut_template(pwr_x10_2275_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 17.5, 70.0, 210.0, 525.0, 1085.0" ) ; } + +lu_table_template(x11_2502_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 19.2, 77.0, 231.0, 577.5, 1193.5, 2502.5" ) ; } + +power_lut_template(pwr_x11_2502_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 19.2, 77.0, 231.0, 577.5, 1193.5" ) ; } + +lu_table_template(x12_2730_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 21.0, 84.0, 252.0, 630.0, 1302.0, 2730.0" ) ; } + +power_lut_template(pwr_x12_2730_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 21.0, 84.0, 252.0, 630.0, 1302.0" ) ; } + +lu_table_template(x05_130_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.0, 4.0, 12.0, 30.0, 62.0, 130.0" ) ; } + +power_lut_template(pwr_x05_130_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 1.0, 4.0, 12.0, 30.0, 62.0" ) ; } + +lu_table_template(x1_260_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.0, 8.0, 24.0, 60.0, 124.0, 260.0" ) ; } + +power_lut_template(pwr_x1_260_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 2.0, 8.0, 24.0, 60.0, 124.0" ) ; } + +lu_table_template(x2_520_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.0, 16.0, 48.0, 120.0, 248.0, 520.0" ) ; } + +power_lut_template(pwr_x2_520_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 4.0, 16.0, 48.0, 120.0, 248.0" ) ; } + +lu_table_template(x3_780_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0, 780.0" ) ; } + +power_lut_template(pwr_x3_780_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 6.0, 24.0, 72.0, 180.0, 372.0" ) ; } + +lu_table_template(x4_1040_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.0, 32.0, 96.0, 240.0, 496.0, 1040.0" ) ; } + +power_lut_template(pwr_x4_1040_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 8.0, 32.0, 96.0, 240.0, 496.0" ) ; } + +lu_table_template(x5_1300_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0, 1300.0" ) ; } + +power_lut_template(pwr_x5_1300_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 10.0, 40.0, 120.0, 300.0, 620.0" ) ; } + +lu_table_template(x6_1560_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0, 1560.0" ) ; } + +power_lut_template(pwr_x6_1560_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 12.0, 48.0, 144.0, 360.0, 744.0" ) ; } + +lu_table_template(x7_1820_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 14.0, 56.0, 168.0, 420.0, 868.0, 1820.0" ) ; } + +power_lut_template(pwr_x7_1820_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 14.0, 56.0, 168.0, 420.0, 868.0" ) ; } + +lu_table_template(x8_2080_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 16.0, 64.0, 192.0, 480.0, 992.0, 2080.0" ) ; } + +power_lut_template(pwr_x8_2080_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 16.0, 64.0, 192.0, 480.0, 992.0" ) ; } + +lu_table_template(x9_2340_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 18.0, 72.0, 216.0, 540.0, 1116.0, 2340.0" ) ; } + +power_lut_template(pwr_x9_2340_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 18.0, 72.0, 216.0, 540.0, 1116.0" ) ; } + +lu_table_template(x10_2600_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 20.0, 80.0, 240.0, 600.0, 1240.0, 2600.0" ) ; } + +power_lut_template(pwr_x10_2600_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 20.0, 80.0, 240.0, 600.0, 1240.0" ) ; } + +lu_table_template(x11_2860_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 22.0, 88.0, 264.0, 660.0, 1364.0, 2860.0" ) ; } + +power_lut_template(pwr_x11_2860_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 22.0, 88.0, 264.0, 660.0, 1364.0" ) ; } + +lu_table_template(x12_3120_6x10) { +variable_1 : input_net_transition ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 24.0, 96.0, 288.0, 720.0, 1488.0, 3120.0" ) ; } + +power_lut_template(pwr_x12_3120_5x10) { +variable_1 : input_transition_time ; +variable_2 : total_output_net_capacitance ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; +index_2( " 24.0, 96.0, 288.0, 720.0, 1488.0" ) ; } + +power_lut_template(pwr_intran_x10) { +variable_1 : input_transition_time ; +index_1( " 20.0, 60.0, 90.0, 130.0, 200.0, 300.0, 450.0, 670.0, 1000.0, 1500.0" ) ; } +lu_table_template(suh_4x4) { +variable_1 : related_pin_transition ; +variable_2 : constrained_pin_transition ; +index_1(" 20.0, 200.0, 670.0, 1500.0" ) ; +index_2(" 20.0, 200.0, 670.0, 1500.0" ) ; } +cell(an2v0x05) { /* 2008-01-06:07h20 */ +area : 5 ; /* tracks */ +cell_leakage_power : 543 ; /* an2v0x05 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x05 FO4 effort 1.81 */ +direction : input ; +capacitance : 2.62 ; +rise_capacitance : 2.71 ; +fall_capacitance : 2.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x05 */ +} +pin(b) { /* an2v0x05 FO4 effort 1.71 */ +direction : input ; +capacitance : 2.49 ; +rise_capacitance : 2.53 ; +fall_capacitance : 2.45 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x05 12.47 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 6.19, 6.26, 6.34, 6.37, 6.38", \ + " 6.07, 6.13, 6.21, 6.26, 6.27", \ + " 6.07, 6.12, 6.20, 6.25, 6.26", \ + " 6.12, 6.16, 6.23, 6.29, 6.31", \ + " 6.32, 6.33, 6.39, 6.45, 6.47", \ + " 6.71, 6.70, 6.74, 6.79, 6.81", \ + " 7.44, 7.39, 7.39, 7.43, 7.46", \ + " 8.66, 8.57, 8.52, 8.53, 8.55", \ + " 10.66, 10.51, 10.40, 10.37, 10.37", \ + " 13.84, 13.61, 13.42, 13.33, 13.30" ); }} +internal_power(b_z_p) { /* an2v0x05 11.01 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 5.43, 5.50, 5.58, 5.62, 5.62", \ + " 5.30, 5.37, 5.45, 5.50, 5.51", \ + " 5.31, 5.37, 5.45, 5.50, 5.52", \ + " 5.39, 5.43, 5.50, 5.56, 5.58", \ + " 5.62, 5.64, 5.70, 5.75, 5.78", \ + " 6.05, 6.04, 6.07, 6.12, 6.15", \ + " 6.80, 6.76, 6.76, 6.79, 6.82", \ + " 8.02, 7.94, 7.89, 7.90, 7.92", \ + " 9.99, 9.85, 9.75, 9.71, 9.72", \ + " 13.12, 12.89, 12.71, 12.62, 12.59" ); }} +timing() { /* ring osc delay an2v0x05, path a to z 78.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 65.3 ; */ +/* intrinsic_fall : 94.6 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 3.86 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 71.5, 107.1, 185.1, 323.1, 616.2", \ + " 61.1, 75.9, 111.6, 189.6, 327.6, 620.7", \ + " 64.0, 78.8, 114.5, 192.5, 330.5, 623.6", \ + " 67.1, 82.0, 117.7, 195.7, 333.8, 626.9", \ + " 70.4, 85.7, 121.8, 199.8, 337.9, 631.0", \ + " 72.9, 88.5, 124.7, 203.0, 341.1, 634.2", \ + " 73.6, 89.8, 126.4, 204.8, 343.0, 636.1", \ + " 70.9, 87.9, 125.4, 204.0, 342.5, 635.5", \ + " 62.2, 80.4, 119.5, 199.2, 337.8, 631.2", \ + " 43.3, 63.0, 104.3, 186.3, 326.7, 620.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.6, 82.9, 174.7, 386.0, 764.4, 1570.0", \ + " 49.8, 83.0, 174.8, 386.0, 764.4, 1570.0", \ + " 50.4, 83.4, 174.9, 386.0, 764.5, 1570.0", \ + " 52.1, 84.8, 175.6, 386.2, 764.5, 1570.0", \ + " 54.6, 87.2, 177.2, 386.9, 764.6, 1570.0", \ + " 57.9, 90.0, 179.3, 388.0, 765.2, 1570.0", \ + " 62.9, 94.6, 182.5, 389.7, 766.0, 1570.5", \ + " 69.9, 101.6, 188.0, 393.1, 767.7, 1571.2", \ + " 79.3, 111.5, 197.2, 399.6, 771.8, 1573.0", \ + " 92.0, 125.0, 210.8, 411.8, 780.7, 1578.5" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 84.0, 113.7, 174.5, 280.9, 506.4", \ + " 77.5, 91.5, 121.2, 182.0, 288.4, 513.9", \ + " 83.9, 97.8, 127.5, 188.3, 294.7, 520.3", \ + " 92.6, 106.5, 136.2, 197.1, 303.5, 529.1", \ + " 107.3, 121.4, 151.3, 212.1, 318.6, 544.1", \ + " 125.1, 140.1, 170.8, 231.7, 338.1, 563.7", \ + " 148.1, 164.2, 195.9, 257.5, 363.9, 589.4", \ + " 178.2, 195.4, 228.5, 290.7, 397.4, 622.9", \ + " 219.2, 237.8, 272.8, 335.8, 442.8, 668.5", \ + " 275.8, 296.2, 334.1, 399.1, 506.4, 732.5" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 66.2, 126.7, 267.8, 524.3, 1074.0", \ + " 43.3, 66.3, 126.7, 267.8, 524.3, 1074.0", \ + " 43.5, 66.4, 126.8, 267.8, 524.3, 1074.0", \ + " 44.1, 66.9, 127.0, 267.9, 524.3, 1074.0", \ + " 47.2, 69.3, 128.2, 268.3, 524.4, 1074.0", \ + " 52.0, 74.1, 131.7, 269.8, 524.8, 1074.0", \ + " 57.8, 80.0, 136.8, 272.9, 526.3, 1074.3", \ + " 64.7, 87.3, 143.0, 276.9, 528.4, 1075.3", \ + " 73.7, 97.0, 151.8, 282.7, 531.6, 1076.7", \ + " 86.0, 110.4, 165.0, 292.4, 537.5, 1079.4" ); }} +timing() { /* ring osc delay an2v0x05, path b to z 72.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 65.2 ; */ +/* intrinsic_fall : 84.9 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 3.85 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 67.8, 103.4, 181.4, 319.4, 612.5", \ + " 58.8, 73.6, 109.3, 187.3, 325.4, 618.5", \ + " 63.0, 77.7, 113.4, 191.4, 329.5, 622.7", \ + " 67.0, 82.0, 117.6, 195.6, 333.8, 626.9", \ + " 71.2, 86.4, 122.6, 200.6, 338.7, 631.9", \ + " 74.9, 90.2, 126.4, 204.9, 342.9, 636.1", \ + " 77.3, 93.1, 129.4, 208.0, 346.3, 639.4", \ + " 77.1, 93.6, 130.5, 209.0, 347.8, 640.9", \ + " 72.0, 89.7, 127.8, 207.1, 345.8, 639.4", \ + " 58.4, 77.7, 117.8, 198.8, 338.8, 632.6" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.7, 82.9, 174.7, 386.0, 764.4, 1570.0", \ + " 49.9, 83.1, 174.8, 386.0, 764.4, 1570.0", \ + " 50.8, 83.7, 175.0, 386.0, 764.5, 1570.0", \ + " 52.7, 85.3, 175.9, 386.2, 764.5, 1570.0", \ + " 55.1, 87.9, 177.7, 387.2, 764.6, 1570.0", \ + " 58.3, 90.4, 179.8, 388.5, 765.6, 1570.0", \ + " 63.3, 94.6, 182.7, 390.2, 766.6, 1570.9", \ + " 70.3, 101.2, 187.4, 393.3, 768.3, 1571.9", \ + " 80.1, 111.0, 196.0, 398.9, 772.0, 1573.7", \ + " 93.4, 124.9, 209.3, 409.8, 779.8, 1578.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 75.1, 104.2, 164.6, 270.9, 496.3", \ + " 69.0, 82.3, 111.5, 172.0, 278.2, 503.7", \ + " 75.3, 88.6, 117.8, 178.3, 284.6, 510.0", \ + " 83.7, 97.1, 126.3, 186.8, 293.1, 518.6", \ + " 96.9, 110.8, 140.3, 200.9, 307.1, 532.6", \ + " 112.6, 127.2, 157.8, 218.6, 324.8, 550.2", \ + " 133.1, 148.6, 180.1, 241.7, 347.9, 573.3", \ + " 160.0, 176.6, 209.4, 271.5, 378.3, 603.5", \ + " 196.2, 214.2, 249.2, 312.5, 419.3, 645.0", \ + " 245.7, 265.5, 303.7, 369.7, 477.3, 703.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 39.7, 63.0, 124.4, 266.4, 523.4, 1073.4", \ + " 40.0, 63.2, 124.5, 266.4, 523.4, 1073.4", \ + " 40.2, 63.4, 124.6, 266.4, 523.5, 1073.4", \ + " 41.3, 64.2, 125.0, 266.6, 523.5, 1073.4", \ + " 45.2, 67.4, 126.9, 267.2, 523.6, 1073.4", \ + " 49.6, 72.1, 130.5, 269.2, 524.3, 1073.4", \ + " 54.8, 77.5, 135.4, 272.2, 525.9, 1073.9", \ + " 61.9, 84.7, 141.4, 276.4, 528.1, 1075.0", \ + " 71.5, 94.9, 151.0, 282.9, 531.9, 1076.5", \ + " 84.5, 109.2, 165.7, 294.6, 539.5, 1080.1" ); }} +} +} +cell(an2v0x1) { /* 2008-01-06:07h20 */ +area : 5 ; /* tracks */ +cell_leakage_power : 739 ; /* an2v0x1 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x1 FO4 effort 1.71 */ +direction : input ; +capacitance : 3.19 ; +rise_capacitance : 3.30 ; +fall_capacitance : 3.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x1 */ +} +pin(b) { /* an2v0x1 FO4 effort 1.61 */ +direction : input ; +capacitance : 3.00 ; +rise_capacitance : 3.04 ; +fall_capacitance : 2.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x1 17.03 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 8.43, 8.55, 8.68, 8.74, 8.74", \ + " 8.27, 8.37, 8.51, 8.58, 8.59", \ + " 8.25, 8.34, 8.48, 8.56, 8.57", \ + " 8.32, 8.39, 8.51, 8.60, 8.62", \ + " 8.57, 8.60, 8.70, 8.79, 8.82", \ + " 9.08, 9.05, 9.12, 9.20, 9.24", \ + " 10.01, 9.93, 9.94, 10.00, 10.04", \ + " 11.58, 11.42, 11.35, 11.38, 11.41", \ + " 14.16, 13.89, 13.72, 13.69, 13.70", \ + " 18.27, 17.86, 17.56, 17.42, 17.39" ); }} +internal_power(b_z_p) { /* an2v0x1 15.21 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 7.44, 7.57, 7.70, 7.76, 7.76", \ + " 7.30, 7.41, 7.55, 7.62, 7.63", \ + " 7.31, 7.40, 7.54, 7.62, 7.64", \ + " 7.41, 7.48, 7.60, 7.69, 7.72", \ + " 7.71, 7.74, 7.84, 7.93, 7.96", \ + " 8.26, 8.24, 8.30, 8.38, 8.43", \ + " 9.23, 9.14, 9.15, 9.21, 9.26", \ + " 10.80, 10.64, 10.57, 10.60, 10.63", \ + " 13.34, 13.07, 12.91, 12.87, 12.88", \ + " 17.38, 16.96, 16.66, 16.52, 16.49" ); }} +timing() { /* ring osc delay an2v0x1, path a to z 76.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.3 ; */ +/* intrinsic_fall : 95.5 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.57 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 76.8, 117.5, 206.6, 364.4, 699.3", \ + " 64.0, 81.2, 122.0, 211.1, 368.8, 703.8", \ + " 67.0, 84.1, 124.8, 214.0, 371.8, 706.8", \ + " 70.3, 87.5, 128.3, 217.4, 375.2, 710.2", \ + " 74.0, 91.6, 132.7, 221.8, 379.6, 714.6", \ + " 77.1, 95.0, 136.3, 225.7, 383.5, 718.5", \ + " 78.7, 97.2, 138.8, 228.4, 386.2, 721.1", \ + " 77.4, 96.7, 139.2, 228.8, 386.9, 721.8", \ + " 70.7, 91.3, 135.3, 225.9, 384.1, 719.1", \ + " 54.6, 76.8, 123.1, 216.0, 375.5, 710.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.1, 89.1, 194.1, 435.6, 868.2, 1788.8", \ + " 51.2, 89.2, 194.1, 435.6, 868.2, 1788.8", \ + " 51.8, 89.5, 194.2, 435.6, 868.2, 1788.8", \ + " 53.5, 90.8, 194.7, 435.7, 868.2, 1788.8", \ + " 56.0, 93.3, 196.3, 436.3, 868.3, 1788.8", \ + " 59.4, 96.2, 198.4, 437.4, 868.8, 1788.8", \ + " 64.5, 100.8, 201.7, 439.0, 869.6, 1789.3", \ + " 71.6, 107.8, 206.9, 442.2, 871.0, 1789.9", \ + " 81.4, 117.9, 216.0, 448.3, 874.7, 1791.4", \ + " 94.5, 131.9, 230.0, 460.1, 883.1, 1796.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.1, 87.0, 120.5, 189.7, 311.3, 569.0", \ + " 78.6, 94.4, 128.0, 197.2, 318.7, 576.5", \ + " 84.8, 100.7, 134.3, 203.5, 325.1, 582.8", \ + " 93.5, 109.3, 142.9, 212.2, 333.8, 591.6", \ + " 108.2, 124.2, 157.9, 227.2, 348.8, 606.5", \ + " 125.9, 143.0, 177.3, 246.7, 368.2, 626.0", \ + " 148.8, 166.9, 202.4, 272.3, 393.8, 651.5", \ + " 178.5, 197.9, 234.8, 305.1, 426.9, 684.5", \ + " 218.7, 239.7, 278.5, 349.6, 471.6, 729.3", \ + " 274.1, 297.0, 338.9, 411.7, 533.9, 792.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.0, 70.1, 139.5, 301.4, 595.2, 1223.7", \ + " 44.0, 70.3, 139.6, 301.4, 595.2, 1223.7", \ + " 44.2, 70.4, 139.6, 301.5, 595.2, 1223.7", \ + " 44.7, 70.8, 139.8, 301.5, 595.2, 1223.7", \ + " 47.8, 73.1, 140.9, 301.8, 595.3, 1223.7", \ + " 53.1, 78.2, 144.2, 303.2, 595.6, 1223.8", \ + " 59.2, 84.4, 149.4, 306.0, 596.9, 1223.9", \ + " 66.5, 92.0, 155.7, 310.0, 598.8, 1224.9", \ + " 76.1, 102.3, 164.7, 315.6, 601.8, 1226.1", \ + " 89.1, 116.5, 178.2, 325.0, 607.3, 1228.5" ); }} +timing() { /* ring osc delay an2v0x1, path b to z 70.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 85.9 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.56 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.2, 72.3, 113.1, 202.2, 359.9, 694.9", \ + " 61.2, 78.3, 119.0, 208.2, 366.0, 701.0", \ + " 65.5, 82.6, 123.3, 212.5, 370.3, 705.3", \ + " 70.0, 87.2, 127.9, 217.0, 374.9, 709.9", \ + " 75.0, 92.4, 133.5, 222.6, 380.5, 715.5", \ + " 79.5, 97.1, 138.3, 227.7, 385.5, 720.5", \ + " 83.0, 101.1, 142.3, 232.1, 389.8, 724.8", \ + " 84.4, 103.3, 145.1, 234.5, 392.8, 727.6", \ + " 81.6, 101.7, 144.7, 234.7, 392.9, 728.1", \ + " 71.3, 93.0, 138.0, 229.7, 388.8, 724.4" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.1, 89.1, 194.1, 435.6, 868.2, 1788.8", \ + " 51.3, 89.3, 194.1, 435.6, 868.2, 1788.8", \ + " 52.0, 89.7, 194.3, 435.6, 868.2, 1788.8", \ + " 54.0, 91.2, 194.9, 435.8, 868.2, 1788.8", \ + " 56.6, 93.8, 196.7, 436.5, 868.3, 1788.8", \ + " 60.0, 96.6, 198.8, 437.7, 869.1, 1788.8", \ + " 65.2, 100.9, 201.9, 439.3, 870.0, 1789.5", \ + " 72.6, 107.7, 206.4, 442.2, 871.4, 1790.3", \ + " 82.8, 117.9, 214.9, 447.6, 874.7, 1791.8", \ + " 96.9, 132.5, 228.6, 458.1, 882.1, 1796.1" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 77.8, 110.8, 179.8, 301.1, 558.8", \ + " 70.0, 85.2, 118.2, 187.2, 308.6, 566.2", \ + " 76.2, 91.4, 124.5, 193.5, 314.9, 572.6", \ + " 84.6, 99.9, 133.0, 202.0, 323.5, 581.1", \ + " 97.9, 113.7, 147.0, 216.0, 337.5, 595.1", \ + " 113.7, 130.4, 164.6, 233.7, 355.1, 612.8", \ + " 134.3, 151.8, 187.1, 256.9, 378.1, 635.7", \ + " 160.9, 179.7, 216.3, 286.5, 408.1, 665.6", \ + " 196.6, 216.9, 255.7, 327.0, 448.8, 706.3", \ + " 245.0, 267.4, 309.6, 383.3, 505.6, 763.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.7, 67.2, 137.5, 300.3, 594.5, 1223.2", \ + " 40.8, 67.3, 137.6, 300.3, 594.5, 1223.2", \ + " 41.1, 67.6, 137.7, 300.3, 594.5, 1223.2", \ + " 42.2, 68.3, 138.0, 300.4, 594.5, 1223.2", \ + " 46.1, 71.4, 139.6, 300.9, 594.6, 1223.2", \ + " 50.7, 76.3, 143.1, 302.6, 595.0, 1223.2", \ + " 56.5, 82.0, 148.0, 305.4, 596.4, 1223.4", \ + " 64.0, 89.7, 154.3, 309.4, 598.3, 1224.4", \ + " 74.2, 100.6, 164.1, 315.7, 601.8, 1225.7", \ + " 88.3, 115.8, 179.3, 327.1, 608.9, 1228.7" ); }} +} +} +cell(an2v0x2) { /* 2008-01-06:07h20 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1074 ; /* an2v0x2 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x2 FO4 effort 1.61 */ +direction : input ; +capacitance : 4.08 ; +rise_capacitance : 4.20 ; +fall_capacitance : 3.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x2 */ +} +pin(b) { /* an2v0x2 FO4 effort 1.53 */ +direction : input ; +capacitance : 3.88 ; +rise_capacitance : 3.91 ; +fall_capacitance : 3.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x2 23.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 11.58, 11.73, 11.92, 12.02, 12.04", \ + " 11.37, 11.50, 11.69, 11.81, 11.84", \ + " 11.36, 11.46, 11.65, 11.78, 11.82", \ + " 11.46, 11.53, 11.70, 11.83, 11.88", \ + " 11.82, 11.82, 11.94, 12.07, 12.13", \ + " 12.52, 12.45, 12.50, 12.62, 12.68", \ + " 13.81, 13.64, 13.60, 13.67, 13.74", \ + " 15.97, 15.67, 15.51, 15.51, 15.56", \ + " 19.51, 19.04, 18.72, 18.61, 18.60", \ + " 25.15, 24.46, 23.91, 23.62, 23.53" ); }} +internal_power(b_z_p) { /* an2v0x2 21.29 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 10.44, 10.60, 10.79, 10.89, 10.91", \ + " 10.26, 10.39, 10.59, 10.71, 10.74", \ + " 10.27, 10.38, 10.57, 10.70, 10.74", \ + " 10.42, 10.49, 10.65, 10.78, 10.84", \ + " 10.84, 10.83, 10.95, 11.08, 11.14", \ + " 11.59, 11.51, 11.56, 11.67, 11.73", \ + " 12.90, 12.72, 12.68, 12.75, 12.81", \ + " 15.05, 14.74, 14.58, 14.57, 14.61", \ + " 18.51, 18.03, 17.70, 17.58, 17.57", \ + " 24.03, 23.30, 22.74, 22.45, 22.35" ); }} +timing() { /* ring osc delay an2v0x2, path a to z 73.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.9 ; */ +/* intrinsic_fall : 89.7 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.66 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 78.1, 113.7, 190.3, 325.6, 612.7", \ + " 66.9, 82.4, 118.0, 194.6, 329.9, 617.1", \ + " 69.9, 85.3, 121.0, 197.6, 332.9, 620.1", \ + " 73.6, 89.0, 124.7, 201.3, 336.6, 623.8", \ + " 78.1, 93.9, 129.9, 206.5, 341.8, 629.0", \ + " 82.3, 98.5, 134.8, 211.6, 346.9, 634.1", \ + " 85.9, 102.7, 139.3, 216.3, 351.7, 638.8", \ + " 87.7, 105.2, 142.8, 219.9, 355.6, 642.6", \ + " 85.7, 104.2, 143.5, 221.8, 357.4, 644.7", \ + " 76.7, 96.7, 138.1, 218.8, 356.1, 643.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.1, 82.5, 171.4, 377.2, 747.3, 1536.2", \ + " 50.1, 82.6, 171.4, 377.2, 747.3, 1536.2", \ + " 50.4, 82.8, 171.5, 377.2, 747.3, 1536.2", \ + " 52.0, 84.0, 172.1, 377.3, 747.3, 1536.2", \ + " 54.7, 86.6, 173.8, 378.1, 747.4, 1536.2", \ + " 58.0, 89.8, 176.3, 379.4, 748.1, 1536.2", \ + " 62.9, 94.4, 179.8, 381.5, 749.1, 1536.8", \ + " 69.9, 101.5, 185.5, 385.1, 750.9, 1537.5", \ + " 79.5, 111.6, 195.1, 391.9, 755.2, 1539.4", \ + " 92.7, 125.6, 209.5, 404.7, 764.5, 1544.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.1, 79.0, 108.2, 167.8, 272.0, 492.9", \ + " 72.8, 86.6, 115.8, 175.4, 279.6, 500.6", \ + " 79.0, 92.9, 122.1, 181.7, 285.9, 506.9", \ + " 87.6, 101.4, 130.6, 190.2, 294.5, 515.5", \ + " 101.4, 115.6, 145.0, 204.6, 308.9, 529.9", \ + " 117.8, 132.9, 163.2, 222.9, 327.2, 548.1", \ + " 138.7, 154.9, 186.3, 246.7, 350.8, 571.7", \ + " 165.6, 182.9, 215.8, 276.7, 381.2, 602.0", \ + " 201.4, 220.2, 255.2, 317.1, 421.7, 642.7", \ + " 250.1, 270.6, 308.8, 372.8, 477.8, 699.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.1, 62.7, 122.1, 260.5, 511.9, 1050.4", \ + " 40.2, 62.8, 122.2, 260.5, 511.9, 1050.4", \ + " 40.3, 63.0, 122.3, 260.5, 511.9, 1050.4", \ + " 41.2, 63.6, 122.5, 260.6, 511.9, 1050.4", \ + " 44.8, 66.5, 124.1, 261.1, 512.1, 1050.4", \ + " 49.9, 71.7, 127.9, 263.0, 512.6, 1050.5", \ + " 56.1, 78.1, 133.4, 266.2, 514.2, 1050.9", \ + " 63.7, 86.1, 140.2, 270.6, 516.4, 1051.9", \ + " 73.9, 96.9, 150.1, 277.1, 520.1, 1053.4", \ + " 87.6, 111.6, 164.8, 288.2, 526.8, 1056.5" ); }} +timing() { /* ring osc delay an2v0x2, path b to z 68.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.3 ; */ +/* intrinsic_fall : 82.1 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.65 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.3, 73.8, 109.4, 186.0, 321.3, 608.4", \ + " 64.2, 79.7, 115.3, 191.9, 327.2, 614.4", \ + " 68.9, 84.2, 119.8, 196.4, 331.8, 619.0", \ + " 74.2, 89.5, 125.1, 201.7, 337.0, 624.2", \ + " 80.4, 96.2, 132.0, 208.6, 343.9, 631.1", \ + " 86.5, 102.4, 138.6, 215.4, 350.6, 637.8", \ + " 92.5, 108.8, 145.1, 222.1, 357.4, 644.5", \ + " 97.4, 114.4, 151.3, 228.1, 363.9, 650.9", \ + " 99.8, 118.0, 156.1, 233.6, 369.2, 656.6", \ + " 97.3, 116.9, 157.2, 236.4, 373.0, 660.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.1, 82.5, 171.4, 377.2, 747.3, 1536.2", \ + " 50.2, 82.7, 171.4, 377.2, 747.3, 1536.2", \ + " 50.6, 82.9, 171.6, 377.2, 747.3, 1536.2", \ + " 52.4, 84.3, 172.2, 377.4, 747.3, 1536.2", \ + " 55.5, 87.2, 174.2, 378.3, 747.5, 1536.2", \ + " 58.8, 90.3, 176.7, 379.7, 748.3, 1536.2", \ + " 64.0, 94.9, 180.1, 381.7, 749.4, 1537.0", \ + " 71.4, 101.9, 185.2, 385.0, 751.1, 1537.9", \ + " 81.7, 112.3, 194.3, 390.9, 754.8, 1539.6", \ + " 95.9, 127.2, 208.6, 402.5, 762.9, 1544.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 71.9, 100.7, 160.0, 264.0, 484.9", \ + " 66.1, 79.4, 108.2, 167.6, 271.7, 492.5", \ + " 72.3, 85.6, 114.4, 173.8, 277.9, 498.8", \ + " 80.5, 93.9, 122.7, 182.1, 286.2, 507.1", \ + " 93.0, 107.0, 136.1, 195.5, 299.7, 520.6", \ + " 107.7, 122.5, 152.6, 212.2, 316.3, 537.1", \ + " 126.4, 142.2, 173.3, 233.6, 337.7, 558.4", \ + " 150.3, 167.2, 199.8, 260.7, 365.1, 585.7", \ + " 181.6, 200.0, 234.9, 297.1, 401.6, 622.5", \ + " 223.2, 243.4, 281.8, 346.8, 452.1, 673.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.4, 60.3, 120.4, 259.5, 511.3, 1050.0", \ + " 37.6, 60.5, 120.5, 259.5, 511.3, 1050.0", \ + " 37.9, 60.7, 120.6, 259.5, 511.3, 1050.0", \ + " 39.3, 61.6, 121.0, 259.7, 511.3, 1050.0", \ + " 43.4, 65.2, 123.1, 260.4, 511.5, 1050.0", \ + " 48.2, 70.1, 127.0, 262.5, 512.2, 1050.1", \ + " 54.2, 76.2, 132.2, 265.7, 513.8, 1050.6", \ + " 62.1, 84.4, 139.2, 270.3, 516.2, 1051.6", \ + " 72.8, 95.9, 150.0, 277.6, 520.4, 1053.2", \ + " 87.5, 111.6, 166.2, 290.6, 528.8, 1057.2" ); }} +} +} +cell(an2v0x3) { /* 2008-01-06:07h20 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1351 ; /* an2v0x3 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x3 FO4 effort 1.55 */ +direction : input ; +capacitance : 4.58 ; +rise_capacitance : 4.75 ; +fall_capacitance : 4.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x3 */ +} +pin(b) { /* an2v0x3 FO4 effort 1.47 */ +direction : input ; +capacitance : 4.42 ; +rise_capacitance : 4.48 ; +fall_capacitance : 4.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 361 ; +max_fanout : 8 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x3 29.19 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 14.44, 14.58, 14.84, 14.97, 15.00", \ + " 14.22, 14.34, 14.59, 14.75, 14.79", \ + " 14.22, 14.31, 14.55, 14.71, 14.76", \ + " 14.35, 14.38, 14.60, 14.77, 14.83", \ + " 14.80, 14.73, 14.87, 15.04, 15.11", \ + " 15.68, 15.48, 15.52, 15.66, 15.73", \ + " 17.27, 16.89, 16.78, 16.86, 16.92", \ + " 19.89, 19.29, 18.99, 18.96, 18.99", \ + " 24.15, 23.27, 22.72, 22.51, 22.48", \ + " 30.92, 29.69, 28.78, 28.32, 28.16" ); }} +internal_power(b_z_p) { /* an2v0x3 26.45 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 12.97, 13.16, 13.42, 13.55, 13.58", \ + " 12.76, 12.91, 13.17, 13.33, 13.37", \ + " 12.79, 12.90, 13.14, 13.31, 13.36", \ + " 12.98, 13.02, 13.22, 13.40, 13.47", \ + " 13.50, 13.43, 13.56, 13.73, 13.81", \ + " 14.45, 14.25, 14.27, 14.40, 14.48", \ + " 16.08, 15.70, 15.58, 15.65, 15.71", \ + " 18.71, 18.11, 17.80, 17.75, 17.78", \ + " 22.94, 22.03, 21.47, 21.25, 21.21", \ + " 29.64, 28.34, 27.40, 26.94, 26.77" ); }} +timing() { /* ring osc delay an2v0x3, path a to z 71.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.4 ; */ +/* intrinsic_fall : 98.1 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.8, 75.2, 112.6, 192.9, 334.9, 636.3", \ + " 63.3, 79.7, 117.0, 197.4, 339.4, 640.9", \ + " 66.4, 82.7, 120.0, 200.4, 342.4, 643.9", \ + " 70.0, 86.4, 123.7, 204.0, 346.1, 647.6", \ + " 74.2, 91.0, 128.6, 208.9, 351.0, 652.5", \ + " 77.8, 95.0, 133.0, 213.5, 355.5, 657.0", \ + " 80.2, 98.0, 136.4, 217.0, 359.0, 660.5", \ + " 79.8, 98.4, 137.7, 218.5, 360.7, 662.0", \ + " 74.1, 93.9, 134.9, 216.7, 358.9, 660.4", \ + " 59.3, 80.6, 123.8, 207.8, 351.5, 653.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.2, 80.4, 173.8, 390.1, 778.9, 1607.3", \ + " 46.3, 80.4, 173.8, 390.1, 778.9, 1607.3", \ + " 46.7, 80.7, 173.9, 390.1, 778.9, 1607.3", \ + " 48.2, 81.9, 174.5, 390.3, 778.9, 1607.3", \ + " 50.8, 84.5, 176.2, 391.0, 779.0, 1607.3", \ + " 54.2, 87.7, 178.6, 392.2, 779.6, 1607.4", \ + " 59.3, 92.5, 182.2, 394.1, 780.5, 1607.8", \ + " 66.4, 99.7, 187.9, 397.6, 782.1, 1608.5", \ + " 76.2, 110.1, 197.4, 404.2, 786.1, 1610.1", \ + " 89.6, 124.3, 211.7, 416.5, 794.9, 1615.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.4, 87.4, 119.1, 182.0, 291.5, 523.5", \ + " 79.0, 94.9, 126.6, 189.5, 299.0, 531.0", \ + " 85.3, 101.2, 132.9, 195.8, 305.3, 537.3", \ + " 94.0, 109.9, 141.6, 204.5, 314.0, 546.1", \ + " 109.0, 125.0, 156.8, 219.7, 329.2, 561.3", \ + " 127.5, 144.5, 176.9, 239.9, 349.4, 581.4", \ + " 151.0, 169.2, 203.1, 266.6, 376.0, 608.0", \ + " 181.5, 200.9, 236.4, 300.7, 410.3, 642.2", \ + " 222.7, 243.5, 281.3, 346.6, 456.4, 688.3", \ + " 279.4, 302.0, 342.9, 410.3, 520.4, 752.6" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.9, 66.2, 128.0, 272.1, 535.3, 1100.3", \ + " 42.0, 66.3, 128.0, 272.1, 535.3, 1100.3", \ + " 42.1, 66.4, 128.1, 272.1, 535.3, 1100.3", \ + " 42.5, 66.7, 128.3, 272.2, 535.3, 1100.3", \ + " 45.3, 68.8, 129.3, 272.6, 535.4, 1100.3", \ + " 50.8, 74.1, 132.9, 274.0, 535.8, 1100.3", \ + " 57.2, 81.0, 138.8, 277.4, 537.3, 1100.6", \ + " 64.9, 89.2, 146.1, 282.2, 539.7, 1101.6", \ + " 74.9, 99.9, 156.1, 288.9, 543.3, 1103.1", \ + " 88.5, 114.4, 170.6, 299.6, 549.7, 1105.8" ); }} +timing() { /* ring osc delay an2v0x3, path b to z 66.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.8 ; */ +/* intrinsic_fall : 89.0 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.8, 71.2, 108.5, 188.9, 330.9, 632.4", \ + " 60.9, 77.2, 114.5, 194.9, 337.0, 638.5", \ + " 65.5, 81.8, 119.0, 199.4, 341.5, 643.0", \ + " 70.6, 86.9, 124.1, 204.4, 346.5, 648.0", \ + " 76.3, 93.0, 130.6, 210.8, 352.9, 654.4", \ + " 81.6, 98.5, 136.3, 216.8, 358.8, 660.3", \ + " 86.1, 103.5, 141.4, 222.1, 364.1, 665.5", \ + " 88.5, 106.8, 145.3, 225.8, 368.2, 669.5", \ + " 87.0, 106.4, 146.3, 227.3, 369.5, 671.2", \ + " 78.1, 99.1, 141.2, 223.8, 366.9, 668.7" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.2, 80.4, 173.8, 390.1, 778.9, 1607.3", \ + " 46.3, 80.5, 173.9, 390.1, 778.9, 1607.3", \ + " 46.8, 80.9, 174.0, 390.2, 778.9, 1607.3", \ + " 48.7, 82.3, 174.6, 390.3, 779.0, 1607.3", \ + " 51.6, 85.2, 176.6, 391.2, 779.1, 1607.3", \ + " 55.3, 88.4, 179.1, 392.6, 779.9, 1607.4", \ + " 60.7, 93.2, 182.6, 394.5, 780.9, 1608.1", \ + " 68.4, 100.4, 187.7, 397.7, 782.5, 1609.0", \ + " 79.0, 111.2, 196.9, 403.5, 786.0, 1610.5", \ + " 93.4, 126.4, 211.2, 414.8, 793.7, 1615.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 78.9, 110.0, 172.6, 281.9, 513.8", \ + " 71.1, 86.3, 117.4, 180.0, 289.4, 521.2", \ + " 77.4, 92.5, 123.6, 186.3, 295.6, 527.5", \ + " 85.9, 101.1, 132.2, 194.8, 304.2, 536.1", \ + " 99.9, 115.4, 146.7, 209.4, 318.7, 550.6", \ + " 116.5, 133.0, 165.2, 228.0, 337.3, 569.2", \ + " 137.9, 155.4, 188.8, 252.4, 361.5, 593.3", \ + " 165.5, 184.2, 219.2, 283.4, 392.9, 624.5", \ + " 202.4, 222.5, 259.9, 325.3, 435.0, 666.7", \ + " 252.4, 274.4, 315.2, 383.3, 493.5, 725.6" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.6, 63.0, 125.7, 270.8, 534.5, 1099.8", \ + " 38.7, 63.1, 125.7, 270.8, 534.5, 1099.8", \ + " 38.9, 63.3, 125.8, 270.8, 534.5, 1099.8", \ + " 39.7, 63.9, 126.1, 270.9, 534.5, 1099.8", \ + " 43.5, 66.8, 127.6, 271.4, 534.6, 1099.8", \ + " 48.6, 72.2, 131.6, 273.3, 535.1, 1099.8", \ + " 54.8, 78.6, 137.3, 276.7, 536.8, 1100.1", \ + " 62.7, 86.8, 144.4, 281.5, 539.2, 1101.2", \ + " 73.4, 98.0, 155.0, 288.7, 543.3, 1102.7", \ + " 88.1, 113.5, 170.9, 301.1, 551.2, 1106.2" ); }} +} +} +cell(an2v0x4) { /* 2008-01-06:07h20 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1779 ; /* an2v0x4 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x4 FO4 effort 1.53 */ +direction : input ; +capacitance : 5.49 ; +rise_capacitance : 5.70 ; +fall_capacitance : 5.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x4 */ +} +pin(b) { /* an2v0x4 FO4 effort 1.46 */ +direction : input ; +capacitance : 5.26 ; +rise_capacitance : 5.33 ; +fall_capacitance : 5.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 506 ; +max_fanout : 10 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x4 37.77 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 18.72, 18.85, 19.20, 19.41, 19.46", \ + " 18.47, 18.56, 18.91, 19.14, 19.20", \ + " 18.46, 18.52, 18.84, 19.08, 19.16", \ + " 18.63, 18.61, 18.88, 19.14, 19.23", \ + " 19.22, 19.04, 19.21, 19.45, 19.56", \ + " 20.37, 19.98, 19.99, 20.17, 20.29", \ + " 22.40, 21.75, 21.53, 21.61, 21.71", \ + " 25.74, 24.75, 24.24, 24.15, 24.19", \ + " 31.15, 29.73, 28.83, 28.48, 28.42", \ + " 39.71, 37.77, 36.32, 35.60, 35.34" ); }} +internal_power(b_z_p) { /* an2v0x4 34.55 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 16.98, 17.18, 17.54, 17.75, 17.79", \ + " 16.73, 16.89, 17.24, 17.48, 17.54", \ + " 16.77, 16.86, 17.19, 17.44, 17.53", \ + " 17.02, 17.01, 17.28, 17.53, 17.63", \ + " 17.71, 17.53, 17.68, 17.91, 18.02", \ + " 18.94, 18.55, 18.53, 18.70, 18.82", \ + " 21.04, 20.36, 20.12, 20.18, 20.28", \ + " 24.39, 23.37, 22.84, 22.73, 22.76", \ + " 29.77, 28.28, 27.35, 26.98, 26.90", \ + " 38.27, 36.19, 34.68, 33.93, 33.65" ); }} +timing() { /* ring osc delay an2v0x4, path a to z 71.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 98.7 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.9, 77.2, 113.3, 190.0, 325.2, 612.4", \ + " 65.4, 81.6, 117.8, 194.5, 329.8, 616.9", \ + " 68.6, 84.7, 120.8, 197.5, 332.8, 620.0", \ + " 72.3, 88.5, 124.6, 201.2, 336.6, 623.8", \ + " 77.0, 93.6, 129.9, 206.6, 341.9, 629.1", \ + " 81.3, 98.2, 135.0, 211.8, 347.1, 634.2", \ + " 84.6, 102.1, 139.3, 216.3, 351.6, 638.7", \ + " 85.5, 103.8, 142.0, 219.1, 354.6, 641.5", \ + " 81.9, 101.3, 141.0, 219.2, 354.6, 641.8", \ + " 69.9, 90.6, 132.7, 213.1, 349.9, 637.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.2, 78.8, 167.3, 372.5, 742.4, 1531.2", \ + " 46.3, 78.9, 167.3, 372.5, 742.4, 1531.2", \ + " 46.5, 79.1, 167.4, 372.6, 742.4, 1531.2", \ + " 48.0, 80.2, 167.9, 372.7, 742.4, 1531.2", \ + " 50.7, 82.9, 169.7, 373.4, 742.5, 1531.2", \ + " 54.1, 86.2, 172.3, 374.8, 743.1, 1531.2", \ + " 59.2, 91.2, 176.1, 376.9, 744.1, 1531.7", \ + " 66.4, 98.5, 182.0, 380.7, 745.9, 1532.4", \ + " 76.5, 109.0, 191.8, 387.6, 750.1, 1534.1", \ + " 90.4, 123.6, 206.5, 400.2, 759.1, 1539.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.4, 87.2, 118.0, 178.2, 282.6, 503.5", \ + " 79.0, 94.8, 125.6, 185.8, 290.1, 511.1", \ + " 85.3, 101.0, 131.8, 192.1, 296.4, 517.4", \ + " 94.0, 109.7, 140.5, 200.8, 305.1, 526.1", \ + " 109.0, 124.8, 155.6, 215.9, 320.3, 541.3", \ + " 127.4, 144.2, 175.8, 236.1, 340.4, 561.4", \ + " 150.9, 168.8, 201.8, 262.7, 366.9, 587.8", \ + " 181.0, 200.1, 234.8, 296.6, 401.0, 621.7", \ + " 221.5, 242.0, 279.0, 341.9, 446.5, 667.3", \ + " 277.0, 299.1, 339.4, 404.4, 509.4, 730.4" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.4, 64.8, 123.6, 260.3, 510.5, 1048.3", \ + " 41.4, 64.9, 123.6, 260.4, 510.5, 1048.3", \ + " 41.5, 65.0, 123.7, 260.4, 510.5, 1048.3", \ + " 41.9, 65.3, 123.9, 260.4, 510.5, 1048.3", \ + " 44.7, 67.4, 124.9, 260.8, 510.6, 1048.3", \ + " 50.4, 72.9, 128.7, 262.4, 511.1, 1048.4", \ + " 57.1, 80.1, 135.0, 266.0, 512.7, 1048.7", \ + " 65.1, 88.7, 142.8, 271.3, 515.4, 1049.8", \ + " 75.7, 99.8, 153.4, 278.6, 519.5, 1051.4", \ + " 89.9, 114.9, 168.6, 290.1, 526.5, 1054.5" ); }} +timing() { /* ring osc delay an2v0x4, path b to z 66.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.3 ; */ +/* intrinsic_fall : 90.3 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.83 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 73.2, 109.3, 185.9, 321.2, 608.4", \ + " 63.0, 79.2, 115.3, 192.0, 327.3, 614.5", \ + " 67.7, 83.8, 119.9, 196.6, 331.9, 619.1", \ + " 73.2, 89.3, 125.3, 201.9, 337.3, 624.5", \ + " 79.7, 96.2, 132.5, 209.0, 344.4, 631.6", \ + " 85.9, 102.6, 139.2, 215.9, 351.2, 638.3", \ + " 91.6, 108.8, 145.5, 222.5, 357.7, 644.7", \ + " 95.6, 113.6, 150.9, 227.7, 363.3, 650.2", \ + " 96.3, 115.4, 154.1, 231.4, 366.7, 654.0", \ + " 90.6, 111.1, 152.1, 230.9, 367.0, 654.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.2, 78.8, 167.3, 372.5, 742.4, 1531.2", \ + " 46.3, 79.0, 167.3, 372.5, 742.4, 1531.2", \ + " 46.6, 79.2, 167.5, 372.6, 742.4, 1531.2", \ + " 48.4, 80.6, 168.0, 372.7, 742.4, 1531.2", \ + " 51.6, 83.6, 170.1, 373.6, 742.5, 1531.2", \ + " 55.4, 87.1, 172.9, 375.2, 743.4, 1531.2", \ + " 61.1, 92.1, 176.6, 377.3, 744.5, 1531.9", \ + " 69.0, 99.7, 182.1, 380.8, 746.2, 1532.8", \ + " 80.0, 110.8, 191.7, 386.9, 749.9, 1534.5", \ + " 95.2, 126.5, 206.5, 398.6, 757.8, 1538.9" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.3, 79.4, 109.6, 169.5, 273.7, 494.5", \ + " 71.7, 86.8, 117.0, 176.9, 281.1, 502.0", \ + " 77.9, 92.9, 123.2, 183.1, 287.3, 508.2", \ + " 86.5, 101.5, 131.7, 191.7, 295.9, 516.8", \ + " 100.6, 115.9, 146.2, 206.2, 310.4, 531.3", \ + " 117.3, 133.5, 164.9, 224.9, 329.1, 550.0", \ + " 138.7, 155.9, 188.5, 249.3, 353.4, 574.1", \ + " 166.0, 184.4, 218.6, 280.2, 384.6, 605.1", \ + " 202.4, 222.2, 258.7, 321.7, 426.2, 646.9", \ + " 251.4, 272.9, 312.8, 378.6, 483.7, 704.6" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.3, 61.8, 121.3, 259.0, 509.7, 1047.8", \ + " 38.3, 61.8, 121.4, 259.0, 509.7, 1047.8", \ + " 38.4, 62.0, 121.5, 259.0, 509.7, 1047.8", \ + " 39.2, 62.5, 121.7, 259.1, 509.7, 1047.8", \ + " 43.0, 65.5, 123.3, 259.7, 509.9, 1047.8", \ + " 48.4, 71.1, 127.4, 261.7, 510.4, 1047.9", \ + " 55.1, 77.9, 133.5, 265.3, 512.3, 1048.2", \ + " 63.3, 86.5, 141.2, 270.6, 515.0, 1049.4", \ + " 74.6, 98.2, 152.3, 278.4, 519.5, 1051.1", \ + " 90.1, 114.3, 169.0, 291.6, 527.8, 1054.8" ); }} +} +} +cell(an2v0x6) { /* 2008-01-06:07h20 */ +area : 10 ; /* tracks */ +cell_leakage_power : 2437 ; /* an2v0x6 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x6 FO4 effort 1.53 */ +direction : input ; +capacitance : 7.06 ; +rise_capacitance : 7.33 ; +fall_capacitance : 6.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x6 */ +} +pin(b) { /* an2v0x6 FO4 effort 1.47 */ +direction : input ; +capacitance : 6.82 ; +rise_capacitance : 6.92 ; +fall_capacitance : 6.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 731 ; +max_fanout : 15 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x6 52.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 25.92, 26.06, 26.53, 26.83, 26.89", \ + " 25.60, 25.70, 26.16, 26.48, 26.57", \ + " 25.60, 25.63, 26.07, 26.41, 26.52", \ + " 25.82, 25.75, 26.12, 26.48, 26.60", \ + " 26.59, 26.31, 26.53, 26.86, 27.00", \ + " 28.13, 27.53, 27.52, 27.77, 27.93", \ + " 30.85, 29.84, 29.50, 29.60, 29.73", \ + " 35.29, 33.76, 32.99, 32.85, 32.90", \ + " 42.45, 40.28, 38.93, 38.42, 38.31", \ + " 53.79, 50.82, 48.66, 47.60, 47.21" ); }} +internal_power(b_z_p) { /* an2v0x6 48.01 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 23.64, 23.88, 24.38, 24.66, 24.72", \ + " 23.32, 23.50, 23.99, 24.31, 24.40", \ + " 23.36, 23.45, 23.91, 24.26, 24.37", \ + " 23.68, 23.64, 24.01, 24.36, 24.50", \ + " 24.61, 24.31, 24.50, 24.83, 24.98", \ + " 26.27, 25.64, 25.59, 25.83, 25.98", \ + " 29.07, 28.02, 27.65, 27.72, 27.84", \ + " 33.55, 31.96, 31.16, 30.98, 31.01", \ + " 40.69, 38.41, 37.01, 36.45, 36.32", \ + " 51.98, 48.80, 46.54, 45.43, 45.01" ); }} +timing() { /* ring osc delay an2v0x6, path a to z 73.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 101.9 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.59 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 79.2, 116.7, 196.2, 336.5, 634.2", \ + " 67.0, 83.8, 121.2, 200.7, 341.0, 638.8", \ + " 70.1, 86.9, 124.3, 203.8, 344.1, 641.9", \ + " 74.0, 90.7, 128.1, 207.6, 347.9, 645.7", \ + " 78.8, 96.0, 133.6, 213.1, 353.4, 651.2", \ + " 83.3, 100.9, 138.9, 218.5, 358.7, 656.5", \ + " 86.9, 105.0, 143.5, 223.2, 363.4, 661.2", \ + " 88.1, 107.0, 146.4, 226.2, 366.6, 664.2", \ + " 84.8, 104.7, 145.7, 226.5, 366.8, 664.5", \ + " 73.0, 94.4, 137.6, 220.6, 362.2, 660.0" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.8, 81.7, 173.4, 386.2, 769.8, 1587.8", \ + " 47.9, 81.8, 173.4, 386.3, 769.8, 1587.8", \ + " 48.2, 82.0, 173.5, 386.3, 769.8, 1587.8", \ + " 49.6, 83.0, 173.9, 386.4, 769.8, 1587.8", \ + " 52.3, 85.6, 175.7, 387.1, 769.9, 1587.8", \ + " 55.8, 89.1, 178.3, 388.4, 770.5, 1587.8", \ + " 61.0, 94.0, 182.2, 390.5, 771.4, 1588.3", \ + " 68.4, 101.5, 188.0, 394.2, 773.2, 1588.9", \ + " 78.8, 112.2, 197.9, 401.0, 777.2, 1590.5", \ + " 93.2, 127.1, 212.7, 413.5, 786.0, 1595.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 91.0, 123.4, 186.5, 296.1, 528.1", \ + " 82.0, 98.6, 130.9, 194.1, 303.7, 535.7", \ + " 88.3, 104.8, 137.1, 200.4, 309.9, 542.0", \ + " 97.0, 113.5, 145.8, 209.1, 318.6, 550.7", \ + " 112.2, 128.7, 161.1, 224.3, 333.9, 565.9", \ + " 131.2, 148.6, 181.5, 244.8, 354.3, 586.3", \ + " 155.3, 173.9, 208.2, 272.0, 381.4, 613.3", \ + " 186.2, 206.0, 242.1, 306.7, 416.2, 648.0", \ + " 227.6, 248.8, 287.2, 352.9, 462.6, 694.4", \ + " 284.4, 307.3, 348.9, 416.7, 526.6, 758.6" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.6, 68.2, 129.9, 273.5, 536.1, 1100.8", \ + " 43.7, 68.3, 129.9, 273.5, 536.1, 1100.8", \ + " 43.7, 68.4, 130.0, 273.5, 536.1, 1100.8", \ + " 44.1, 68.7, 130.2, 273.6, 536.2, 1100.8", \ + " 46.5, 70.5, 131.1, 273.9, 536.2, 1100.8", \ + " 52.2, 75.9, 134.6, 275.3, 536.6, 1100.9", \ + " 59.4, 83.4, 140.9, 278.8, 538.1, 1101.1", \ + " 67.7, 92.3, 149.0, 284.1, 540.7, 1102.1", \ + " 78.6, 103.7, 159.7, 291.5, 544.8, 1103.7", \ + " 93.3, 119.1, 175.1, 302.9, 551.7, 1106.6" ); }} +timing() { /* ring osc delay an2v0x6, path b to z 67.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 93.4 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.58 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 75.3, 112.7, 192.2, 332.5, 630.3", \ + " 64.5, 81.3, 118.7, 198.2, 338.6, 636.4", \ + " 69.3, 86.0, 123.3, 202.9, 343.2, 641.0", \ + " 75.0, 91.6, 128.9, 208.4, 348.7, 646.5", \ + " 81.8, 98.8, 136.3, 215.7, 356.0, 653.8", \ + " 88.2, 105.5, 143.3, 222.8, 363.0, 660.8", \ + " 94.2, 111.9, 149.9, 229.6, 369.8, 667.5", \ + " 98.6, 117.0, 155.6, 235.1, 375.6, 673.1", \ + " 99.6, 119.1, 159.1, 239.0, 379.2, 677.0", \ + " 94.2, 115.2, 157.3, 238.7, 379.6, 677.5" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.8, 81.7, 173.4, 386.2, 769.8, 1587.8", \ + " 47.9, 81.8, 173.4, 386.3, 769.8, 1587.8", \ + " 48.2, 82.1, 173.6, 386.3, 769.8, 1587.8", \ + " 49.8, 83.3, 174.1, 386.4, 769.8, 1587.8", \ + " 53.3, 86.3, 176.1, 387.2, 769.9, 1587.8", \ + " 57.2, 90.0, 178.9, 388.8, 770.7, 1587.8", \ + " 63.0, 95.1, 182.8, 390.9, 771.8, 1588.5", \ + " 71.2, 102.9, 188.3, 394.3, 773.5, 1589.4", \ + " 82.6, 114.3, 197.9, 400.4, 777.0, 1590.9", \ + " 98.3, 130.4, 212.9, 412.0, 784.8, 1595.2" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.2, 83.0, 114.8, 177.6, 287.0, 519.0", \ + " 74.6, 90.4, 122.1, 185.0, 294.5, 526.4", \ + " 80.8, 96.6, 128.3, 191.3, 300.7, 532.6", \ + " 89.4, 105.1, 136.9, 199.8, 309.3, 541.2", \ + " 103.9, 119.8, 151.6, 214.5, 323.9, 555.9", \ + " 121.1, 138.0, 170.6, 233.6, 343.0, 574.9", \ + " 143.1, 161.0, 195.0, 258.6, 367.9, 599.7", \ + " 171.3, 190.3, 225.9, 290.3, 399.8, 631.4", \ + " 208.7, 229.1, 267.0, 332.7, 442.3, 673.9", \ + " 259.1, 281.3, 322.6, 390.9, 501.0, 732.8" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.5, 65.1, 127.6, 272.1, 535.3, 1100.3", \ + " 40.4, 65.2, 127.6, 272.1, 535.3, 1100.3", \ + " 40.6, 65.3, 127.7, 272.1, 535.3, 1100.3", \ + " 41.2, 65.8, 127.9, 272.2, 535.3, 1100.3", \ + " 44.7, 68.4, 129.2, 272.6, 535.5, 1100.3", \ + " 50.5, 74.1, 133.2, 274.5, 535.9, 1100.4", \ + " 57.3, 81.2, 139.5, 278.1, 537.7, 1100.6", \ + " 65.9, 90.0, 147.3, 283.4, 540.3, 1101.7", \ + " 77.5, 102.0, 158.6, 291.2, 544.7, 1103.4", \ + " 93.4, 118.4, 175.4, 304.2, 552.9, 1106.9" ); }} +} +} +cell(an2v0x8) { /* 2008-01-06:07h20 */ +area : 12 ; /* tracks */ +cell_leakage_power : 3269 ; /* an2v0x8 */ +cell_footprint : an2 ; +pin(a) { /* an2v0x8 FO4 effort 1.53 */ +direction : input ; +capacitance : 8.88 ; +rise_capacitance : 9.25 ; +fall_capacitance : 8.52 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v0x8 */ +} +pin(b) { /* an2v0x8 FO4 effort 1.47 */ +direction : input ; +capacitance : 8.57 ; +rise_capacitance : 8.71 ; +fall_capacitance : 8.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 1012 ; +max_fanout : 20 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v0x8 69.97 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 34.90, 34.91, 35.51, 35.93, 36.04", \ + " 34.52, 34.46, 35.05, 35.50, 35.63", \ + " 34.52, 34.38, 34.93, 35.40, 35.56", \ + " 34.82, 34.54, 34.99, 35.47, 35.66", \ + " 35.84, 35.27, 35.49, 35.94, 36.15", \ + " 37.92, 36.90, 36.77, 37.09, 37.31", \ + " 41.58, 39.94, 39.33, 39.41, 39.57", \ + " 47.47, 45.09, 43.84, 43.54, 43.58", \ + " 56.91, 53.62, 51.50, 50.66, 50.45", \ + " 71.80, 67.39, 64.08, 62.43, 61.80" ); }} +internal_power(b_z_p) { /* an2v0x8 64.46 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 31.90, 32.08, 32.74, 33.15, 33.25", \ + " 31.51, 31.60, 32.23, 32.69, 32.83", \ + " 31.55, 31.53, 32.12, 32.61, 32.78", \ + " 31.99, 31.78, 32.23, 32.72, 32.93", \ + " 33.24, 32.68, 32.87, 33.29, 33.52", \ + " 35.51, 34.45, 34.27, 34.56, 34.77", \ + " 39.28, 37.59, 36.92, 36.96, 37.11", \ + " 45.25, 42.77, 41.46, 41.11, 41.13", \ + " 54.72, 51.24, 49.04, 48.13, 47.89", \ + " 69.61, 64.87, 61.40, 59.67, 58.99" ); }} +timing() { /* ring osc delay an2v0x8, path a to z 74.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.8 ; */ +/* intrinsic_fall : 105.0 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.41 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.6, 79.1, 115.4, 192.2, 327.5, 614.7", \ + " 67.2, 83.7, 120.0, 196.8, 332.1, 619.3", \ + " 70.4, 86.8, 123.1, 199.8, 335.2, 622.4", \ + " 74.3, 90.7, 126.9, 203.7, 339.0, 626.2", \ + " 79.2, 96.0, 132.5, 209.2, 344.6, 631.7", \ + " 83.8, 101.0, 137.9, 214.7, 350.0, 637.1", \ + " 87.5, 105.1, 142.5, 219.4, 354.7, 641.8", \ + " 88.6, 107.0, 145.2, 222.3, 357.7, 644.6", \ + " 84.9, 104.3, 144.1, 222.2, 357.5, 644.5", \ + " 72.6, 93.3, 135.3, 215.4, 352.0, 639.0" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.3, 80.1, 168.3, 373.3, 742.9, 1531.6", \ + " 47.4, 80.2, 168.4, 373.3, 742.9, 1531.6", \ + " 47.6, 80.4, 168.5, 373.3, 742.9, 1531.6", \ + " 48.9, 81.3, 168.9, 373.4, 742.9, 1531.6", \ + " 51.7, 84.0, 170.6, 374.1, 743.0, 1531.6", \ + " 55.2, 87.4, 173.3, 375.5, 743.6, 1531.6", \ + " 60.4, 92.4, 177.3, 377.7, 744.6, 1532.1", \ + " 67.8, 99.9, 183.3, 381.5, 746.4, 1532.8", \ + " 78.3, 110.7, 193.2, 388.4, 750.5, 1534.4", \ + " 92.8, 125.7, 208.1, 401.1, 759.4, 1539.4" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.6, 93.0, 124.3, 184.0, 286.6, 503.7", \ + " 84.2, 100.5, 131.8, 191.5, 294.1, 511.3", \ + " 90.4, 106.8, 138.0, 197.7, 300.4, 517.5", \ + " 99.2, 115.4, 146.7, 206.4, 309.1, 526.2", \ + " 114.6, 130.8, 162.1, 221.8, 324.5, 541.6", \ + " 134.2, 151.3, 183.0, 242.8, 345.3, 562.5", \ + " 159.1, 177.2, 210.6, 270.8, 373.3, 590.4", \ + " 190.9, 210.2, 245.4, 306.7, 409.3, 626.1", \ + " 233.7, 254.3, 291.8, 354.4, 457.2, 674.1", \ + " 292.4, 314.6, 355.2, 420.1, 523.3, 740.3" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.4, 67.9, 125.4, 258.8, 503.7, 1031.4", \ + " 44.5, 68.0, 125.5, 258.8, 503.7, 1031.4", \ + " 44.5, 68.1, 125.5, 258.9, 503.7, 1031.4", \ + " 44.8, 68.4, 125.7, 258.9, 503.7, 1031.4", \ + " 46.9, 69.9, 126.6, 259.2, 503.8, 1031.4", \ + " 52.8, 75.2, 130.0, 260.6, 504.2, 1031.5", \ + " 60.2, 83.0, 136.8, 264.5, 505.9, 1031.7", \ + " 68.9, 92.2, 145.4, 270.3, 508.8, 1032.9", \ + " 79.9, 103.8, 156.6, 278.4, 513.4, 1034.7", \ + " 94.9, 119.3, 172.3, 290.5, 520.9, 1037.9" ); }} +timing() { /* ring osc delay an2v0x8, path b to z 68.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.8 ; */ +/* intrinsic_fall : 96.3 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.41 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 75.2, 111.6, 188.3, 323.6, 610.8", \ + " 64.9, 81.3, 117.6, 194.4, 329.7, 616.9", \ + " 69.7, 86.0, 122.3, 199.0, 334.4, 621.6", \ + " 75.4, 91.7, 127.8, 204.6, 339.9, 627.2", \ + " 82.4, 99.0, 135.4, 212.0, 347.3, 634.5", \ + " 88.9, 105.8, 142.5, 219.2, 354.5, 641.6", \ + " 94.9, 112.2, 149.1, 226.0, 361.2, 648.3", \ + " 99.2, 117.2, 154.7, 231.4, 366.9, 653.7", \ + " 99.9, 119.0, 157.8, 234.9, 370.1, 657.2", \ + " 93.9, 114.3, 155.3, 233.8, 369.6, 656.8" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.4, 80.1, 168.3, 373.3, 742.9, 1531.6", \ + " 47.4, 80.2, 168.4, 373.3, 742.9, 1531.6", \ + " 47.6, 80.4, 168.5, 373.3, 742.9, 1531.6", \ + " 49.2, 81.6, 169.0, 373.5, 742.9, 1531.6", \ + " 52.7, 84.7, 171.1, 374.3, 743.0, 1531.6", \ + " 56.7, 88.4, 174.0, 375.9, 743.8, 1531.6", \ + " 62.6, 93.7, 178.0, 378.1, 745.0, 1532.3", \ + " 70.9, 101.6, 183.7, 381.7, 746.8, 1533.2", \ + " 82.5, 113.1, 193.5, 387.9, 750.4, 1534.8", \ + " 98.5, 129.4, 208.7, 399.8, 758.2, 1539.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.3, 84.9, 115.5, 174.8, 277.3, 494.3", \ + " 76.6, 92.2, 122.8, 182.2, 284.6, 501.7", \ + " 82.9, 98.4, 129.0, 188.3, 290.8, 507.9", \ + " 91.5, 106.9, 137.5, 196.9, 299.4, 516.5", \ + " 106.3, 121.8, 152.5, 211.9, 314.3, 531.4", \ + " 124.2, 140.7, 172.1, 231.6, 334.0, 551.0", \ + " 147.0, 164.5, 197.3, 257.5, 359.7, 576.6", \ + " 176.1, 194.7, 229.2, 290.3, 392.9, 609.5", \ + " 215.0, 234.9, 271.7, 334.2, 436.9, 653.6", \ + " 267.6, 289.0, 329.1, 394.4, 497.7, 714.6" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.1, 64.5, 122.9, 257.3, 502.7, 1030.8", \ + " 41.2, 64.6, 122.9, 257.3, 502.7, 1030.8", \ + " 41.3, 64.7, 123.0, 257.3, 502.7, 1030.8", \ + " 41.7, 65.1, 123.2, 257.4, 502.8, 1030.8", \ + " 45.0, 67.5, 124.4, 257.8, 502.9, 1030.8", \ + " 51.0, 73.3, 128.5, 259.7, 503.4, 1030.9", \ + " 58.2, 80.7, 135.2, 263.7, 505.3, 1031.2", \ + " 67.0, 89.8, 143.5, 269.5, 508.4, 1032.5", \ + " 78.9, 101.9, 155.1, 277.8, 513.2, 1034.3", \ + " 95.1, 118.6, 172.2, 291.4, 521.9, 1038.2" ); }} +} +} +cell(an2v2x2) { /* 2008-01-06:07h21 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1040 ; /* an2v2x2 */ +cell_footprint : an2 ; +pin(a) { /* an2v2x2 FO4 effort 1.61 */ +direction : input ; +capacitance : 3.94 ; +rise_capacitance : 4.09 ; +fall_capacitance : 3.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v2x2 */ +} +pin(b) { /* an2v2x2 FO4 effort 1.52 */ +direction : input ; +capacitance : 3.75 ; +rise_capacitance : 3.80 ; +fall_capacitance : 3.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v2x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v2x2 23.36 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 11.55, 11.69, 11.89, 11.99, 12.01", \ + " 11.35, 11.48, 11.67, 11.79, 11.83", \ + " 11.35, 11.45, 11.64, 11.77, 11.81", \ + " 11.44, 11.51, 11.68, 11.82, 11.87", \ + " 11.79, 11.79, 11.92, 12.06, 12.11", \ + " 12.48, 12.41, 12.46, 12.58, 12.65", \ + " 13.75, 13.56, 13.53, 13.61, 13.67", \ + " 15.85, 15.54, 15.38, 15.39, 15.43", \ + " 19.30, 18.81, 18.49, 18.38, 18.38", \ + " 24.78, 24.08, 23.53, 23.25, 23.16" ); }} +internal_power(b_z_p) { /* an2v2x2 21.08 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 10.32, 10.49, 10.68, 10.79, 10.81", \ + " 10.14, 10.28, 10.48, 10.60, 10.64", \ + " 10.16, 10.27, 10.46, 10.60, 10.64", \ + " 10.31, 10.37, 10.54, 10.68, 10.74", \ + " 10.72, 10.72, 10.83, 10.97, 11.03", \ + " 11.46, 11.38, 11.43, 11.55, 11.62", \ + " 12.76, 12.58, 12.54, 12.61, 12.67", \ + " 14.87, 14.55, 14.39, 14.39, 14.43", \ + " 18.27, 17.77, 17.45, 17.34, 17.33", \ + " 23.67, 22.93, 22.37, 22.09, 22.00" ); }} +timing() { /* ring osc delay an2v2x2, path a to z 73.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.2 ; */ +/* intrinsic_fall : 95.3 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.66 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 74.5, 109.9, 186.4, 321.7, 608.8", \ + " 63.7, 78.9, 114.3, 190.9, 326.2, 613.3", \ + " 66.7, 81.9, 117.3, 193.9, 329.2, 616.3", \ + " 70.1, 85.4, 120.8, 197.4, 332.7, 619.9", \ + " 74.1, 89.7, 125.5, 202.1, 337.4, 624.6", \ + " 77.5, 93.5, 129.5, 206.3, 341.6, 628.8", \ + " 79.7, 96.2, 132.6, 209.5, 344.9, 632.0", \ + " 79.1, 96.4, 133.7, 210.7, 346.3, 633.3", \ + " 73.3, 91.7, 130.6, 208.8, 344.4, 631.7", \ + " 58.6, 78.4, 119.5, 199.9, 337.2, 624.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.8, 81.2, 170.5, 376.7, 747.1, 1536.0", \ + " 48.9, 81.3, 170.5, 376.7, 747.1, 1536.0", \ + " 49.4, 81.6, 170.6, 376.7, 747.1, 1536.0", \ + " 51.0, 82.9, 171.2, 376.9, 747.1, 1536.0", \ + " 53.6, 85.5, 172.9, 377.6, 747.2, 1536.0", \ + " 57.0, 88.6, 175.3, 378.9, 747.8, 1536.1", \ + " 62.1, 93.4, 178.8, 380.8, 748.7, 1536.6", \ + " 69.3, 100.6, 184.6, 384.4, 750.5, 1537.3", \ + " 79.1, 110.9, 194.1, 391.1, 754.7, 1539.1", \ + " 92.5, 125.0, 208.4, 403.7, 763.8, 1544.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.0, 84.3, 114.0, 173.8, 278.0, 499.0", \ + " 77.5, 91.8, 121.5, 181.3, 285.6, 506.6", \ + " 83.8, 98.1, 127.8, 187.6, 291.9, 512.9", \ + " 92.5, 106.8, 136.5, 196.3, 300.6, 521.6", \ + " 107.2, 121.7, 151.5, 211.3, 315.6, 536.6", \ + " 125.0, 140.4, 171.0, 230.9, 335.1, 556.1", \ + " 147.8, 164.3, 196.0, 256.6, 360.8, 581.7", \ + " 177.4, 195.0, 228.3, 289.4, 393.9, 614.7", \ + " 217.4, 236.4, 271.7, 333.8, 438.5, 659.5", \ + " 272.4, 293.1, 331.4, 395.5, 500.5, 721.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.4, 65.1, 124.2, 262.0, 512.9, 1051.2", \ + " 42.4, 65.2, 124.2, 262.0, 512.9, 1051.2", \ + " 42.6, 65.3, 124.3, 262.0, 512.9, 1051.2", \ + " 43.1, 65.8, 124.5, 262.1, 512.9, 1051.2", \ + " 46.3, 68.2, 125.7, 262.5, 513.0, 1051.2", \ + " 51.6, 73.4, 129.4, 264.1, 513.5, 1051.2", \ + " 57.8, 79.9, 135.1, 267.4, 515.1, 1051.5", \ + " 65.3, 87.9, 142.1, 272.0, 517.4, 1052.6", \ + " 75.2, 98.4, 151.8, 278.5, 521.1, 1054.1", \ + " 88.7, 112.8, 166.0, 289.2, 527.5, 1057.0" ); }} +timing() { /* ring osc delay an2v2x2, path b to z 68.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.2 ; */ +/* intrinsic_fall : 86.3 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.66 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.0, 70.3, 105.7, 182.2, 317.5, 604.6", \ + " 61.0, 76.2, 111.7, 188.2, 323.5, 610.7", \ + " 65.5, 80.6, 116.0, 192.6, 328.0, 615.1", \ + " 70.3, 85.5, 120.9, 197.4, 332.8, 620.0", \ + " 75.7, 91.2, 127.0, 203.5, 338.8, 626.0", \ + " 80.7, 96.4, 132.2, 209.1, 344.3, 631.5", \ + " 84.9, 101.0, 137.0, 213.9, 349.3, 636.4", \ + " 87.1, 104.0, 140.6, 217.3, 353.1, 640.1", \ + " 85.5, 103.4, 141.3, 218.7, 354.3, 641.7", \ + " 76.7, 96.1, 136.0, 215.1, 351.7, 639.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.8, 81.2, 170.5, 376.7, 747.1, 1536.0", \ + " 48.9, 81.4, 170.5, 376.7, 747.1, 1536.0", \ + " 49.5, 81.8, 170.7, 376.7, 747.1, 1536.0", \ + " 51.5, 83.3, 171.4, 376.9, 747.1, 1536.0", \ + " 54.3, 86.2, 173.4, 377.8, 747.2, 1536.0", \ + " 57.9, 89.2, 175.8, 379.2, 748.1, 1536.1", \ + " 63.2, 93.8, 179.1, 381.1, 749.2, 1536.9", \ + " 70.7, 101.0, 184.2, 384.4, 750.8, 1537.8", \ + " 81.2, 111.5, 193.4, 390.3, 754.5, 1539.5", \ + " 95.6, 126.5, 207.5, 401.7, 762.5, 1544.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 75.8, 105.0, 164.4, 268.6, 489.4", \ + " 69.5, 83.2, 112.4, 171.9, 276.0, 496.9", \ + " 75.7, 89.4, 118.6, 178.2, 282.3, 503.2", \ + " 84.2, 97.9, 127.1, 186.7, 290.9, 511.7", \ + " 97.6, 111.8, 141.2, 200.8, 305.0, 525.9", \ + " 113.6, 128.6, 159.0, 218.7, 322.8, 543.7", \ + " 134.2, 150.1, 181.5, 242.0, 346.0, 566.8", \ + " 160.8, 177.8, 210.6, 271.7, 376.1, 596.7", \ + " 196.3, 214.7, 249.8, 312.1, 416.6, 637.5", \ + " 244.4, 264.6, 303.0, 367.9, 473.2, 694.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 39.1, 62.1, 122.1, 260.7, 512.1, 1050.6", \ + " 39.3, 62.3, 122.1, 260.7, 512.1, 1050.6", \ + " 39.6, 62.5, 122.2, 260.7, 512.1, 1050.6", \ + " 40.6, 63.2, 122.6, 260.8, 512.2, 1050.6", \ + " 44.5, 66.4, 124.4, 261.4, 512.3, 1050.7", \ + " 49.4, 71.5, 128.2, 263.5, 512.9, 1050.7", \ + " 55.4, 77.6, 133.7, 266.7, 514.6, 1051.1", \ + " 63.1, 85.6, 140.5, 271.4, 517.0, 1052.2", \ + " 73.7, 96.7, 150.9, 278.5, 521.1, 1053.8", \ + " 88.2, 112.1, 166.8, 291.1, 529.2, 1057.5" ); }} +} +} +cell(an2v4x1) { /* 2008-01-06:07h21 */ +area : 5 ; /* tracks */ +cell_leakage_power : 520 ; /* an2v4x1 */ +cell_footprint : an2 ; +pin(a) { /* an2v4x1 FO4 effort 1.81 */ +direction : input ; +capacitance : 1.75 ; +rise_capacitance : 1.84 ; +fall_capacitance : 1.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v4x1 */ +} +pin(b) { /* an2v4x1 FO4 effort 1.69 */ +direction : input ; +capacitance : 1.58 ; +rise_capacitance : 1.61 ; +fall_capacitance : 1.54 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v4x1 14.13 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 7.08, 7.09, 7.19, 7.26, 7.27", \ + " 7.00, 7.00, 7.10, 7.17, 7.19", \ + " 6.98, 6.98, 7.07, 7.15, 7.17", \ + " 7.01, 6.98, 7.07, 7.15, 7.18", \ + " 7.12, 7.06, 7.12, 7.20, 7.24", \ + " 7.41, 7.29, 7.30, 7.36, 7.40", \ + " 7.94, 7.74, 7.67, 7.70, 7.73", \ + " 8.83, 8.51, 8.35, 8.32, 8.34", \ + " 10.28, 9.81, 9.52, 9.41, 9.39", \ + " 12.58, 11.94, 11.47, 11.23, 11.16" ); }} +internal_power(b_z_p) { /* an2v4x1 13.04 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 6.47, 6.51, 6.62, 6.69, 6.71", \ + " 6.40, 6.43, 6.54, 6.61, 6.64", \ + " 6.39, 6.41, 6.51, 6.60, 6.62", \ + " 6.44, 6.43, 6.52, 6.61, 6.64", \ + " 6.60, 6.55, 6.60, 6.68, 6.72", \ + " 6.93, 6.80, 6.80, 6.87, 6.91", \ + " 7.49, 7.28, 7.20, 7.23, 7.26", \ + " 8.40, 8.07, 7.89, 7.86, 7.88", \ + " 9.85, 9.37, 9.06, 8.95, 8.92", \ + " 12.17, 11.49, 10.99, 10.75, 10.66" ); }} +timing() { /* ring osc delay an2v4x1, path a to z 91.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.4 ; */ +/* intrinsic_fall : 126.9 ; */ +/* rise_resistance : 3.31 ; */ +/* fall_resistance : 2.62 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.0, 90.4, 132.2, 221.6, 379.4, 714.4", \ + " 76.7, 95.0, 136.9, 226.3, 384.1, 719.2", \ + " 79.9, 98.2, 140.0, 229.4, 387.3, 722.3", \ + " 83.9, 102.1, 143.9, 233.3, 391.2, 726.2", \ + " 89.1, 107.7, 149.6, 239.0, 396.9, 731.9", \ + " 93.9, 112.9, 155.3, 244.7, 402.5, 737.5", \ + " 97.6, 117.1, 159.8, 249.5, 407.2, 742.1", \ + " 98.5, 118.6, 162.0, 251.7, 409.6, 744.4", \ + " 93.8, 114.9, 159.8, 250.0, 407.9, 742.7", \ + " 79.1, 101.7, 148.7, 240.7, 399.2, 734.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.9, 96.9, 200.3, 439.9, 871.5, 1791.7", \ + " 59.0, 96.9, 200.3, 439.9, 871.5, 1791.7", \ + " 59.1, 97.1, 200.4, 439.9, 871.5, 1791.7", \ + " 60.1, 97.8, 200.7, 440.0, 871.5, 1791.7", \ + " 63.1, 100.3, 202.2, 440.5, 871.5, 1791.7", \ + " 66.6, 104.0, 204.8, 441.9, 872.0, 1791.8", \ + " 71.9, 108.8, 208.7, 443.9, 873.0, 1792.1", \ + " 79.6, 116.3, 214.3, 447.3, 874.5, 1792.8", \ + " 90.6, 127.4, 223.8, 453.6, 877.9, 1794.1", \ + " 105.8, 143.0, 238.7, 465.1, 885.6, 1798.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.6, 117.5, 154.7, 225.8, 347.7, 605.6", \ + " 105.7, 124.6, 161.9, 233.0, 354.9, 612.8", \ + " 111.8, 130.7, 167.9, 239.1, 361.0, 618.8", \ + " 120.4, 139.3, 176.5, 247.6, 369.6, 627.4", \ + " 136.1, 154.9, 192.1, 263.2, 385.2, 643.1", \ + " 158.0, 176.9, 214.2, 285.4, 407.3, 665.2", \ + " 186.3, 206.4, 245.0, 316.3, 438.1, 695.9", \ + " 222.2, 243.6, 284.1, 356.5, 478.2, 735.9", \ + " 270.2, 293.1, 335.9, 409.7, 531.8, 789.2", \ + " 336.5, 360.9, 406.9, 482.6, 605.0, 862.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.4, 87.0, 155.2, 313.0, 603.2, 1229.3", \ + " 59.3, 87.0, 155.2, 313.0, 603.2, 1229.3", \ + " 59.4, 87.1, 155.3, 313.0, 603.2, 1229.3", \ + " 59.5, 87.2, 155.3, 313.1, 603.2, 1229.3", \ + " 60.2, 87.9, 155.8, 313.2, 603.2, 1229.4", \ + " 64.9, 91.4, 157.8, 314.0, 603.5, 1229.4", \ + " 73.2, 99.7, 164.0, 317.1, 604.5, 1229.6", \ + " 82.8, 109.8, 173.4, 323.1, 607.5, 1230.3", \ + " 94.4, 122.0, 185.1, 331.6, 612.1, 1232.2", \ + " 109.7, 137.9, 200.8, 343.4, 619.3, 1235.2" ); }} +timing() { /* ring osc delay an2v4x1, path b to z 84.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.2 ; */ +/* intrinsic_fall : 115.1 ; */ +/* rise_resistance : 3.31 ; */ +/* fall_resistance : 2.61 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.0, 85.3, 127.2, 216.6, 374.4, 709.4", \ + " 73.2, 91.5, 133.3, 222.7, 380.6, 715.6", \ + " 78.0, 96.2, 138.0, 227.4, 385.3, 720.4", \ + " 83.8, 102.0, 143.7, 233.1, 391.0, 726.1", \ + " 91.3, 109.8, 151.5, 240.8, 398.7, 733.8", \ + " 98.2, 116.8, 159.0, 248.3, 406.1, 741.1", \ + " 104.2, 123.3, 165.5, 255.1, 412.7, 747.6", \ + " 108.1, 127.8, 170.5, 259.7, 417.7, 752.4", \ + " 107.6, 128.4, 172.2, 261.6, 419.3, 754.2", \ + " 99.0, 121.2, 167.1, 257.6, 415.5, 750.5" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.9, 96.9, 200.3, 439.9, 871.5, 1791.7", \ + " 59.0, 97.0, 200.3, 439.9, 871.5, 1791.7", \ + " 59.2, 97.2, 200.4, 439.9, 871.5, 1791.7", \ + " 60.4, 98.0, 200.8, 440.0, 871.5, 1791.7", \ + " 63.8, 100.9, 202.5, 440.6, 871.6, 1791.7", \ + " 68.0, 104.9, 205.3, 442.1, 872.1, 1791.8", \ + " 74.1, 110.0, 209.3, 444.2, 873.2, 1792.1", \ + " 82.7, 117.9, 214.7, 447.4, 874.7, 1793.1", \ + " 94.8, 129.8, 224.2, 453.2, 877.8, 1794.3", \ + " 111.5, 146.7, 239.4, 464.1, 884.7, 1797.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.0, 106.1, 142.5, 213.2, 334.9, 592.7", \ + " 95.2, 113.2, 149.6, 220.3, 342.0, 599.8", \ + " 101.2, 119.2, 155.7, 226.4, 348.1, 605.9", \ + " 109.8, 127.8, 164.2, 235.0, 356.7, 614.5", \ + " 125.3, 143.2, 179.6, 250.3, 372.1, 629.9", \ + " 145.9, 164.2, 200.8, 271.6, 393.3, 651.1", \ + " 171.9, 191.4, 229.5, 300.5, 422.1, 679.8", \ + " 205.2, 225.8, 265.6, 337.8, 459.3, 716.7", \ + " 249.6, 271.5, 313.5, 387.0, 509.0, 766.1", \ + " 310.2, 333.8, 378.9, 454.8, 577.0, 834.4" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.1, 82.7, 151.9, 311.0, 601.9, 1228.6", \ + " 55.1, 82.7, 151.9, 311.0, 601.9, 1228.6", \ + " 55.2, 82.8, 151.9, 311.0, 602.0, 1228.6", \ + " 55.3, 83.0, 152.1, 311.1, 602.0, 1228.6", \ + " 56.9, 84.1, 152.7, 311.3, 602.0, 1228.6", \ + " 62.5, 88.8, 155.5, 312.4, 602.4, 1228.7", \ + " 70.6, 96.9, 162.0, 316.0, 603.7, 1228.8", \ + " 80.1, 106.6, 171.0, 321.8, 606.7, 1229.7", \ + " 92.2, 119.0, 182.6, 330.3, 611.4, 1231.5", \ + " 108.7, 135.9, 199.3, 343.0, 619.3, 1234.7" ); }} +} +} +cell(an2v4x2) { /* 2008-01-06:07h21 */ +area : 5 ; /* tracks */ +cell_leakage_power : 751 ; /* an2v4x2 */ +cell_footprint : an2 ; +pin(a) { /* an2v4x2 FO4 effort 1.78 */ +direction : input ; +capacitance : 2.08 ; +rise_capacitance : 2.18 ; +fall_capacitance : 1.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v4x2 */ +} +pin(b) { /* an2v4x2 FO4 effort 1.69 */ +direction : input ; +capacitance : 1.88 ; +rise_capacitance : 1.91 ; +fall_capacitance : 1.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v4x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v4x2 19.57 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.91, 9.83, 9.93, 10.04, 10.07", \ + " 9.82, 9.73, 9.83, 9.94, 9.98", \ + " 9.80, 9.70, 9.79, 9.91, 9.95", \ + " 9.84, 9.71, 9.79, 9.90, 9.95", \ + " 10.01, 9.83, 9.86, 9.96, 10.02", \ + " 10.42, 10.15, 10.09, 10.16, 10.21", \ + " 11.19, 10.78, 10.58, 10.58, 10.62", \ + " 12.45, 11.84, 11.48, 11.37, 11.37", \ + " 14.45, 13.62, 13.03, 12.77, 12.70", \ + " 17.62, 16.52, 15.61, 15.14, 14.95" ); }} +internal_power(b_z_p) { /* an2v4x2 18.32 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 9.20, 9.16, 9.29, 9.39, 9.43", \ + " 9.12, 9.07, 9.19, 9.31, 9.35", \ + " 9.11, 9.05, 9.16, 9.28, 9.33", \ + " 9.18, 9.08, 9.16, 9.28, 9.34", \ + " 9.41, 9.25, 9.26, 9.37, 9.43", \ + " 9.89, 9.61, 9.53, 9.59, 9.65", \ + " 10.71, 10.27, 10.06, 10.04, 10.08", \ + " 11.99, 11.36, 10.97, 10.85, 10.84", \ + " 14.02, 13.14, 12.52, 12.24, 12.16", \ + " 17.22, 16.04, 15.08, 14.57, 14.36" ); }} +timing() { /* ring osc delay an2v4x2, path a to z 92.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.3 ; */ +/* intrinsic_fall : 125.9 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.71 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.0, 93.9, 131.1, 208.3, 343.7, 630.9", \ + " 81.7, 98.5, 135.7, 212.9, 348.3, 635.5", \ + " 84.9, 101.7, 138.9, 216.0, 351.5, 638.7", \ + " 89.1, 105.8, 142.9, 220.1, 355.5, 642.7", \ + " 95.1, 112.1, 149.3, 226.4, 361.8, 649.0", \ + " 101.1, 118.4, 156.1, 233.3, 368.6, 655.8", \ + " 106.6, 124.3, 162.5, 240.0, 375.2, 662.3", \ + " 110.1, 128.4, 167.3, 244.9, 380.4, 667.3", \ + " 109.3, 128.4, 168.8, 247.1, 382.4, 669.4", \ + " 100.4, 120.7, 163.0, 243.3, 379.3, 666.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.2, 92.2, 179.8, 383.3, 751.7, 1539.8", \ + " 59.2, 92.3, 179.8, 383.3, 751.7, 1539.8", \ + " 59.3, 92.4, 179.9, 383.3, 751.7, 1539.8", \ + " 59.9, 92.8, 180.2, 383.4, 751.7, 1539.8", \ + " 62.8, 95.2, 181.7, 383.9, 751.8, 1539.8", \ + " 66.4, 99.1, 184.6, 385.6, 752.5, 1539.9", \ + " 71.7, 104.1, 189.0, 388.2, 753.8, 1540.3", \ + " 79.4, 111.7, 195.2, 392.3, 755.8, 1541.1", \ + " 90.6, 122.9, 205.3, 399.3, 759.9, 1542.7", \ + " 106.3, 138.9, 220.9, 412.0, 768.6, 1547.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.7, 113.9, 147.4, 209.5, 314.3, 535.4", \ + " 104.1, 121.2, 154.7, 216.9, 321.6, 542.8", \ + " 110.2, 127.3, 160.8, 222.9, 327.7, 548.8", \ + " 118.7, 135.8, 169.3, 231.4, 336.3, 557.4", \ + " 134.3, 151.3, 184.7, 246.9, 351.7, 572.8", \ + " 155.9, 173.1, 206.6, 268.7, 373.5, 594.6", \ + " 183.7, 202.0, 236.8, 299.2, 403.9, 624.9", \ + " 218.7, 238.1, 274.9, 338.6, 443.3, 664.1", \ + " 265.0, 285.6, 324.9, 390.2, 495.4, 716.0", \ + " 328.4, 350.4, 392.6, 460.4, 566.1, 786.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.7, 82.0, 140.7, 274.8, 521.9, 1057.3", \ + " 57.8, 82.1, 140.7, 274.8, 521.9, 1057.3", \ + " 57.8, 82.1, 140.8, 274.8, 521.9, 1057.3", \ + " 57.9, 82.3, 140.9, 274.8, 521.9, 1057.3", \ + " 58.6, 83.0, 141.4, 275.1, 522.0, 1057.3", \ + " 63.4, 86.8, 143.6, 276.0, 522.3, 1057.3", \ + " 72.2, 95.5, 150.6, 279.8, 523.8, 1057.6", \ + " 82.5, 106.1, 160.8, 286.8, 527.5, 1058.8", \ + " 94.9, 119.0, 173.6, 296.7, 533.3, 1061.2", \ + " 111.3, 135.7, 190.6, 310.4, 542.1, 1065.1" ); }} +timing() { /* ring osc delay an2v4x2, path b to z 85.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.6 ; */ +/* intrinsic_fall : 115.9 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.70 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.2, 89.0, 126.2, 203.4, 338.8, 626.0", \ + " 78.4, 95.2, 132.3, 209.5, 345.0, 632.2", \ + " 83.2, 99.9, 137.1, 214.3, 349.7, 637.0", \ + " 89.5, 106.2, 143.2, 220.4, 355.8, 643.1", \ + " 98.5, 115.3, 152.3, 229.3, 364.7, 652.0", \ + " 107.1, 124.2, 161.7, 238.6, 373.9, 661.1", \ + " 115.5, 132.9, 170.6, 247.9, 383.0, 670.0", \ + " 122.5, 140.4, 178.7, 255.8, 391.1, 677.9", \ + " 126.4, 145.3, 184.7, 262.1, 397.1, 684.0", \ + " 124.1, 144.2, 185.7, 264.4, 399.5, 686.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.3, 92.2, 179.8, 383.3, 751.7, 1539.8", \ + " 59.3, 92.3, 179.9, 383.3, 751.7, 1539.8", \ + " 59.4, 92.4, 179.9, 383.3, 751.7, 1539.8", \ + " 60.0, 92.9, 180.3, 383.4, 751.7, 1539.8", \ + " 63.4, 95.6, 181.9, 384.0, 751.9, 1539.8", \ + " 68.0, 100.1, 185.2, 385.8, 752.5, 1539.9", \ + " 74.4, 105.8, 189.9, 388.5, 754.0, 1540.4", \ + " 83.3, 114.2, 196.1, 392.5, 755.9, 1541.4", \ + " 95.9, 126.5, 206.5, 399.2, 759.7, 1542.9", \ + " 113.5, 144.1, 222.8, 411.4, 767.6, 1546.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.9, 104.4, 137.2, 198.9, 303.5, 524.5", \ + " 95.2, 111.7, 144.4, 206.1, 310.8, 531.8", \ + " 101.3, 117.7, 150.4, 212.2, 316.8, 537.8", \ + " 109.8, 126.2, 158.9, 220.7, 325.3, 546.3", \ + " 125.2, 141.4, 174.1, 235.9, 340.5, 561.6", \ + " 145.7, 162.4, 195.3, 257.0, 361.6, 582.6", \ + " 171.5, 189.2, 223.6, 285.7, 390.2, 611.1", \ + " 204.0, 222.7, 258.9, 322.4, 426.9, 647.5", \ + " 246.9, 266.8, 305.2, 370.3, 475.3, 695.7", \ + " 304.7, 326.1, 367.5, 435.3, 541.0, 761.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.1, 78.3, 137.6, 272.8, 520.7, 1056.6", \ + " 54.1, 78.3, 137.6, 272.8, 520.7, 1056.6", \ + " 54.2, 78.4, 137.7, 272.8, 520.7, 1056.6", \ + " 54.3, 78.6, 137.8, 272.9, 520.7, 1056.6", \ + " 55.7, 79.6, 138.5, 273.2, 520.8, 1056.6", \ + " 61.6, 84.4, 141.5, 274.4, 521.3, 1056.7", \ + " 70.3, 93.1, 148.7, 278.6, 523.0, 1057.0", \ + " 80.4, 103.5, 158.6, 285.6, 526.8, 1058.3", \ + " 93.5, 116.7, 171.5, 295.5, 532.6, 1060.6", \ + " 111.1, 134.6, 189.5, 310.1, 542.3, 1064.9" ); }} +} +} +cell(an2v4x4) { /* 2008-01-06:07h21 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1455 ; /* an2v4x4 */ +cell_footprint : an2 ; +pin(a) { /* an2v4x4 FO4 effort 1.69 */ +direction : input ; +capacitance : 3.33 ; +rise_capacitance : 3.47 ; +fall_capacitance : 3.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v4x4 */ +} +pin(b) { /* an2v4x4 FO4 effort 1.63 */ +direction : input ; +capacitance : 3.15 ; +rise_capacitance : 3.19 ; +fall_capacitance : 3.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v4x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 505 ; +max_fanout : 10 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v4x4 33.49 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 17.15, 16.82, 16.97, 17.16, 17.22", \ + " 17.01, 16.67, 16.81, 17.00, 17.07", \ + " 16.99, 16.63, 16.75, 16.95, 17.03", \ + " 17.08, 16.67, 16.75, 16.95, 17.04", \ + " 17.46, 16.93, 16.90, 17.07, 17.17", \ + " 18.32, 17.57, 17.35, 17.44, 17.53", \ + " 19.87, 18.78, 18.28, 18.23, 18.27", \ + " 22.33, 20.83, 19.96, 19.68, 19.65", \ + " 26.20, 24.21, 22.84, 22.26, 22.07", \ + " 32.27, 29.68, 27.64, 26.60, 26.17" ); }} +internal_power(b_z_p) { /* an2v4x4 31.46 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 16.00, 15.76, 15.95, 16.14, 16.20", \ + " 15.87, 15.61, 15.78, 15.99, 16.06", \ + " 15.86, 15.57, 15.72, 15.94, 16.02", \ + " 15.99, 15.63, 15.73, 15.94, 16.04", \ + " 16.49, 15.98, 15.94, 16.10, 16.20", \ + " 17.48, 16.71, 16.45, 16.52, 16.60", \ + " 19.12, 17.98, 17.44, 17.34, 17.38", \ + " 21.65, 20.06, 19.14, 18.82, 18.76", \ + " 25.58, 23.45, 22.01, 21.36, 21.14", \ + " 31.71, 28.93, 26.76, 25.63, 25.15" ); }} +timing() { /* ring osc delay an2v4x4, path a to z 86.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 119.8 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.7, 93.4, 131.2, 208.5, 343.9, 631.1", \ + " 80.4, 98.0, 135.8, 213.1, 348.5, 635.7", \ + " 83.6, 101.2, 138.9, 216.3, 351.7, 638.9", \ + " 87.9, 105.4, 143.1, 220.4, 355.8, 643.0", \ + " 94.2, 111.9, 149.7, 226.9, 362.3, 649.5", \ + " 100.6, 118.7, 157.0, 234.3, 369.6, 656.8", \ + " 106.9, 125.4, 164.2, 241.8, 377.0, 664.1", \ + " 111.5, 130.6, 170.3, 248.1, 383.4, 670.3", \ + " 112.5, 132.5, 173.6, 252.3, 387.4, 674.3", \ + " 106.3, 127.5, 170.8, 251.4, 387.4, 674.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.7, 88.3, 175.6, 378.5, 746.5, 1534.4", \ + " 54.8, 88.3, 175.7, 378.5, 746.5, 1534.4", \ + " 54.8, 88.4, 175.7, 378.6, 746.5, 1534.4", \ + " 55.3, 88.8, 176.0, 378.6, 746.6, 1534.4", \ + " 58.1, 91.1, 177.4, 379.1, 746.7, 1534.5", \ + " 61.7, 94.9, 180.4, 380.8, 747.3, 1534.5", \ + " 66.9, 100.1, 184.9, 383.4, 748.6, 1534.9", \ + " 74.5, 107.6, 191.2, 387.6, 750.6, 1535.7", \ + " 85.7, 118.8, 201.4, 394.8, 754.8, 1537.3", \ + " 101.6, 134.6, 217.0, 407.7, 763.6, 1541.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.6, 107.4, 141.1, 203.1, 307.8, 528.9", \ + " 97.2, 115.0, 148.6, 210.6, 315.3, 536.4", \ + " 103.3, 121.1, 154.8, 216.8, 321.5, 542.6", \ + " 111.9, 129.6, 163.3, 225.3, 330.0, 551.1", \ + " 127.4, 145.0, 178.7, 240.7, 345.4, 566.5", \ + " 148.6, 166.5, 200.2, 262.3, 366.9, 588.0", \ + " 175.4, 194.4, 229.7, 292.0, 396.6, 617.6", \ + " 209.0, 229.2, 266.6, 330.3, 434.8, 655.6", \ + " 253.4, 274.9, 314.8, 380.1, 485.2, 705.7", \ + " 313.8, 336.8, 379.8, 447.8, 553.3, 773.9" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.2, 76.9, 135.6, 269.7, 517.1, 1052.7", \ + " 52.2, 76.9, 135.6, 269.7, 517.1, 1052.7", \ + " 52.2, 77.0, 135.6, 269.7, 517.1, 1052.7", \ + " 52.3, 77.1, 135.8, 269.8, 517.1, 1052.7", \ + " 53.2, 77.9, 136.3, 270.0, 517.2, 1052.8", \ + " 58.6, 82.2, 138.9, 271.1, 517.5, 1052.8", \ + " 67.4, 91.0, 146.0, 275.0, 519.1, 1053.1", \ + " 77.5, 101.6, 156.3, 282.0, 522.7, 1054.3", \ + " 90.1, 114.5, 169.2, 291.9, 528.4, 1056.5", \ + " 106.7, 131.4, 186.5, 305.8, 537.3, 1060.4" ); }} +timing() { /* ring osc delay an2v4x4, path b to z 80.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 91.2 ; */ +/* intrinsic_fall : 111.4 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.6, 89.2, 127.0, 204.3, 339.7, 626.9", \ + " 77.7, 95.3, 133.1, 210.4, 345.8, 633.0", \ + " 82.6, 100.1, 137.8, 215.2, 350.6, 637.8", \ + " 89.0, 106.5, 144.1, 221.4, 356.8, 644.1", \ + " 98.5, 116.1, 153.6, 230.8, 366.1, 653.4", \ + " 107.8, 125.7, 163.7, 240.8, 376.0, 663.2", \ + " 117.1, 135.3, 173.7, 251.1, 386.2, 673.2", \ + " 125.4, 144.2, 183.2, 260.5, 395.8, 682.5", \ + " 131.4, 151.1, 191.4, 269.0, 403.9, 690.8", \ + " 132.1, 153.2, 195.6, 274.6, 409.6, 696.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.7, 88.3, 175.7, 378.5, 746.5, 1534.4", \ + " 54.7, 88.3, 175.7, 378.5, 746.5, 1534.4", \ + " 54.8, 88.4, 175.8, 378.6, 746.5, 1534.4", \ + " 55.2, 88.9, 176.1, 378.7, 746.6, 1534.4", \ + " 58.7, 91.5, 177.6, 379.2, 746.7, 1534.5", \ + " 63.3, 96.1, 181.0, 381.0, 747.3, 1534.5", \ + " 69.8, 102.0, 185.9, 383.9, 748.8, 1535.0", \ + " 78.9, 110.4, 192.3, 388.0, 750.9, 1536.0", \ + " 91.6, 122.8, 202.8, 394.9, 754.7, 1537.5", \ + " 109.4, 140.3, 219.2, 407.2, 762.6, 1541.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.5, 99.6, 132.6, 194.2, 298.8, 519.7", \ + " 89.9, 107.0, 140.0, 201.6, 306.1, 527.1", \ + " 96.0, 113.1, 146.0, 207.6, 312.2, 533.2", \ + " 104.6, 121.5, 154.5, 216.1, 320.7, 541.7", \ + " 119.8, 136.7, 169.6, 231.2, 335.8, 556.8", \ + " 139.8, 157.2, 190.4, 252.1, 356.6, 577.6", \ + " 164.7, 183.2, 218.0, 280.1, 384.5, 605.4", \ + " 195.9, 215.5, 252.2, 315.7, 420.2, 640.7", \ + " 236.9, 257.7, 296.8, 362.0, 466.9, 687.2", \ + " 291.8, 314.1, 356.4, 424.4, 530.0, 750.5" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.0, 73.5, 132.8, 268.0, 516.0, 1052.1", \ + " 49.0, 73.5, 132.8, 268.0, 516.0, 1052.1", \ + " 49.0, 73.6, 132.9, 268.0, 516.0, 1052.1", \ + " 49.2, 73.8, 133.0, 268.1, 516.1, 1052.1", \ + " 50.9, 75.0, 133.7, 268.4, 516.2, 1052.2", \ + " 57.1, 80.2, 137.1, 269.7, 516.6, 1052.2", \ + " 65.7, 89.0, 144.4, 274.1, 518.4, 1052.5", \ + " 76.0, 99.4, 154.4, 281.0, 522.2, 1053.8", \ + " 89.2, 112.7, 167.5, 291.0, 528.0, 1056.1", \ + " 107.1, 130.9, 186.0, 305.9, 537.8, 1060.4" ); }} +} +} +cell(an2v4x8) { /* 2008-01-06:07h21 */ +area : 10 ; /* tracks */ +cell_leakage_power : 2680 ; /* an2v4x8 */ +cell_footprint : an2 ; +pin(a) { /* an2v4x8 FO4 effort 1.65 */ +direction : input ; +capacitance : 5.98 ; +rise_capacitance : 6.23 ; +fall_capacitance : 5.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an2v4x8 */ +} +pin(b) { /* an2v4x8 FO4 effort 1.59 */ +direction : input ; +capacitance : 5.61 ; +rise_capacitance : 5.68 ; +fall_capacitance : 5.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an2v4x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 929 ; +max_fanout : 20 ; +function : "(a*b)" ; +internal_power(a_z_p) { /* an2v4x8 59.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 30.37, 29.90, 30.25, 30.58, 30.66", \ + " 30.13, 29.63, 29.96, 30.31, 30.40", \ + " 30.10, 29.55, 29.86, 30.22, 30.34", \ + " 30.29, 29.63, 29.86, 30.23, 30.36", \ + " 31.00, 30.11, 30.14, 30.47, 30.62", \ + " 32.56, 31.26, 30.95, 31.15, 31.30", \ + " 35.38, 33.44, 32.64, 32.59, 32.68", \ + " 39.85, 37.11, 35.66, 35.24, 35.20", \ + " 46.90, 43.21, 40.87, 39.91, 39.62", \ + " 57.93, 53.09, 49.52, 47.76, 47.07" ); }} +internal_power(b_z_p) { /* an2v4x8 56.00 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 28.27, 27.97, 28.38, 28.72, 28.80", \ + " 28.04, 27.68, 28.08, 28.44, 28.54", \ + " 28.04, 27.62, 27.98, 28.36, 28.49", \ + " 28.30, 27.75, 28.00, 28.39, 28.54", \ + " 29.23, 28.37, 28.38, 28.69, 28.85", \ + " 31.01, 29.67, 29.30, 29.46, 29.60", \ + " 33.99, 31.95, 31.07, 30.97, 31.04", \ + " 38.58, 35.69, 34.14, 33.64, 33.56", \ + " 45.74, 41.80, 39.32, 38.26, 37.92", \ + " 56.88, 51.67, 47.88, 45.98, 45.20" ); }} +timing() { /* ring osc delay an2v4x8, path a to z 83.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.2 ; */ +/* intrinsic_fall : 118.3 ; */ +/* rise_resistance : 0.58 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.6, 92.2, 132.5, 216.1, 363.3, 675.5", \ + " 78.2, 96.8, 137.1, 220.8, 368.0, 680.2", \ + " 81.4, 100.0, 140.2, 223.9, 371.1, 683.4", \ + " 85.7, 104.2, 144.3, 228.0, 375.2, 687.5", \ + " 91.8, 110.6, 150.8, 234.4, 381.5, 693.8", \ + " 97.9, 117.1, 157.8, 241.4, 388.5, 700.7", \ + " 103.7, 123.3, 164.5, 248.4, 395.3, 707.5", \ + " 107.7, 128.0, 170.1, 254.0, 401.0, 713.0", \ + " 107.9, 129.1, 172.6, 257.3, 404.2, 716.1", \ + " 100.6, 123.1, 168.8, 255.4, 403.0, 714.9" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.7, 90.9, 186.2, 407.8, 808.9, 1666.1", \ + " 54.8, 90.9, 186.2, 407.8, 808.9, 1666.1", \ + " 54.9, 91.0, 186.3, 407.9, 808.9, 1666.1", \ + " 55.5, 91.5, 186.5, 407.9, 808.9, 1666.1", \ + " 58.3, 93.9, 188.0, 408.4, 809.0, 1666.2", \ + " 62.0, 97.7, 190.8, 409.9, 809.5, 1666.2", \ + " 67.3, 102.8, 195.1, 412.3, 810.6, 1666.5", \ + " 75.0, 110.5, 201.2, 416.1, 812.4, 1667.2", \ + " 86.4, 121.7, 211.3, 423.0, 816.2, 1668.6", \ + " 102.3, 137.7, 226.9, 435.5, 824.6, 1672.7" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.6, 107.4, 143.0, 210.1, 324.9, 567.5", \ + " 96.1, 114.9, 150.6, 217.7, 332.4, 575.1", \ + " 102.3, 121.1, 156.7, 223.8, 338.6, 581.3", \ + " 111.0, 129.7, 165.3, 232.4, 347.2, 589.9", \ + " 126.5, 145.1, 180.7, 247.8, 362.6, 605.3", \ + " 147.6, 166.5, 202.3, 269.4, 384.1, 626.8", \ + " 174.3, 194.5, 231.7, 299.0, 413.6, 656.2", \ + " 207.9, 229.4, 268.6, 337.1, 451.5, 693.9", \ + " 252.5, 275.3, 317.0, 386.9, 501.7, 743.7", \ + " 313.2, 337.6, 382.5, 454.7, 569.7, 811.9" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.5, 79.1, 143.3, 291.5, 564.4, 1153.7", \ + " 52.6, 79.1, 143.3, 291.5, 564.4, 1153.7", \ + " 52.6, 79.2, 143.3, 291.5, 564.4, 1153.7", \ + " 52.7, 79.4, 143.4, 291.6, 564.4, 1153.7", \ + " 53.7, 80.2, 144.0, 291.8, 564.5, 1153.7", \ + " 59.2, 84.5, 146.5, 292.7, 564.8, 1153.7", \ + " 67.9, 93.3, 153.3, 296.3, 566.1, 1153.9", \ + " 78.1, 103.8, 163.2, 302.7, 569.2, 1154.8", \ + " 90.5, 116.7, 175.7, 311.8, 574.1, 1156.7", \ + " 107.1, 133.6, 192.8, 324.8, 582.0, 1159.9" ); }} +timing() { /* ring osc delay an2v4x8, path b to z 78.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.2 ; */ +/* intrinsic_fall : 109.7 ; */ +/* rise_resistance : 0.58 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 88.0, 128.3, 212.0, 359.1, 671.4", \ + " 75.6, 94.1, 134.4, 218.1, 365.2, 677.5", \ + " 80.4, 98.9, 139.1, 222.8, 370.0, 682.3", \ + " 86.8, 105.2, 145.3, 229.0, 376.2, 688.5", \ + " 95.9, 114.4, 154.4, 238.0, 385.1, 697.4", \ + " 104.7, 123.5, 164.0, 247.4, 394.5, 706.7", \ + " 113.4, 132.6, 173.4, 257.1, 403.9, 716.0", \ + " 121.0, 140.9, 182.2, 265.7, 412.7, 724.5", \ + " 126.0, 146.9, 189.5, 273.1, 419.9, 731.7", \ + " 125.5, 147.8, 192.5, 277.5, 424.3, 736.2" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.8, 90.9, 186.2, 407.8, 808.9, 1666.1", \ + " 54.7, 90.9, 186.3, 407.9, 808.9, 1666.1", \ + " 54.8, 91.1, 186.3, 407.9, 808.9, 1666.1", \ + " 55.5, 91.6, 186.6, 408.0, 808.9, 1666.1", \ + " 59.0, 94.3, 188.2, 408.5, 809.0, 1666.2", \ + " 63.7, 98.8, 191.4, 410.2, 809.5, 1666.2", \ + " 70.2, 104.6, 196.0, 412.7, 810.9, 1666.5", \ + " 79.3, 113.0, 202.2, 416.5, 812.7, 1667.5", \ + " 92.0, 125.4, 212.4, 422.9, 816.1, 1668.9", \ + " 109.9, 143.0, 228.6, 434.7, 823.5, 1672.4" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.3, 99.3, 134.3, 201.0, 315.6, 558.2", \ + " 88.7, 106.7, 141.7, 208.4, 323.0, 565.6", \ + " 94.8, 112.8, 147.7, 214.5, 329.1, 571.7", \ + " 103.4, 121.3, 156.2, 223.0, 337.6, 580.2", \ + " 118.6, 136.5, 171.4, 238.2, 352.8, 595.4", \ + " 138.5, 156.9, 192.1, 258.9, 373.4, 616.0", \ + " 163.3, 182.8, 219.6, 286.7, 401.1, 643.5", \ + " 194.5, 215.2, 253.8, 322.0, 436.4, 678.6", \ + " 235.5, 257.5, 298.5, 368.2, 482.9, 724.8", \ + " 290.6, 314.2, 358.5, 430.8, 545.9, 787.9" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.3, 75.7, 140.5, 289.9, 563.4, 1153.1", \ + " 49.3, 75.7, 140.6, 289.9, 563.4, 1153.1", \ + " 49.4, 75.8, 140.6, 289.9, 563.4, 1153.1", \ + " 49.6, 76.0, 140.8, 290.0, 563.5, 1153.1", \ + " 51.4, 77.3, 141.5, 290.2, 563.5, 1153.1", \ + " 57.7, 82.6, 144.7, 291.5, 563.9, 1153.2", \ + " 66.2, 91.2, 151.8, 295.4, 565.5, 1153.4", \ + " 76.5, 101.6, 161.4, 301.7, 568.7, 1154.4", \ + " 89.6, 114.9, 174.1, 311.0, 573.8, 1156.3", \ + " 107.5, 133.0, 192.3, 325.0, 582.6, 1159.9" ); }} +} +} +cell(an3v0x05) { /* 2008-01-06:07h21 */ +area : 7 ; /* tracks */ +cell_leakage_power : 716 ; /* an3v0x05 */ +cell_footprint : an3 ; +pin(a) { /* an3v0x05 FO4 effort 2.17 */ +direction : input ; +capacitance : 2.84 ; +rise_capacitance : 2.96 ; +fall_capacitance : 2.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v0x05 */ +} +pin(b) { /* an3v0x05 FO4 effort 2.11 */ +direction : input ; +capacitance : 2.91 ; +rise_capacitance : 2.98 ; +fall_capacitance : 2.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v0x05 */ +} +pin(c) { /* an3v0x05 FO4 effort 1.97 */ +direction : input ; +capacitance : 2.78 ; +rise_capacitance : 2.79 ; +fall_capacitance : 2.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v0x05 17.08 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 8.59, 8.63, 8.72, 8.77, 8.79", \ + " 8.45, 8.49, 8.58, 8.63, 8.65", \ + " 8.42, 8.46, 8.54, 8.60, 8.62", \ + " 8.44, 8.47, 8.54, 8.60, 8.63", \ + " 8.58, 8.58, 8.64, 8.71, 8.74", \ + " 8.92, 8.90, 8.93, 8.99, 9.02", \ + " 9.61, 9.54, 9.54, 9.58, 9.61", \ + " 10.83, 10.72, 10.66, 10.67, 10.69", \ + " 12.93, 12.76, 12.63, 12.59, 12.59", \ + " 16.38, 16.14, 15.91, 15.79, 15.76" ); }} +internal_power(b_z_p) { /* an3v0x05 15.31 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 7.69, 7.74, 7.83, 7.89, 7.90", \ + " 7.55, 7.60, 7.68, 7.74, 7.76", \ + " 7.52, 7.56, 7.65, 7.71, 7.73", \ + " 7.55, 7.58, 7.66, 7.72, 7.75", \ + " 7.71, 7.72, 7.78, 7.84, 7.88", \ + " 8.08, 8.06, 8.09, 8.15, 8.18", \ + " 8.80, 8.73, 8.72, 8.76, 8.79", \ + " 10.02, 9.91, 9.85, 9.86, 9.88", \ + " 12.08, 11.91, 11.78, 11.73, 11.73", \ + " 15.41, 15.16, 14.94, 14.82, 14.78" ); }} +internal_power(c_z_p) { /* an3v0x05 13.47 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 6.68, 6.75, 6.84, 6.89, 6.91", \ + " 6.57, 6.63, 6.72, 6.77, 6.79", \ + " 6.56, 6.61, 6.70, 6.76, 6.78", \ + " 6.62, 6.66, 6.74, 6.80, 6.83", \ + " 6.84, 6.85, 6.90, 6.97, 7.00", \ + " 7.25, 7.23, 7.26, 7.32, 7.36", \ + " 8.01, 7.95, 7.94, 7.98, 8.02", \ + " 9.26, 9.16, 9.11, 9.11, 9.14", \ + " 11.31, 11.15, 11.03, 10.99, 11.00", \ + " 14.61, 14.37, 14.16, 14.05, 14.02" ); }} +timing() { /* ring osc delay an3v0x05, path a to z 101.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.6 ; */ +/* intrinsic_fall : 113.6 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.6, 95.2, 132.6, 211.2, 349.3, 642.4", \ + " 82.6, 99.1, 136.6, 215.1, 353.3, 646.4", \ + " 84.7, 101.2, 138.7, 217.2, 355.4, 648.5", \ + " 87.1, 103.6, 141.1, 219.6, 357.8, 651.0", \ + " 89.7, 106.6, 144.3, 222.8, 361.0, 654.2", \ + " 91.5, 108.6, 146.9, 225.8, 363.9, 657.1", \ + " 91.9, 109.6, 148.3, 227.6, 365.9, 659.0", \ + " 89.1, 107.5, 147.3, 227.0, 365.7, 658.7", \ + " 80.2, 99.6, 141.2, 222.4, 361.3, 654.7", \ + " 60.8, 81.7, 125.6, 209.7, 350.6, 644.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.9, 92.4, 182.4, 390.9, 767.4, 1572.2", \ + " 58.9, 92.4, 182.4, 390.9, 767.5, 1572.2", \ + " 59.0, 92.5, 182.4, 390.9, 767.5, 1572.2", \ + " 59.8, 93.1, 182.7, 391.0, 767.5, 1572.2", \ + " 62.2, 95.3, 184.3, 391.6, 767.6, 1572.2", \ + " 64.7, 98.2, 186.7, 393.2, 768.3, 1572.3", \ + " 69.0, 102.3, 190.3, 395.4, 769.5, 1572.8", \ + " 75.6, 109.1, 196.0, 399.4, 771.6, 1573.7", \ + " 85.1, 119.3, 206.0, 406.8, 776.5, 1575.8", \ + " 98.2, 133.4, 221.0, 420.8, 787.0, 1582.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.3, 102.9, 134.7, 196.7, 303.5, 529.3", \ + " 94.5, 110.1, 141.9, 204.0, 310.8, 536.6", \ + " 100.7, 116.2, 148.0, 210.1, 316.9, 542.7", \ + " 109.2, 124.8, 156.6, 218.7, 325.5, 551.4", \ + " 124.6, 140.1, 172.0, 234.1, 340.9, 566.8", \ + " 145.1, 161.2, 193.3, 255.4, 362.3, 588.2", \ + " 171.2, 188.4, 222.0, 284.5, 391.4, 617.2", \ + " 204.6, 223.1, 258.3, 321.9, 429.0, 654.7", \ + " 249.7, 269.6, 307.0, 371.8, 479.2, 705.1", \ + " 311.9, 333.5, 374.0, 440.8, 548.7, 775.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.0, 74.5, 134.3, 273.3, 527.9, 1076.2", \ + " 51.0, 74.6, 134.4, 273.3, 527.9, 1076.2", \ + " 51.1, 74.7, 134.4, 273.3, 527.9, 1076.2", \ + " 51.3, 74.9, 134.5, 273.4, 527.9, 1076.2", \ + " 52.5, 75.9, 135.1, 273.6, 528.0, 1076.2", \ + " 57.5, 80.1, 137.7, 274.6, 528.3, 1076.3", \ + " 64.2, 87.2, 143.6, 277.9, 529.6, 1076.5", \ + " 72.0, 95.6, 151.4, 283.0, 532.2, 1077.4", \ + " 81.7, 106.1, 161.3, 290.1, 536.2, 1079.1", \ + " 94.5, 120.0, 175.3, 300.6, 542.6, 1081.9" ); }} +timing() { /* ring osc delay an3v0x05, path b to z 96.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.3 ; */ +/* intrinsic_fall : 104.9 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.1, 91.7, 129.1, 207.7, 345.8, 638.9", \ + " 79.3, 95.8, 133.3, 211.9, 350.0, 643.2", \ + " 82.2, 98.7, 136.1, 214.7, 352.9, 646.1", \ + " 85.9, 102.3, 139.7, 218.3, 356.5, 649.7", \ + " 90.3, 107.2, 144.9, 223.5, 361.7, 654.9", \ + " 94.2, 111.3, 149.6, 228.6, 366.8, 660.0", \ + " 97.2, 114.7, 153.3, 232.8, 371.1, 664.3", \ + " 97.9, 116.1, 155.4, 235.0, 373.9, 667.0", \ + " 94.0, 113.2, 154.1, 234.6, 373.5, 667.2", \ + " 81.8, 102.4, 145.5, 228.4, 368.6, 662.7" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.9, 92.4, 182.3, 390.9, 767.4, 1572.2", \ + " 58.9, 92.5, 182.4, 390.9, 767.4, 1572.2", \ + " 59.1, 92.6, 182.5, 390.9, 767.5, 1572.2", \ + " 60.3, 93.5, 182.9, 391.1, 767.5, 1572.2", \ + " 63.2, 96.2, 184.9, 391.9, 767.7, 1572.2", \ + " 65.8, 99.3, 187.6, 393.7, 768.6, 1572.3", \ + " 70.3, 103.3, 191.2, 396.1, 770.1, 1573.1", \ + " 77.0, 109.9, 196.2, 399.8, 772.1, 1574.2", \ + " 86.6, 119.9, 205.5, 406.2, 776.4, 1576.2", \ + " 99.8, 134.0, 219.8, 418.5, 785.5, 1581.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.7, 94.6, 125.6, 187.0, 293.5, 519.1", \ + " 86.9, 101.8, 132.7, 194.1, 300.7, 526.3", \ + " 93.0, 107.8, 138.8, 200.2, 306.7, 532.4", \ + " 101.5, 116.3, 147.3, 208.8, 315.3, 540.9", \ + " 116.4, 131.3, 162.3, 223.8, 330.4, 556.0", \ + " 135.0, 150.8, 182.5, 244.1, 350.6, 576.3", \ + " 158.8, 175.7, 208.7, 271.0, 377.5, 603.2", \ + " 189.3, 207.4, 242.1, 305.3, 412.2, 637.7", \ + " 230.3, 249.9, 286.8, 351.2, 458.4, 684.2", \ + " 286.4, 307.7, 347.8, 414.6, 522.3, 748.5" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.3, 70.8, 131.0, 270.9, 526.2, 1075.1", \ + " 47.5, 70.8, 131.1, 270.9, 526.2, 1075.1", \ + " 47.5, 71.0, 131.1, 270.9, 526.2, 1075.1", \ + " 47.9, 71.3, 131.3, 271.0, 526.2, 1075.1", \ + " 50.2, 73.0, 132.2, 271.3, 526.3, 1075.1", \ + " 55.5, 78.0, 135.6, 272.8, 526.8, 1075.2", \ + " 61.7, 84.7, 141.6, 276.4, 528.4, 1075.5", \ + " 69.3, 92.8, 149.0, 281.4, 531.1, 1076.6", \ + " 79.2, 103.5, 159.1, 288.5, 535.2, 1078.3", \ + " 92.5, 118.0, 173.8, 299.8, 542.2, 1081.4" ); }} +timing() { /* ring osc delay an3v0x05, path c to z 87.7 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 93.8 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 84.1, 121.6, 200.1, 338.3, 631.4", \ + " 72.9, 89.4, 126.8, 205.4, 343.5, 636.7", \ + " 77.0, 93.5, 130.9, 209.5, 347.7, 640.9", \ + " 81.9, 98.3, 135.7, 214.3, 352.5, 645.7", \ + " 87.3, 104.2, 141.9, 220.5, 358.7, 651.9", \ + " 92.4, 109.2, 147.4, 226.5, 364.6, 657.8", \ + " 96.9, 114.0, 152.1, 231.8, 370.1, 663.3", \ + " 99.6, 117.3, 155.9, 235.3, 374.6, 667.6", \ + " 98.7, 117.2, 157.1, 237.2, 376.2, 670.1", \ + " 90.5, 110.5, 152.4, 234.3, 374.3, 668.6" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.9, 92.4, 182.4, 390.9, 767.4, 1572.2", \ + " 58.9, 92.5, 182.4, 390.9, 767.5, 1572.2", \ + " 59.2, 92.7, 182.6, 390.9, 767.5, 1572.2", \ + " 60.5, 93.7, 183.1, 391.1, 767.5, 1572.2", \ + " 63.1, 96.3, 185.1, 392.1, 767.7, 1572.2", \ + " 65.4, 98.9, 187.7, 394.0, 768.9, 1572.3", \ + " 69.7, 102.4, 190.8, 396.2, 770.4, 1573.3", \ + " 76.3, 108.6, 195.0, 399.5, 772.4, 1574.7", \ + " 86.0, 118.3, 203.5, 405.1, 776.3, 1576.7", \ + " 99.5, 132.4, 217.1, 416.2, 784.6, 1581.8" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.5, 83.7, 113.9, 174.9, 281.2, 506.7", \ + " 76.8, 91.0, 121.3, 182.3, 288.6, 514.1", \ + " 83.0, 97.1, 127.4, 188.5, 294.8, 520.3", \ + " 91.4, 105.5, 135.8, 196.9, 303.3, 528.8", \ + " 105.3, 119.7, 150.2, 211.4, 317.7, 543.2", \ + " 121.8, 137.1, 168.6, 229.9, 336.3, 561.8", \ + " 143.1, 159.3, 192.1, 254.3, 360.6, 586.1", \ + " 170.5, 187.9, 222.2, 285.3, 392.1, 617.5", \ + " 207.1, 226.0, 262.6, 327.2, 434.3, 659.9", \ + " 256.6, 277.4, 317.4, 385.0, 493.0, 718.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 67.1, 128.3, 269.2, 525.2, 1074.5", \ + " 43.6, 67.3, 128.3, 269.2, 525.2, 1074.5", \ + " 43.8, 67.4, 128.4, 269.2, 525.2, 1074.5", \ + " 44.4, 67.9, 128.7, 269.3, 525.2, 1074.5", \ + " 47.9, 70.6, 130.2, 269.9, 525.4, 1074.5", \ + " 52.8, 75.7, 134.1, 271.9, 526.0, 1074.5", \ + " 58.7, 81.9, 139.8, 275.5, 527.8, 1074.9", \ + " 66.2, 89.7, 146.8, 280.5, 530.6, 1076.1", \ + " 76.4, 100.7, 157.4, 288.0, 535.0, 1077.9", \ + " 90.3, 115.8, 173.2, 300.9, 543.5, 1081.8" ); }} +} +} +cell(an3v0x1) { /* 2008-01-06:07h21 */ +area : 7 ; /* tracks */ +cell_leakage_power : 912 ; /* an3v0x1 */ +cell_footprint : an3 ; +pin(a) { /* an3v0x1 FO4 effort 2.03 */ +direction : input ; +capacitance : 3.34 ; +rise_capacitance : 3.49 ; +fall_capacitance : 3.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v0x1 */ +} +pin(b) { /* an3v0x1 FO4 effort 1.96 */ +direction : input ; +capacitance : 3.34 ; +rise_capacitance : 3.42 ; +fall_capacitance : 3.27 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v0x1 */ +} +pin(c) { /* an3v0x1 FO4 effort 1.83 */ +direction : input ; +capacitance : 3.20 ; +rise_capacitance : 3.21 ; +fall_capacitance : 3.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v0x1 21.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 10.81, 10.86, 10.97, 11.04, 11.05", \ + " 10.65, 10.70, 10.81, 10.88, 10.89", \ + " 10.61, 10.65, 10.76, 10.83, 10.85", \ + " 10.64, 10.66, 10.76, 10.83, 10.86", \ + " 10.80, 10.80, 10.87, 10.95, 10.98", \ + " 11.22, 11.17, 11.20, 11.27, 11.31", \ + " 12.06, 11.94, 11.92, 11.96, 11.99", \ + " 13.56, 13.35, 13.24, 13.24, 13.26", \ + " 16.10, 15.79, 15.57, 15.50, 15.49", \ + " 20.29, 19.84, 19.47, 19.29, 19.23" ); }} +internal_power(b_z_p) { /* an3v0x1 19.42 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 9.73, 9.80, 9.91, 9.98, 10.00", \ + " 9.57, 9.63, 9.75, 9.82, 9.84", \ + " 9.54, 9.59, 9.70, 9.78, 9.80", \ + " 9.58, 9.61, 9.71, 9.80, 9.82", \ + " 9.79, 9.78, 9.85, 9.93, 9.97", \ + " 10.25, 10.18, 10.22, 10.29, 10.32", \ + " 11.12, 10.99, 10.96, 11.00, 11.03", \ + " 12.61, 12.40, 12.29, 12.28, 12.30", \ + " 15.11, 14.79, 14.57, 14.49, 14.48", \ + " 19.15, 18.70, 18.33, 18.14, 18.07" ); }} +internal_power(c_z_p) { /* an3v0x1 17.29 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 8.58, 8.67, 8.78, 8.85, 8.86", \ + " 8.44, 8.52, 8.64, 8.71, 8.72", \ + " 8.43, 8.49, 8.61, 8.69, 8.71", \ + " 8.51, 8.54, 8.65, 8.73, 8.76", \ + " 8.76, 8.76, 8.83, 8.92, 8.95", \ + " 9.27, 9.22, 9.25, 9.32, 9.36", \ + " 10.19, 10.07, 10.05, 10.09, 10.13", \ + " 11.71, 11.52, 11.41, 11.41, 11.43", \ + " 14.21, 13.90, 13.69, 13.62, 13.61", \ + " 18.22, 17.76, 17.41, 17.23, 17.17" ); }} +timing() { /* ring osc delay an3v0x1, path a to z 97.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.8 ; */ +/* intrinsic_fall : 115.7 ; */ +/* rise_resistance : 3.32 ; */ +/* fall_resistance : 2.61 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.7, 98.7, 141.2, 230.7, 388.5, 723.5", \ + " 83.7, 102.7, 145.2, 234.7, 392.5, 727.5", \ + " 85.9, 104.8, 147.3, 236.8, 394.6, 729.6", \ + " 88.3, 107.2, 149.7, 239.2, 397.0, 732.0", \ + " 91.0, 110.3, 153.0, 242.5, 400.3, 735.3", \ + " 92.9, 112.6, 155.9, 245.6, 403.4, 738.4", \ + " 93.6, 113.8, 157.6, 247.8, 405.6, 740.6", \ + " 91.2, 112.2, 157.0, 247.5, 405.8, 740.7", \ + " 82.9, 105.0, 151.7, 243.5, 401.9, 737.0", \ + " 64.2, 87.9, 137.1, 231.9, 391.9, 727.4" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 97.5, 200.5, 439.2, 869.9, 1789.9", \ + " 59.1, 97.5, 200.5, 439.2, 869.9, 1789.9", \ + " 59.2, 97.6, 200.5, 439.2, 869.9, 1789.9", \ + " 59.8, 98.1, 200.8, 439.3, 869.9, 1789.9", \ + " 62.3, 100.3, 202.2, 439.7, 870.0, 1789.9", \ + " 64.9, 103.2, 204.6, 441.2, 870.6, 1789.9", \ + " 69.0, 107.3, 208.1, 443.3, 871.7, 1790.3", \ + " 75.6, 114.0, 213.5, 446.9, 873.5, 1791.1", \ + " 85.0, 124.2, 223.3, 454.0, 877.8, 1792.8", \ + " 98.3, 138.5, 238.4, 467.3, 887.6, 1798.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.8, 106.7, 142.6, 213.0, 334.9, 592.8", \ + " 96.1, 114.0, 149.8, 220.3, 342.1, 600.1", \ + " 102.2, 120.0, 155.9, 226.3, 348.2, 606.2", \ + " 110.7, 128.6, 164.4, 234.9, 356.8, 614.8", \ + " 126.2, 144.0, 179.8, 250.3, 372.3, 630.3", \ + " 146.9, 165.2, 201.4, 271.9, 393.8, 651.8", \ + " 173.3, 193.0, 230.5, 301.3, 423.2, 681.2", \ + " 207.1, 228.2, 267.6, 339.3, 461.2, 719.2", \ + " 252.6, 275.3, 317.0, 389.8, 512.2, 770.1", \ + " 315.3, 339.9, 384.8, 459.6, 582.2, 840.5" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.6, 78.6, 146.9, 306.2, 597.8, 1225.0", \ + " 51.7, 78.7, 147.0, 306.2, 597.8, 1225.0", \ + " 51.7, 78.7, 147.0, 306.2, 597.8, 1225.0", \ + " 51.9, 78.9, 147.1, 306.3, 597.8, 1225.0", \ + " 53.0, 79.8, 147.6, 306.4, 597.8, 1225.0", \ + " 57.9, 83.8, 149.9, 307.2, 598.1, 1225.0", \ + " 64.8, 91.1, 155.6, 310.2, 599.1, 1225.1", \ + " 72.8, 99.7, 163.5, 315.1, 601.5, 1225.9", \ + " 82.8, 110.6, 173.5, 322.0, 605.1, 1227.3", \ + " 95.8, 124.9, 187.5, 332.1, 611.0, 1229.8" ); }} +timing() { /* ring osc delay an3v0x1, path b to z 91.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.3 ; */ +/* intrinsic_fall : 106.7 ; */ +/* rise_resistance : 3.32 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.0, 94.9, 137.4, 227.0, 384.8, 719.7", \ + " 80.2, 99.2, 141.7, 231.2, 389.0, 724.0", \ + " 83.2, 102.0, 144.5, 234.1, 391.9, 726.9", \ + " 86.9, 105.8, 148.2, 237.8, 395.6, 730.6", \ + " 91.6, 111.0, 153.6, 243.2, 401.0, 736.0", \ + " 95.8, 115.4, 158.8, 248.6, 406.4, 741.4", \ + " 99.3, 119.3, 162.9, 253.3, 411.1, 746.1", \ + " 100.5, 121.2, 165.6, 256.0, 414.4, 749.2", \ + " 97.3, 119.1, 165.0, 256.2, 414.7, 750.0", \ + " 85.9, 109.3, 157.5, 251.0, 410.5, 746.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 97.5, 200.5, 439.2, 869.9, 1789.9", \ + " 59.1, 97.6, 200.5, 439.2, 869.9, 1789.9", \ + " 59.3, 97.7, 200.6, 439.2, 869.9, 1789.9", \ + " 60.4, 98.4, 201.0, 439.3, 869.9, 1789.9", \ + " 63.2, 101.1, 202.7, 439.9, 870.1, 1789.9", \ + " 65.9, 104.3, 205.3, 441.6, 870.8, 1789.9", \ + " 70.4, 108.2, 208.9, 443.8, 872.1, 1790.4", \ + " 77.2, 114.8, 213.8, 447.2, 873.9, 1791.5", \ + " 86.9, 124.9, 222.8, 453.4, 877.7, 1793.2", \ + " 100.3, 139.2, 237.1, 465.1, 886.1, 1797.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.0, 98.1, 133.1, 202.9, 324.5, 582.3", \ + " 88.2, 105.3, 140.3, 210.2, 331.8, 589.5", \ + " 94.3, 111.4, 146.4, 216.2, 337.9, 595.6", \ + " 102.8, 119.9, 154.9, 224.8, 346.4, 604.2", \ + " 117.8, 134.9, 170.0, 239.9, 361.6, 619.4", \ + " 136.9, 154.9, 190.5, 260.4, 382.1, 639.9", \ + " 161.1, 180.3, 217.4, 287.8, 409.4, 667.2", \ + " 192.0, 212.7, 251.4, 322.8, 444.6, 702.3", \ + " 233.6, 255.8, 296.9, 369.5, 491.6, 749.3", \ + " 290.3, 314.5, 359.0, 433.7, 556.2, 814.3" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.1, 74.9, 143.7, 303.9, 596.2, 1224.0", \ + " 48.1, 75.0, 143.8, 303.9, 596.2, 1224.0", \ + " 48.2, 75.1, 143.8, 303.9, 596.2, 1224.0", \ + " 48.5, 75.4, 143.9, 304.0, 596.3, 1224.0", \ + " 50.5, 76.8, 144.7, 304.3, 596.3, 1224.0", \ + " 55.9, 81.7, 147.8, 305.4, 596.6, 1224.0", \ + " 62.4, 88.7, 153.7, 308.7, 597.9, 1224.2", \ + " 70.2, 97.1, 161.2, 313.5, 600.4, 1225.1", \ + " 80.3, 108.1, 171.3, 320.5, 604.1, 1226.5", \ + " 94.0, 123.0, 186.1, 331.2, 610.5, 1229.2" ); }} +timing() { /* ring osc delay an3v0x1, path c to z 83.5 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.8 ; */ +/* intrinsic_fall : 96.0 ; */ +/* rise_resistance : 3.32 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.8, 87.8, 130.3, 219.8, 377.6, 712.6", \ + " 74.1, 93.1, 135.5, 225.1, 382.9, 717.9", \ + " 78.4, 97.2, 139.7, 229.2, 387.1, 722.1", \ + " 83.4, 102.2, 144.6, 234.2, 392.1, 727.1", \ + " 89.3, 108.6, 151.3, 240.8, 398.7, 733.7", \ + " 94.7, 114.0, 157.4, 247.2, 405.0, 740.0", \ + " 99.8, 119.3, 162.5, 253.1, 410.9, 745.9", \ + " 103.1, 123.2, 166.9, 257.2, 415.9, 750.8", \ + " 102.9, 124.1, 168.9, 259.7, 418.4, 753.8", \ + " 95.7, 118.4, 165.4, 258.0, 417.3, 753.4" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 97.5, 200.5, 439.2, 869.9, 1789.9", \ + " 59.1, 97.6, 200.5, 439.2, 869.9, 1789.9", \ + " 59.3, 97.7, 200.6, 439.2, 869.9, 1789.9", \ + " 60.5, 98.6, 201.0, 439.3, 869.9, 1789.9", \ + " 63.2, 101.2, 202.9, 440.1, 870.1, 1789.9", \ + " 65.6, 104.1, 205.5, 441.9, 871.0, 1789.9", \ + " 70.0, 107.5, 208.7, 444.0, 872.5, 1790.6", \ + " 76.8, 113.8, 212.9, 447.2, 874.3, 1792.0", \ + " 86.7, 123.6, 221.0, 452.6, 877.9, 1793.8", \ + " 100.6, 138.0, 234.8, 463.0, 885.5, 1798.1" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.3, 87.6, 121.9, 191.4, 312.8, 570.4", \ + " 78.6, 94.9, 129.2, 198.7, 320.1, 577.8", \ + " 84.7, 101.0, 135.3, 204.8, 326.3, 583.9", \ + " 93.2, 109.4, 143.8, 213.3, 334.8, 592.4", \ + " 107.3, 123.9, 158.3, 227.9, 349.4, 607.1", \ + " 124.3, 141.9, 177.2, 246.9, 368.3, 626.0", \ + " 146.1, 164.7, 201.4, 271.8, 393.2, 650.8", \ + " 174.1, 194.0, 232.3, 303.6, 425.3, 682.8", \ + " 211.4, 232.9, 273.7, 346.3, 468.3, 725.9", \ + " 261.7, 285.3, 329.7, 405.1, 527.7, 785.7" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.2, 71.2, 141.1, 302.3, 595.3, 1223.3", \ + " 44.2, 71.3, 141.1, 302.3, 595.3, 1223.3", \ + " 44.4, 71.5, 141.2, 302.3, 595.3, 1223.3", \ + " 44.9, 71.9, 141.4, 302.4, 595.3, 1223.3", \ + " 48.2, 74.3, 142.6, 302.8, 595.4, 1223.3", \ + " 53.3, 79.6, 146.3, 304.5, 595.8, 1223.4", \ + " 59.4, 85.9, 152.0, 307.9, 597.4, 1223.6", \ + " 67.1, 94.1, 159.2, 312.8, 599.9, 1224.6", \ + " 77.7, 105.3, 169.7, 320.0, 603.9, 1226.1", \ + " 92.0, 120.8, 185.7, 332.3, 611.7, 1229.3" ); }} +} +} +cell(an3v0x2) { /* 2008-01-06:07h21 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1270 ; /* an3v0x2 */ +cell_footprint : an3 ; +pin(a) { /* an3v0x2 FO4 effort 1.91 */ +direction : input ; +capacitance : 4.24 ; +rise_capacitance : 4.42 ; +fall_capacitance : 4.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v0x2 */ +} +pin(b) { /* an3v0x2 FO4 effort 1.81 */ +direction : input ; +capacitance : 4.08 ; +rise_capacitance : 4.17 ; +fall_capacitance : 3.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v0x2 */ +} +pin(c) { /* an3v0x2 FO4 effort 1.72 */ +direction : input ; +capacitance : 4.05 ; +rise_capacitance : 4.07 ; +fall_capacitance : 4.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v0x2 28.87 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 14.53, 14.57, 14.72, 14.83, 14.86", \ + " 14.33, 14.35, 14.50, 14.62, 14.66", \ + " 14.28, 14.30, 14.44, 14.56, 14.60", \ + " 14.32, 14.31, 14.44, 14.56, 14.61", \ + " 14.56, 14.51, 14.59, 14.71, 14.77", \ + " 15.15, 15.02, 15.04, 15.14, 15.19", \ + " 16.32, 16.08, 16.00, 16.05, 16.10", \ + " 18.36, 17.99, 17.77, 17.74, 17.76", \ + " 21.83, 21.28, 20.88, 20.72, 20.69", \ + " 27.48, 26.73, 26.08, 25.73, 25.60" ); }} +internal_power(b_z_p) { /* an3v0x2 26.15 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 13.08, 13.15, 13.31, 13.42, 13.46", \ + " 12.89, 12.95, 13.11, 13.23, 13.27", \ + " 12.87, 12.90, 13.06, 13.19, 13.23", \ + " 12.94, 12.94, 13.08, 13.21, 13.26", \ + " 13.24, 13.19, 13.27, 13.39, 13.45", \ + " 13.89, 13.75, 13.76, 13.86, 13.92", \ + " 15.10, 14.85, 14.76, 14.80, 14.85", \ + " 17.15, 16.77, 16.54, 16.50, 16.52", \ + " 20.55, 20.00, 19.59, 19.42, 19.38", \ + " 26.02, 25.25, 24.60, 24.24, 24.10" ); }} +internal_power(c_z_p) { /* an3v0x2 23.42 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 11.62, 11.73, 11.90, 12.01, 12.04", \ + " 11.44, 11.53, 11.70, 11.82, 11.86", \ + " 11.44, 11.50, 11.66, 11.79, 11.84", \ + " 11.55, 11.57, 11.71, 11.84, 11.90", \ + " 11.92, 11.88, 11.96, 12.09, 12.15", \ + " 12.63, 12.51, 12.52, 12.62, 12.68", \ + " 13.90, 13.67, 13.58, 13.63, 13.68", \ + " 15.98, 15.62, 15.40, 15.36, 15.39", \ + " 19.38, 18.83, 18.45, 18.28, 18.25", \ + " 24.81, 24.03, 23.40, 23.05, 22.93" ); }} +timing() { /* ring osc delay an3v0x2, path a to z 93.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.3 ; */ +/* intrinsic_fall : 114.2 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.3, 94.3, 131.6, 208.7, 344.0, 631.1", \ + " 81.3, 98.2, 135.5, 212.6, 347.9, 635.1", \ + " 83.4, 100.3, 137.6, 214.7, 350.1, 637.2", \ + " 85.9, 102.7, 139.9, 217.1, 352.5, 639.6", \ + " 88.5, 105.8, 143.2, 220.4, 355.8, 642.9", \ + " 90.5, 108.0, 146.1, 223.5, 358.9, 646.0", \ + " 91.2, 109.2, 147.8, 225.7, 361.1, 648.2", \ + " 88.8, 107.5, 147.2, 225.5, 361.3, 648.4", \ + " 80.5, 100.2, 141.6, 221.5, 357.5, 644.9", \ + " 61.9, 83.0, 126.8, 209.5, 347.4, 635.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 88.5, 176.3, 380.0, 748.4, 1536.5", \ + " 55.2, 88.5, 176.4, 380.0, 748.4, 1536.5", \ + " 55.4, 88.6, 176.4, 380.0, 748.4, 1536.5", \ + " 56.1, 89.1, 176.7, 380.1, 748.4, 1536.5", \ + " 58.5, 91.4, 178.3, 380.7, 748.6, 1536.5", \ + " 61.0, 94.2, 180.8, 382.4, 749.3, 1536.5", \ + " 65.3, 98.5, 184.5, 384.7, 750.6, 1537.1", \ + " 71.8, 105.3, 190.4, 388.8, 752.8, 1538.0", \ + " 81.3, 115.5, 200.4, 396.4, 757.8, 1540.2", \ + " 94.6, 129.7, 215.6, 410.5, 768.4, 1546.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.7, 102.9, 134.9, 196.0, 300.7, 521.9", \ + " 94.0, 110.2, 142.2, 203.3, 308.0, 529.2", \ + " 100.1, 116.3, 148.3, 209.4, 314.1, 535.3", \ + " 108.7, 124.8, 156.8, 218.0, 322.7, 543.9", \ + " 124.1, 140.3, 172.2, 233.4, 338.1, 559.4", \ + " 144.9, 161.5, 193.8, 255.0, 359.7, 580.9", \ + " 171.3, 189.2, 222.9, 284.5, 389.2, 610.4", \ + " 205.1, 224.2, 259.8, 322.5, 427.3, 648.4", \ + " 250.5, 271.1, 309.0, 373.1, 478.3, 699.4", \ + " 313.3, 335.6, 376.6, 442.8, 548.4, 770.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.1, 72.7, 131.1, 266.5, 515.4, 1052.1", \ + " 49.1, 72.7, 131.1, 266.6, 515.4, 1052.1", \ + " 49.1, 72.8, 131.2, 266.6, 515.4, 1052.1", \ + " 49.2, 72.9, 131.3, 266.6, 515.4, 1052.1", \ + " 50.4, 73.9, 131.8, 266.8, 515.4, 1052.1", \ + " 55.3, 78.0, 134.4, 267.8, 515.7, 1052.2", \ + " 62.4, 85.5, 140.7, 271.3, 517.1, 1052.4", \ + " 70.5, 94.3, 148.9, 276.8, 519.9, 1053.4", \ + " 80.5, 105.2, 159.5, 284.5, 524.2, 1055.1", \ + " 93.8, 119.6, 174.0, 295.6, 531.1, 1058.2" ); }} +timing() { /* ring osc delay an3v0x2, path b to z 87.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.6 ; */ +/* intrinsic_fall : 105.2 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.68 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.2, 90.1, 127.4, 204.5, 339.9, 627.0", \ + " 77.5, 94.4, 131.7, 208.8, 344.2, 631.3", \ + " 80.5, 97.3, 134.6, 211.7, 347.1, 634.3", \ + " 84.3, 101.1, 138.3, 215.4, 350.8, 638.0", \ + " 89.0, 106.2, 143.7, 220.9, 356.2, 643.4", \ + " 93.3, 110.8, 148.8, 226.3, 361.6, 648.8", \ + " 96.9, 114.7, 153.1, 231.0, 366.5, 653.6", \ + " 98.2, 116.7, 155.8, 233.8, 369.8, 656.9", \ + " 95.0, 114.5, 155.2, 234.3, 370.1, 657.7", \ + " 83.7, 104.6, 147.6, 228.9, 366.1, 653.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 88.5, 176.4, 380.0, 748.4, 1536.5", \ + " 55.3, 88.6, 176.4, 380.0, 748.4, 1536.5", \ + " 55.4, 88.7, 176.5, 380.0, 748.4, 1536.5", \ + " 56.6, 89.5, 176.9, 380.2, 748.4, 1536.5", \ + " 59.4, 92.2, 178.8, 381.0, 748.6, 1536.5", \ + " 62.1, 95.3, 181.6, 382.8, 749.5, 1536.6", \ + " 66.7, 99.5, 185.3, 385.2, 751.0, 1537.3", \ + " 73.5, 106.3, 190.6, 389.0, 753.0, 1538.4", \ + " 83.4, 116.5, 200.1, 395.7, 757.4, 1540.3", \ + " 97.0, 131.0, 214.7, 408.3, 766.5, 1545.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.6, 94.2, 125.3, 185.8, 290.2, 511.2", \ + " 86.0, 101.5, 132.6, 193.1, 297.5, 518.5", \ + " 92.1, 107.6, 138.7, 199.2, 303.6, 524.6", \ + " 100.6, 116.1, 147.2, 207.7, 312.2, 533.2", \ + " 115.7, 131.2, 162.3, 222.9, 327.3, 548.4", \ + " 134.8, 151.1, 182.8, 243.5, 347.9, 568.9", \ + " 159.0, 176.5, 209.7, 271.0, 375.3, 596.3", \ + " 190.0, 208.8, 243.8, 306.0, 410.7, 631.5", \ + " 231.7, 251.8, 289.2, 352.8, 457.7, 678.7", \ + " 288.5, 310.4, 351.0, 417.1, 522.5, 743.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 69.0, 127.8, 264.1, 513.7, 1051.0", \ + " 45.6, 69.1, 127.8, 264.1, 513.7, 1051.0", \ + " 45.6, 69.1, 127.9, 264.2, 513.7, 1051.0", \ + " 45.9, 69.4, 128.1, 264.2, 513.7, 1051.0", \ + " 48.1, 71.0, 128.9, 264.5, 513.8, 1051.1", \ + " 53.4, 76.0, 132.3, 266.0, 514.3, 1051.1", \ + " 60.1, 83.2, 138.6, 269.7, 515.9, 1051.4", \ + " 67.9, 91.7, 146.5, 275.1, 518.7, 1052.5", \ + " 78.2, 102.8, 157.2, 282.7, 523.0, 1054.2", \ + " 92.1, 117.7, 172.5, 294.7, 530.4, 1057.5" ); }} +timing() { /* ring osc delay an3v0x2, path c to z 79.4 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.5 ; */ +/* intrinsic_fall : 95.0 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.67 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.6, 83.5, 120.8, 197.9, 333.2, 620.4", \ + " 71.9, 88.8, 126.0, 203.2, 338.5, 625.7", \ + " 76.2, 93.0, 130.2, 207.3, 342.7, 629.9", \ + " 81.2, 98.0, 135.1, 212.3, 347.7, 634.9", \ + " 87.2, 104.4, 141.8, 218.9, 354.3, 641.5", \ + " 92.7, 109.9, 147.9, 225.4, 360.7, 647.9", \ + " 97.9, 115.3, 153.2, 231.3, 366.8, 653.9", \ + " 101.3, 119.3, 157.7, 235.6, 371.9, 658.9", \ + " 101.2, 120.2, 159.8, 238.3, 374.3, 662.1", \ + " 94.2, 114.6, 156.2, 236.5, 373.4, 661.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.3, 88.5, 176.4, 380.0, 748.4, 1536.5", \ + " 55.3, 88.6, 176.4, 380.0, 748.4, 1536.5", \ + " 55.4, 88.7, 176.5, 380.0, 748.4, 1536.5", \ + " 56.7, 89.6, 177.0, 380.2, 748.5, 1536.5", \ + " 59.4, 92.3, 179.1, 381.1, 748.7, 1536.5", \ + " 62.0, 95.1, 181.8, 383.1, 749.8, 1536.6", \ + " 66.6, 99.0, 185.1, 385.5, 751.4, 1537.6", \ + " 73.6, 105.5, 189.7, 389.0, 753.5, 1539.0", \ + " 83.7, 115.7, 198.4, 394.7, 757.5, 1541.0", \ + " 97.9, 130.4, 212.6, 406.1, 765.7, 1546.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.6, 84.3, 114.7, 174.8, 279.0, 499.8", \ + " 76.9, 91.6, 122.0, 182.1, 286.3, 507.2", \ + " 83.1, 97.7, 128.1, 188.3, 292.5, 513.4", \ + " 91.5, 106.2, 136.6, 196.8, 301.0, 521.9", \ + " 105.8, 120.6, 151.2, 211.4, 315.6, 536.5", \ + " 122.8, 138.6, 170.1, 230.5, 334.7, 555.6", \ + " 144.6, 161.5, 194.3, 255.5, 359.7, 580.5", \ + " 172.7, 190.7, 225.2, 287.3, 391.9, 612.6", \ + " 210.1, 229.6, 266.4, 330.1, 435.0, 655.9", \ + " 260.7, 281.9, 322.2, 388.9, 494.6, 715.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.6, 65.2, 125.0, 262.4, 512.6, 1050.4", \ + " 41.7, 65.3, 125.0, 262.4, 512.6, 1050.4", \ + " 41.9, 65.5, 125.1, 262.4, 512.6, 1050.4", \ + " 42.5, 65.9, 125.3, 262.5, 512.7, 1050.4", \ + " 45.7, 68.5, 126.7, 263.0, 512.8, 1050.4", \ + " 51.0, 73.8, 130.7, 265.0, 513.4, 1050.5", \ + " 57.2, 80.3, 136.8, 268.8, 515.4, 1050.8", \ + " 65.0, 88.7, 144.4, 274.2, 518.3, 1052.1", \ + " 75.8, 100.0, 155.4, 282.2, 523.0, 1054.0", \ + " 90.4, 115.6, 171.8, 295.5, 531.8, 1058.0" ); }} +} +} +cell(an3v0x4) { /* 2008-01-06:07h21 */ +area : 10 ; /* tracks */ +cell_leakage_power : 2241 ; /* an3v0x4 */ +cell_footprint : an3 ; +pin(a) { /* an3v0x4 FO4 effort 1.81 */ +direction : input ; +capacitance : 6.91 ; +rise_capacitance : 7.22 ; +fall_capacitance : 6.60 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v0x4 */ +} +pin(b) { /* an3v0x4 FO4 effort 1.71 */ +direction : input ; +capacitance : 6.85 ; +rise_capacitance : 7.01 ; +fall_capacitance : 6.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v0x4 */ +} +pin(c) { /* an3v0x4 FO4 effort 1.61 */ +direction : input ; +capacitance : 6.60 ; +rise_capacitance : 6.63 ; +fall_capacitance : 6.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 505 ; +max_fanout : 10 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v0x4 47.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 23.86, 23.80, 24.07, 24.28, 24.33", \ + " 23.55, 23.47, 23.72, 23.94, 24.01", \ + " 23.49, 23.38, 23.62, 23.85, 23.92", \ + " 23.58, 23.42, 23.62, 23.85, 23.94", \ + " 24.02, 23.76, 23.87, 24.09, 24.19", \ + " 25.08, 24.64, 24.61, 24.77, 24.88", \ + " 27.15, 26.45, 26.19, 26.24, 26.33", \ + " 30.69, 29.66, 29.10, 28.98, 29.01", \ + " 36.61, 35.19, 34.22, 33.83, 33.74", \ + " 46.20, 44.29, 42.78, 41.99, 41.69" ); }} +internal_power(b_z_p) { /* an3v0x4 42.76 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 21.45, 21.50, 21.81, 22.02, 22.08", \ + " 21.13, 21.15, 21.46, 21.68, 21.75", \ + " 21.09, 21.07, 21.36, 21.60, 21.68", \ + " 21.24, 21.15, 21.38, 21.63, 21.72", \ + " 21.81, 21.58, 21.70, 21.93, 22.03", \ + " 23.00, 22.58, 22.53, 22.69, 22.80", \ + " 25.18, 24.49, 24.21, 24.26, 24.35", \ + " 28.81, 27.79, 27.22, 27.09, 27.11", \ + " 34.75, 33.33, 32.36, 31.95, 31.85", \ + " 44.25, 42.31, 40.80, 40.00, 39.68" ); }} +internal_power(c_z_p) { /* an3v0x4 38.10 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_520_5x10) { +values( " 18.90, 19.03, 19.36, 19.57, 19.61", \ + " 18.63, 18.72, 19.04, 19.27, 19.33", \ + " 18.63, 18.67, 18.97, 19.21, 19.30", \ + " 18.86, 18.81, 19.05, 19.30, 19.39", \ + " 19.55, 19.34, 19.46, 19.69, 19.80", \ + " 20.84, 20.43, 20.39, 20.55, 20.66", \ + " 23.09, 22.41, 22.14, 22.19, 22.28", \ + " 26.72, 25.71, 25.16, 25.03, 25.05", \ + " 32.58, 31.13, 30.18, 29.79, 29.70", \ + " 41.90, 39.87, 38.37, 37.59, 37.29" ); }} +timing() { /* ring osc delay an3v0x4, path a to z 88.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.3 ; */ +/* intrinsic_fall : 114.5 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.5, 91.0, 128.6, 205.8, 341.1, 628.3", \ + " 77.5, 95.0, 132.6, 209.8, 345.1, 632.3", \ + " 79.7, 97.2, 134.7, 211.9, 347.3, 634.5", \ + " 82.2, 99.6, 137.2, 214.4, 349.7, 636.9", \ + " 84.9, 102.7, 140.5, 217.7, 353.1, 640.2", \ + " 86.7, 104.9, 143.3, 220.8, 356.1, 643.3", \ + " 87.3, 105.9, 144.9, 222.8, 358.2, 645.3", \ + " 84.6, 104.0, 144.0, 222.4, 358.1, 645.2", \ + " 75.7, 96.1, 138.0, 217.8, 353.8, 641.1", \ + " 56.1, 78.0, 122.2, 204.9, 342.8, 630.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.8, 83.4, 171.3, 374.8, 743.2, 1531.3", \ + " 49.8, 83.5, 171.3, 374.8, 743.2, 1531.3", \ + " 49.9, 83.6, 171.4, 374.8, 743.2, 1531.3", \ + " 50.7, 84.1, 171.7, 374.9, 743.2, 1531.3", \ + " 53.0, 86.4, 173.2, 375.6, 743.4, 1531.3", \ + " 55.6, 89.3, 175.8, 377.2, 744.1, 1531.4", \ + " 59.9, 93.7, 179.6, 379.6, 745.4, 1531.9", \ + " 66.4, 100.6, 185.6, 383.7, 747.6, 1532.8", \ + " 76.1, 110.9, 195.8, 391.4, 752.5, 1534.9", \ + " 89.7, 125.3, 211.1, 405.6, 763.1, 1541.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.5, 102.9, 135.6, 196.9, 301.7, 522.9", \ + " 92.8, 110.2, 142.9, 204.2, 309.0, 530.2", \ + " 98.9, 116.2, 149.0, 210.3, 315.1, 536.4", \ + " 107.5, 124.8, 157.5, 218.9, 323.7, 545.0", \ + " 123.1, 140.3, 173.0, 234.4, 339.2, 560.5", \ + " 144.2, 161.9, 194.8, 256.2, 361.0, 582.3", \ + " 171.1, 190.1, 224.5, 286.3, 391.0, 612.2", \ + " 205.5, 225.8, 262.3, 325.2, 429.9, 651.0", \ + " 251.8, 273.6, 312.6, 376.8, 482.0, 703.1", \ + " 315.9, 339.5, 381.6, 448.1, 553.6, 775.1" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.5, 70.7, 129.0, 264.0, 512.5, 1049.1", \ + " 46.5, 70.8, 129.1, 264.0, 512.5, 1049.1", \ + " 46.5, 70.9, 129.1, 264.0, 512.5, 1049.1", \ + " 46.6, 71.0, 129.2, 264.0, 512.5, 1049.1", \ + " 47.6, 71.8, 129.7, 264.2, 512.6, 1049.1", \ + " 52.6, 75.9, 132.2, 265.2, 512.9, 1049.2", \ + " 59.7, 83.6, 138.5, 268.6, 514.1, 1049.4", \ + " 68.0, 92.6, 147.1, 274.3, 517.0, 1050.3", \ + " 78.2, 103.7, 158.0, 282.2, 521.4, 1052.1", \ + " 91.6, 118.1, 172.8, 293.5, 528.3, 1055.1" ); }} +timing() { /* ring osc delay an3v0x4, path b to z 80.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.9 ; */ +/* intrinsic_fall : 103.2 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 87.4, 124.9, 202.1, 337.5, 624.6", \ + " 74.1, 91.6, 129.2, 206.4, 341.7, 628.9", \ + " 77.1, 94.5, 132.1, 209.3, 344.6, 631.8", \ + " 80.9, 98.3, 135.8, 213.0, 348.3, 635.5", \ + " 85.5, 103.4, 141.2, 218.4, 353.7, 640.9", \ + " 89.8, 107.8, 146.2, 223.8, 359.1, 646.3", \ + " 93.2, 111.7, 150.4, 228.5, 363.9, 651.0", \ + " 94.4, 113.6, 153.2, 231.2, 367.2, 654.2", \ + " 91.2, 111.4, 152.6, 231.6, 367.5, 655.0", \ + " 79.8, 101.5, 144.9, 226.3, 363.5, 651.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.8, 83.4, 171.3, 374.8, 743.2, 1531.3", \ + " 49.8, 83.5, 171.3, 374.8, 743.2, 1531.3", \ + " 50.0, 83.7, 171.4, 374.9, 743.2, 1531.3", \ + " 51.2, 84.5, 171.9, 375.0, 743.2, 1531.3", \ + " 54.0, 87.3, 173.8, 375.8, 743.4, 1531.3", \ + " 56.8, 90.4, 176.7, 377.7, 744.4, 1531.4", \ + " 61.4, 94.8, 180.5, 380.2, 745.8, 1532.1", \ + " 68.4, 101.8, 186.0, 384.1, 748.0, 1533.3", \ + " 78.5, 112.2, 195.6, 390.8, 752.4, 1535.3", \ + " 92.5, 126.7, 210.4, 403.6, 761.6, 1540.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.7, 92.0, 123.5, 184.0, 288.4, 509.4", \ + " 83.0, 99.3, 130.7, 191.3, 295.7, 516.7", \ + " 89.1, 105.4, 136.8, 197.4, 301.8, 522.8", \ + " 97.7, 113.9, 145.3, 205.9, 310.4, 531.4", \ + " 112.8, 129.0, 160.5, 221.1, 325.5, 546.6", \ + " 131.7, 149.0, 181.1, 241.7, 346.1, 567.1", \ + " 155.9, 174.3, 207.9, 269.2, 373.6, 594.5", \ + " 186.8, 206.5, 242.1, 304.3, 408.9, 629.7", \ + " 228.4, 249.5, 287.5, 351.1, 456.0, 676.9", \ + " 285.2, 308.1, 349.5, 415.5, 520.8, 742.0" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.9, 65.8, 124.5, 260.7, 510.3, 1047.7", \ + " 42.0, 65.9, 124.5, 260.7, 510.3, 1047.7", \ + " 42.0, 65.9, 124.6, 260.7, 510.3, 1047.7", \ + " 42.3, 66.2, 124.7, 260.8, 510.3, 1047.7", \ + " 44.6, 67.9, 125.6, 261.1, 510.4, 1047.7", \ + " 50.0, 73.0, 129.1, 262.5, 510.8, 1047.8", \ + " 56.7, 80.3, 135.5, 266.3, 512.4, 1048.1", \ + " 64.7, 89.0, 143.6, 271.7, 515.3, 1049.2", \ + " 75.2, 100.3, 154.5, 279.5, 519.6, 1050.9", \ + " 89.4, 115.4, 170.1, 291.5, 527.0, 1054.1" ); }} +timing() { /* ring osc delay an3v0x4, path c to z 73.5 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 79.3 ; */ +/* intrinsic_fall : 94.5 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.6, 80.1, 117.7, 194.9, 330.2, 617.4", \ + " 68.0, 85.4, 123.0, 200.2, 335.6, 622.7", \ + " 72.3, 89.6, 127.1, 204.3, 339.7, 626.9", \ + " 77.3, 94.6, 132.1, 209.3, 344.7, 631.9", \ + " 83.1, 100.8, 138.7, 215.8, 351.2, 638.4", \ + " 88.6, 106.3, 144.5, 222.1, 357.4, 644.6", \ + " 93.5, 111.5, 149.7, 227.8, 363.3, 650.3", \ + " 96.6, 115.2, 153.9, 231.7, 367.9, 654.9", \ + " 95.9, 115.4, 155.4, 233.8, 369.7, 657.5", \ + " 87.8, 108.8, 150.8, 231.0, 367.8, 655.8" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.8, 83.4, 171.3, 374.8, 743.2, 1531.3", \ + " 49.8, 83.5, 171.3, 374.8, 743.2, 1531.3", \ + " 49.9, 83.7, 171.5, 374.9, 743.2, 1531.3", \ + " 51.3, 84.7, 172.0, 375.1, 743.3, 1531.3", \ + " 53.9, 87.4, 174.1, 376.0, 743.5, 1531.3", \ + " 56.7, 90.1, 176.8, 378.0, 744.6, 1531.4", \ + " 61.6, 94.3, 180.2, 380.3, 746.2, 1532.4", \ + " 69.0, 101.1, 185.0, 383.9, 748.3, 1533.8", \ + " 79.4, 111.6, 194.0, 389.7, 752.3, 1535.8", \ + " 94.2, 126.7, 208.4, 401.4, 760.6, 1540.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.9, 83.5, 114.4, 174.6, 278.9, 499.7", \ + " 75.2, 90.8, 121.7, 182.0, 286.2, 507.1", \ + " 81.4, 96.9, 127.8, 188.1, 292.3, 513.2", \ + " 89.9, 105.4, 136.3, 196.6, 300.9, 521.8", \ + " 104.4, 120.0, 151.1, 211.4, 315.7, 536.6", \ + " 121.7, 138.4, 170.4, 230.8, 335.0, 555.9", \ + " 144.0, 161.7, 195.1, 256.4, 360.5, 581.3", \ + " 172.7, 191.6, 226.7, 289.0, 393.5, 614.1", \ + " 211.1, 231.5, 269.0, 332.8, 437.6, 658.4", \ + " 263.2, 285.4, 326.3, 393.1, 498.7, 719.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.8, 62.8, 122.4, 259.5, 509.6, 1047.3", \ + " 38.8, 62.8, 122.4, 259.5, 509.6, 1047.3", \ + " 38.9, 63.0, 122.5, 259.5, 509.6, 1047.3", \ + " 39.4, 63.4, 122.7, 259.6, 509.6, 1047.3", \ + " 42.7, 65.9, 124.0, 260.1, 509.8, 1047.3", \ + " 48.2, 71.4, 128.1, 262.0, 510.3, 1047.3", \ + " 54.6, 78.2, 134.4, 265.9, 512.2, 1047.7", \ + " 62.8, 86.6, 142.2, 271.4, 515.2, 1048.9", \ + " 73.7, 98.2, 153.4, 279.5, 520.0, 1050.8", \ + " 88.7, 114.0, 170.0, 293.0, 528.7, 1054.8" ); }} +} +} +cell(an3v4x1) { /* 2008-01-06:07h21 */ +area : 7 ; /* tracks */ +cell_leakage_power : 589 ; /* an3v4x1 */ +cell_footprint : an3 ; +pin(a) { /* an3v4x1 FO4 effort 2.23 */ +direction : input ; +capacitance : 1.75 ; +rise_capacitance : 1.83 ; +fall_capacitance : 1.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v4x1 */ +} +pin(b) { /* an3v4x1 FO4 effort 2.14 */ +direction : input ; +capacitance : 1.75 ; +rise_capacitance : 1.79 ; +fall_capacitance : 1.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v4x1 */ +} +pin(c) { /* an3v4x1 FO4 effort 2.03 */ +direction : input ; +capacitance : 1.70 ; +rise_capacitance : 1.70 ; +fall_capacitance : 1.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v4x1 16.45 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_260_5x10) { +values( " 8.39, 8.30, 8.34, 8.39, 8.39", \ + " 8.32, 8.23, 8.27, 8.32, 8.32", \ + " 8.29, 8.20, 8.24, 8.29, 8.29", \ + " 8.29, 8.19, 8.23, 8.28, 8.28", \ + " 8.36, 8.23, 8.25, 8.30, 8.31", \ + " 8.56, 8.38, 8.37, 8.41, 8.42", \ + " 9.00, 8.74, 8.65, 8.67, 8.68", \ + " 9.79, 9.41, 9.22, 9.19, 9.18", \ + " 11.13, 10.59, 10.27, 10.15, 10.12", \ + " 13.33, 12.59, 12.08, 11.84, 11.75" ); }} +internal_power(b_z_p) { /* an3v4x1 15.41 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_260_5x10) { +values( " 7.81, 7.75, 7.81, 7.86, 7.86", \ + " 7.75, 7.69, 7.75, 7.80, 7.80", \ + " 7.73, 7.66, 7.72, 7.77, 7.78", \ + " 7.74, 7.66, 7.71, 7.76, 7.77", \ + " 7.83, 7.72, 7.74, 7.79, 7.81", \ + " 8.07, 7.90, 7.87, 7.92, 7.93", \ + " 8.54, 8.28, 8.18, 8.19, 8.20", \ + " 9.35, 8.96, 8.76, 8.71, 8.71", \ + " 10.68, 10.13, 9.79, 9.66, 9.62", \ + " 12.84, 12.09, 11.55, 11.29, 11.20" ); }} +internal_power(c_z_p) { /* an3v4x1 14.40 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_260_5x10) { +values( " 7.27, 7.24, 7.31, 7.35, 7.36", \ + " 7.20, 7.17, 7.24, 7.29, 7.29", \ + " 7.18, 7.14, 7.21, 7.26, 7.27", \ + " 7.19, 7.14, 7.20, 7.26, 7.27", \ + " 7.31, 7.22, 7.24, 7.30, 7.32", \ + " 7.59, 7.42, 7.40, 7.44, 7.46", \ + " 8.09, 7.83, 7.73, 7.74, 7.75", \ + " 8.92, 8.53, 8.33, 8.28, 8.27", \ + " 10.27, 9.71, 9.36, 9.23, 9.19", \ + " 12.43, 11.65, 11.11, 10.85, 10.75" ); }} +timing() { /* ring osc delay an3v4x1, path a to z 119.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 119.1 ; */ +/* intrinsic_fall : 149.7 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.62 ; */ +cell_rise(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.4, 134.1, 192.0, 311.8, 522.2, 968.8", \ + " 111.6, 138.3, 196.2, 316.0, 526.4, 973.0", \ + " 113.8, 140.5, 198.4, 318.2, 528.6, 975.3", \ + " 116.4, 143.1, 201.0, 320.8, 531.2, 977.8", \ + " 120.5, 147.1, 204.9, 324.7, 535.1, 981.8", \ + " 124.2, 151.3, 209.5, 329.3, 539.7, 986.3", \ + " 127.4, 155.0, 214.0, 334.0, 544.4, 991.0", \ + " 128.9, 157.1, 216.9, 337.5, 547.9, 994.4", \ + " 126.0, 155.4, 216.8, 337.9, 548.7, 995.0", \ + " 114.5, 145.3, 209.3, 332.7, 543.7, 990.3" ); } +rise_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.5, 129.8, 266.4, 582.9, 1155.8, 2381.7", \ + " 77.5, 129.8, 266.4, 582.9, 1155.8, 2381.7", \ + " 77.4, 129.8, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.6, 130.0, 266.5, 582.9, 1155.8, 2381.7", \ + " 79.0, 131.0, 267.1, 583.1, 1155.9, 2381.7", \ + " 82.2, 134.1, 269.2, 584.0, 1156.1, 2381.8", \ + " 86.1, 138.3, 272.9, 586.2, 1157.1, 2381.8", \ + " 92.2, 144.5, 278.2, 589.4, 1158.7, 2382.5", \ + " 101.9, 154.5, 286.9, 595.4, 1161.8, 2383.7", \ + " 116.3, 169.7, 301.9, 606.8, 1169.2, 2386.7" ); } +cell_fall(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 119.0, 144.7, 194.3, 288.8, 451.2, 795.1", \ + " 126.2, 151.9, 201.5, 296.0, 458.5, 802.3", \ + " 132.1, 157.9, 207.4, 301.9, 464.4, 808.3", \ + " 140.5, 166.2, 215.7, 310.2, 472.7, 816.6", \ + " 155.7, 181.4, 230.9, 325.5, 488.0, 831.8", \ + " 178.0, 203.6, 253.1, 347.6, 510.1, 854.0", \ + " 208.8, 235.4, 285.4, 379.9, 542.4, 886.2", \ + " 247.3, 275.7, 328.0, 423.1, 585.3, 929.1", \ + " 297.7, 328.1, 383.4, 480.0, 642.3, 985.8", \ + " 366.4, 398.9, 457.9, 556.6, 719.4, 1062.7" ); } +fall_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.6, 107.8, 198.5, 408.9, 796.0, 1631.2", \ + " 70.7, 107.9, 198.5, 408.9, 796.0, 1631.2", \ + " 70.7, 107.9, 198.5, 408.9, 796.0, 1631.2", \ + " 70.8, 108.0, 198.6, 408.9, 796.0, 1631.2", \ + " 71.0, 108.3, 198.8, 408.9, 796.0, 1631.2", \ + " 73.2, 109.9, 199.7, 409.3, 796.1, 1631.3", \ + " 81.4, 116.8, 203.9, 410.9, 796.6, 1631.3", \ + " 92.2, 128.3, 213.4, 416.0, 798.5, 1631.6", \ + " 105.0, 142.0, 226.3, 424.5, 802.6, 1632.9", \ + " 121.0, 159.2, 243.0, 436.5, 809.1, 1635.3" ); }} +timing() { /* ring osc delay an3v4x1, path b to z 112.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 117.0 ; */ +/* intrinsic_fall : 139.7 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.61 ; */ +cell_rise(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.9, 129.6, 187.5, 307.3, 517.7, 964.4", \ + " 107.3, 134.0, 192.0, 311.7, 522.2, 968.8", \ + " 110.3, 137.0, 194.9, 314.7, 525.2, 971.8", \ + " 114.4, 141.0, 198.9, 318.7, 529.1, 975.8", \ + " 121.2, 147.8, 205.6, 325.3, 535.8, 982.5", \ + " 128.4, 155.5, 213.7, 333.4, 543.8, 990.4", \ + " 135.7, 163.1, 222.0, 342.0, 552.3, 998.9", \ + " 141.8, 169.9, 229.2, 349.8, 560.0, 1006.5", \ + " 145.0, 174.0, 234.6, 355.2, 566.0, 1012.3", \ + " 141.7, 172.2, 235.0, 357.1, 567.8, 1014.4" ); } +rise_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.4, 129.8, 266.4, 582.9, 1155.8, 2381.7", \ + " 77.5, 129.8, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.5, 129.9, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.6, 130.0, 266.6, 582.9, 1155.8, 2381.7", \ + " 79.4, 131.3, 267.2, 583.1, 1155.9, 2381.7", \ + " 83.1, 134.8, 269.7, 584.1, 1156.1, 2381.8", \ + " 87.5, 139.4, 273.6, 586.5, 1157.2, 2381.8", \ + " 94.4, 145.9, 279.0, 589.8, 1159.0, 2382.6", \ + " 105.0, 156.3, 287.2, 595.3, 1161.8, 2383.9", \ + " 120.5, 172.0, 301.6, 605.4, 1168.1, 2386.5" ); } +cell_fall(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 110.1, 135.1, 183.7, 277.6, 439.8, 783.5", \ + " 117.4, 142.3, 190.9, 284.9, 447.1, 790.7", \ + " 123.3, 148.2, 196.9, 290.8, 453.0, 796.7", \ + " 131.7, 156.5, 205.2, 299.1, 461.3, 805.0", \ + " 146.9, 171.7, 220.3, 314.3, 476.5, 820.2", \ + " 168.7, 193.5, 242.2, 336.1, 498.4, 842.0", \ + " 197.6, 223.8, 273.2, 367.2, 529.4, 873.0", \ + " 233.6, 261.4, 313.2, 408.0, 570.0, 913.5", \ + " 280.6, 310.4, 365.1, 461.4, 623.5, 966.7", \ + " 344.3, 376.3, 434.7, 533.1, 695.6, 1038.7" ); } +fall_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.8, 103.8, 195.0, 406.4, 794.4, 1630.2", \ + " 66.7, 103.8, 195.0, 406.5, 794.4, 1630.2", \ + " 66.8, 103.8, 195.0, 406.5, 794.4, 1630.2", \ + " 66.9, 103.9, 195.1, 406.5, 794.4, 1630.2", \ + " 67.3, 104.4, 195.4, 406.6, 794.4, 1630.2", \ + " 70.7, 106.8, 196.6, 407.0, 794.6, 1630.3", \ + " 79.3, 114.6, 201.8, 409.1, 795.2, 1630.3", \ + " 89.8, 125.8, 211.3, 414.5, 797.4, 1630.7", \ + " 102.6, 139.4, 224.1, 423.0, 801.5, 1632.0", \ + " 119.3, 157.1, 241.2, 435.2, 808.2, 1634.5" ); }} +timing() { /* ring osc delay an3v4x1, path c to z 104.7 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 114.1 ; */ +/* intrinsic_fall : 129.3 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.60 ; */ +cell_rise(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.1, 122.8, 180.8, 300.5, 511.0, 957.6", \ + " 101.4, 128.1, 186.1, 305.8, 516.3, 962.9", \ + " 105.7, 132.4, 190.3, 310.0, 520.5, 967.2", \ + " 111.5, 138.1, 196.0, 315.8, 526.2, 972.9", \ + " 121.0, 147.4, 205.2, 324.9, 535.4, 982.0", \ + " 130.5, 157.4, 215.4, 335.1, 545.5, 992.1", \ + " 140.1, 167.2, 225.9, 345.8, 556.1, 1002.6", \ + " 149.1, 176.6, 235.4, 355.9, 566.1, 1012.6", \ + " 156.0, 184.3, 244.0, 364.2, 575.2, 1021.3", \ + " 157.7, 187.7, 249.2, 370.3, 580.9, 1027.7" ); } +rise_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.4, 129.8, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.5, 129.9, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.4, 129.9, 266.5, 582.9, 1155.8, 2381.7", \ + " 77.5, 130.1, 266.6, 582.9, 1155.9, 2381.7", \ + " 79.4, 131.4, 267.3, 583.2, 1155.9, 2381.7", \ + " 83.4, 135.1, 269.9, 584.2, 1156.2, 2381.8", \ + " 88.3, 139.9, 273.9, 586.8, 1157.4, 2381.9", \ + " 95.9, 146.4, 279.3, 590.1, 1159.4, 2382.9", \ + " 107.4, 157.1, 286.9, 595.3, 1162.2, 2384.5", \ + " 124.0, 173.5, 300.9, 604.5, 1167.9, 2387.1" ); } +cell_fall(x1_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.1, 125.0, 172.8, 266.3, 428.4, 771.9", \ + " 108.3, 132.2, 180.0, 273.6, 435.6, 779.1", \ + " 114.2, 138.1, 185.9, 279.4, 441.5, 785.0", \ + " 122.5, 146.4, 194.2, 287.7, 449.8, 793.3", \ + " 137.6, 161.4, 209.2, 302.8, 464.8, 808.4", \ + " 158.6, 182.6, 230.5, 324.1, 486.1, 829.7", \ + " 185.3, 210.8, 259.9, 353.6, 515.5, 859.0", \ + " 218.6, 245.7, 296.9, 391.7, 553.4, 896.8", \ + " 262.2, 291.1, 345.0, 441.3, 603.3, 946.3", \ + " 320.6, 351.7, 409.5, 508.0, 670.4, 1013.4" ); } +fall_transition(x1_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.5, 99.5, 191.8, 404.6, 793.3, 1629.6", \ + " 62.5, 99.5, 191.8, 404.6, 793.3, 1629.6", \ + " 62.6, 99.6, 191.9, 404.6, 793.3, 1629.6", \ + " 62.7, 99.8, 191.9, 404.6, 793.3, 1629.6", \ + " 63.5, 100.4, 192.3, 404.7, 793.3, 1629.6", \ + " 68.2, 103.9, 194.0, 405.4, 793.5, 1629.6", \ + " 77.0, 112.3, 200.0, 408.0, 794.3, 1629.7", \ + " 87.2, 123.0, 209.5, 413.7, 796.8, 1630.0", \ + " 100.2, 136.5, 222.0, 422.2, 801.2, 1631.6", \ + " 117.7, 154.8, 239.7, 434.9, 808.4, 1634.2" ); }} +} +} +cell(an3v4x2) { /* 2008-01-06:07h22 */ +area : 7 ; /* tracks */ +cell_leakage_power : 901 ; /* an3v4x2 */ +cell_footprint : an3 ; +pin(a) { /* an3v4x2 FO4 effort 2.05 */ +direction : input ; +capacitance : 2.38 ; +rise_capacitance : 2.50 ; +fall_capacitance : 2.27 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v4x2 */ +} +pin(b) { /* an3v4x2 FO4 effort 1.98 */ +direction : input ; +capacitance : 2.39 ; +rise_capacitance : 2.45 ; +fall_capacitance : 2.34 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v4x2 */ +} +pin(c) { /* an3v4x2 FO4 effort 1.88 */ +direction : input ; +capacitance : 2.34 ; +rise_capacitance : 2.34 ; +fall_capacitance : 2.34 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v4x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v4x2 23.22 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 11.94, 11.75, 11.77, 11.85, 11.88", \ + " 11.84, 11.65, 11.67, 11.75, 11.78", \ + " 11.81, 11.61, 11.63, 11.71, 11.75", \ + " 11.82, 11.61, 11.61, 11.70, 11.74", \ + " 11.95, 11.70, 11.67, 11.74, 11.79", \ + " 12.30, 11.98, 11.88, 11.92, 11.96", \ + " 13.03, 12.60, 12.37, 12.35, 12.37", \ + " 14.30, 13.70, 13.31, 13.18, 13.16", \ + " 16.42, 15.61, 14.99, 14.71, 14.62", \ + " 19.84, 18.79, 17.87, 17.37, 17.16" ); }} +internal_power(b_z_p) { /* an3v4x2 21.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 11.11, 10.96, 11.01, 11.10, 11.13", \ + " 11.02, 10.87, 10.91, 11.00, 11.04", \ + " 10.99, 10.83, 10.87, 10.97, 11.01", \ + " 11.01, 10.84, 10.86, 10.96, 11.00", \ + " 11.18, 10.96, 10.93, 11.01, 11.06", \ + " 11.59, 11.28, 11.17, 11.21, 11.26", \ + " 12.37, 11.93, 11.69, 11.66, 11.68", \ + " 13.67, 13.05, 12.64, 12.50, 12.47", \ + " 15.77, 14.95, 14.31, 14.00, 13.90", \ + " 19.15, 18.06, 17.11, 16.58, 16.35" ); }} +internal_power(c_z_p) { /* an3v4x2 20.22 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 10.29, 10.19, 10.26, 10.36, 10.39", \ + " 10.20, 10.09, 10.16, 10.26, 10.29", \ + " 10.17, 10.06, 10.12, 10.22, 10.26", \ + " 10.21, 10.07, 10.11, 10.21, 10.26", \ + " 10.42, 10.23, 10.21, 10.29, 10.34", \ + " 10.89, 10.59, 10.48, 10.52, 10.57", \ + " 11.72, 11.28, 11.04, 11.00, 11.02", \ + " 13.05, 12.43, 12.01, 11.86, 11.84", \ + " 15.18, 14.33, 13.69, 13.37, 13.27", \ + " 18.57, 17.43, 16.47, 15.93, 15.69" ); }} +timing() { /* ring osc delay an3v4x2, path a to z 110.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 109.8 ; */ +/* intrinsic_fall : 139.0 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.74 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.0, 115.4, 155.0, 233.5, 369.1, 656.4", \ + " 101.1, 119.5, 159.1, 237.7, 373.3, 660.5", \ + " 103.4, 121.7, 161.3, 239.9, 375.5, 662.7", \ + " 106.0, 124.3, 163.9, 242.4, 378.0, 665.3", \ + " 109.9, 128.3, 167.8, 246.3, 381.9, 669.2", \ + " 113.3, 132.0, 172.1, 250.7, 386.3, 673.6", \ + " 116.1, 135.2, 175.9, 255.1, 390.7, 677.8", \ + " 117.0, 136.6, 178.1, 257.8, 393.7, 680.8", \ + " 113.2, 133.6, 176.6, 257.5, 393.5, 680.8", \ + " 100.4, 121.9, 167.0, 250.5, 387.9, 675.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 101.0, 188.5, 389.5, 755.2, 1541.4", \ + " 66.5, 101.1, 188.6, 389.5, 755.3, 1541.4", \ + " 68.4, 102.6, 189.5, 389.9, 755.4, 1541.4", \ + " 71.3, 105.7, 192.2, 391.5, 756.0, 1541.5", \ + " 75.3, 109.9, 196.3, 394.3, 757.6, 1542.0", \ + " 81.6, 116.3, 202.1, 398.6, 760.0, 1543.1", \ + " 91.4, 126.4, 211.9, 406.1, 764.8, 1545.1", \ + " 105.8, 141.3, 227.4, 419.9, 775.0, 1550.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.3, 126.7, 161.9, 225.4, 330.7, 552.0", \ + " 115.7, 134.0, 169.2, 232.7, 338.0, 559.4", \ + " 121.7, 140.0, 175.2, 238.7, 344.0, 565.4", \ + " 130.1, 148.4, 183.6, 247.1, 352.4, 573.8", \ + " 145.5, 163.7, 198.9, 262.4, 367.7, 589.1", \ + " 167.7, 185.9, 221.1, 284.6, 389.9, 611.3", \ + " 197.7, 216.9, 253.0, 316.6, 421.9, 643.2", \ + " 235.2, 255.6, 294.0, 358.9, 464.1, 685.3", \ + " 284.6, 306.4, 347.4, 414.4, 520.2, 741.1", \ + " 352.1, 375.4, 419.6, 489.4, 595.9, 817.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.7, 86.8, 145.7, 278.4, 523.8, 1057.7", \ + " 61.7, 86.9, 145.7, 278.4, 523.8, 1057.7", \ + " 61.8, 86.9, 145.8, 278.4, 523.8, 1057.7", \ + " 61.8, 87.0, 145.8, 278.5, 523.8, 1057.7", \ + " 62.1, 87.3, 146.1, 278.6, 523.9, 1057.7", \ + " 65.0, 89.6, 147.5, 279.2, 524.1, 1057.7", \ + " 73.5, 97.4, 153.4, 282.2, 525.2, 1058.0", \ + " 83.8, 108.5, 164.0, 289.4, 528.9, 1058.9", \ + " 96.2, 121.6, 177.3, 300.0, 535.1, 1061.5", \ + " 111.9, 138.0, 194.5, 314.2, 544.4, 1065.6" ); }} +timing() { /* ring osc delay an3v4x2, path b to z 103.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.3 ; */ +/* intrinsic_fall : 129.9 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.0, 111.4, 151.0, 229.5, 365.1, 652.4", \ + " 97.4, 115.8, 155.4, 233.9, 369.5, 656.8", \ + " 100.4, 118.8, 158.4, 236.9, 372.5, 659.8", \ + " 104.5, 122.8, 162.3, 240.8, 376.5, 663.7", \ + " 111.1, 129.4, 168.9, 247.4, 383.0, 670.3", \ + " 117.8, 136.5, 176.5, 255.1, 390.6, 677.9", \ + " 124.4, 143.4, 183.9, 263.1, 398.6, 685.8", \ + " 129.8, 149.2, 190.4, 269.8, 405.7, 692.7", \ + " 131.9, 152.1, 194.4, 274.6, 410.4, 697.7", \ + " 127.1, 148.5, 192.8, 274.9, 411.5, 698.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 101.0, 188.6, 389.5, 755.2, 1541.4", \ + " 66.6, 101.2, 188.7, 389.6, 755.3, 1541.4", \ + " 68.9, 103.0, 189.8, 390.0, 755.4, 1541.4", \ + " 72.2, 106.7, 192.9, 391.8, 756.1, 1541.5", \ + " 76.9, 111.2, 197.3, 394.8, 757.9, 1542.1", \ + " 84.0, 118.0, 203.0, 399.1, 760.4, 1543.4", \ + " 94.7, 128.7, 212.6, 406.1, 764.7, 1545.3", \ + " 110.3, 144.4, 228.0, 418.6, 773.7, 1550.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.3, 118.0, 152.3, 215.1, 320.1, 541.2", \ + " 107.6, 125.3, 159.6, 222.4, 327.4, 548.5", \ + " 113.5, 131.2, 165.6, 228.4, 333.3, 554.5", \ + " 121.9, 139.6, 173.9, 236.7, 341.7, 562.9", \ + " 137.3, 154.8, 189.1, 251.9, 356.9, 578.1", \ + " 158.9, 176.5, 210.9, 273.7, 378.7, 599.9", \ + " 186.8, 205.6, 241.3, 304.4, 409.3, 630.4", \ + " 221.8, 241.8, 279.6, 344.2, 449.2, 670.1", \ + " 267.8, 289.1, 329.5, 396.1, 501.7, 722.4", \ + " 330.2, 353.1, 396.7, 466.1, 572.5, 793.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.0, 82.9, 142.0, 275.6, 521.9, 1056.4", \ + " 58.0, 82.9, 142.0, 275.6, 521.9, 1056.4", \ + " 58.0, 83.0, 142.1, 275.6, 521.9, 1056.4", \ + " 58.1, 83.1, 142.1, 275.7, 521.9, 1056.4", \ + " 58.7, 83.6, 142.5, 275.8, 522.0, 1056.4", \ + " 62.9, 86.9, 144.6, 276.7, 522.3, 1056.5", \ + " 71.4, 95.4, 151.3, 280.4, 523.7, 1056.8", \ + " 81.5, 106.1, 161.7, 287.7, 527.6, 1058.0", \ + " 94.0, 119.1, 174.9, 298.2, 533.8, 1060.6", \ + " 110.5, 136.2, 192.6, 312.8, 543.4, 1064.9" ); }} +timing() { /* ring osc delay an3v4x2, path c to z 96.4 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 105.5 ; */ +/* intrinsic_fall : 120.0 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.71 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.4, 104.8, 144.4, 222.9, 358.5, 645.8", \ + " 91.7, 110.1, 149.7, 228.2, 363.8, 651.1", \ + " 96.0, 114.3, 153.9, 232.4, 368.1, 655.3", \ + " 101.8, 120.1, 159.6, 238.1, 373.7, 661.0", \ + " 110.9, 129.1, 168.5, 246.9, 382.6, 669.8", \ + " 119.7, 138.2, 178.2, 256.6, 392.1, 679.4", \ + " 128.6, 147.3, 187.4, 266.6, 402.0, 689.1", \ + " 136.7, 155.7, 196.2, 275.3, 411.4, 698.3", \ + " 142.5, 162.2, 203.7, 283.0, 418.9, 706.3", \ + " 142.7, 163.7, 207.0, 287.8, 423.8, 711.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 100.9, 188.5, 389.5, 755.2, 1541.4", \ + " 66.4, 101.0, 188.6, 389.5, 755.2, 1541.4", \ + " 66.6, 101.2, 188.8, 389.6, 755.3, 1541.4", \ + " 68.9, 103.1, 190.0, 390.1, 755.4, 1541.4", \ + " 72.6, 107.0, 193.2, 392.1, 756.1, 1541.5", \ + " 77.8, 111.6, 197.6, 395.2, 758.2, 1542.2", \ + " 85.7, 118.8, 203.1, 399.4, 760.7, 1543.8", \ + " 97.4, 130.0, 212.4, 405.7, 764.8, 1545.8", \ + " 114.1, 146.6, 227.9, 417.4, 773.0, 1550.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.5, 108.4, 141.9, 204.2, 309.0, 529.9", \ + " 98.8, 115.7, 149.2, 211.5, 316.2, 537.2", \ + " 104.8, 121.6, 155.1, 217.4, 322.1, 543.1", \ + " 113.1, 129.9, 163.4, 225.7, 330.5, 551.5", \ + " 128.3, 145.0, 178.4, 240.8, 345.6, 566.6", \ + " 148.8, 165.9, 199.5, 261.9, 366.7, 587.6", \ + " 174.6, 192.8, 228.0, 290.8, 395.5, 616.4", \ + " 206.9, 226.2, 263.3, 327.8, 432.7, 653.3", \ + " 249.5, 270.1, 309.6, 375.9, 481.5, 702.0", \ + " 306.6, 328.8, 371.5, 440.9, 547.3, 768.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.9, 78.6, 138.4, 273.3, 520.5, 1055.6", \ + " 54.0, 78.7, 138.4, 273.3, 520.5, 1055.6", \ + " 54.0, 78.8, 138.5, 273.3, 520.5, 1055.6", \ + " 54.1, 78.9, 138.6, 273.3, 520.5, 1055.6", \ + " 55.2, 79.8, 139.2, 273.6, 520.6, 1055.6", \ + " 60.7, 84.3, 142.0, 274.8, 521.0, 1055.7", \ + " 69.2, 92.9, 149.3, 279.1, 522.8, 1056.1", \ + " 79.2, 103.2, 159.4, 286.5, 527.0, 1057.5", \ + " 91.9, 116.3, 172.4, 296.9, 533.4, 1060.2", \ + " 109.3, 134.2, 190.8, 312.1, 543.7, 1064.9" ); }} +} +} +cell(an3v6x05) { /* 2008-01-06:07h22 */ +area : 7 ; /* tracks */ +cell_leakage_power : 716 ; /* an3v6x05 */ +cell_footprint : an3 ; +pin(a) { /* an3v6x05 FO4 effort 2.14 */ +direction : input ; +capacitance : 2.89 ; +rise_capacitance : 3.01 ; +fall_capacitance : 2.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an3v6x05 */ +} +pin(b) { /* an3v6x05 FO4 effort 2.07 */ +direction : input ; +capacitance : 2.94 ; +rise_capacitance : 3.00 ; +fall_capacitance : 2.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an3v6x05 */ +} +pin(c) { /* an3v6x05 FO4 effort 1.94 */ +direction : input ; +capacitance : 2.82 ; +rise_capacitance : 2.83 ; +fall_capacitance : 2.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an3v6x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a*b*c)" ; +internal_power(a_z_p) { /* an3v6x05 16.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 8.28, 8.32, 8.39, 8.43, 8.45", \ + " 8.14, 8.18, 8.25, 8.29, 8.31", \ + " 8.11, 8.14, 8.21, 8.26, 8.27", \ + " 8.13, 8.15, 8.21, 8.27, 8.28", \ + " 8.27, 8.27, 8.32, 8.37, 8.39", \ + " 8.62, 8.59, 8.61, 8.66, 8.68", \ + " 9.32, 9.25, 9.23, 9.26, 9.28", \ + " 10.55, 10.43, 10.36, 10.36, 10.37", \ + " 12.66, 12.48, 12.34, 12.29, 12.28", \ + " 16.13, 15.87, 15.64, 15.51, 15.46" ); }} +internal_power(b_z_p) { /* an3v6x05 14.63 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 7.34, 7.38, 7.46, 7.51, 7.52", \ + " 7.21, 7.25, 7.33, 7.38, 7.39", \ + " 7.19, 7.22, 7.30, 7.35, 7.37", \ + " 7.23, 7.25, 7.32, 7.37, 7.39", \ + " 7.40, 7.40, 7.45, 7.50, 7.53", \ + " 7.78, 7.75, 7.77, 7.82, 7.84", \ + " 8.51, 8.43, 8.41, 8.44, 8.46", \ + " 9.74, 9.63, 9.55, 9.55, 9.56", \ + " 11.81, 11.63, 11.49, 11.44, 11.43", \ + " 15.15, 14.89, 14.66, 14.53, 14.49" ); }} +internal_power(c_z_p) { /* an3v6x05 12.83 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 6.38, 6.44, 6.51, 6.56, 6.57", \ + " 6.26, 6.31, 6.39, 6.44, 6.45", \ + " 6.26, 6.30, 6.37, 6.43, 6.45", \ + " 6.32, 6.35, 6.42, 6.47, 6.49", \ + " 6.54, 6.54, 6.59, 6.65, 6.67", \ + " 6.97, 6.94, 6.96, 7.00, 7.03", \ + " 7.73, 7.66, 7.65, 7.67, 7.70", \ + " 8.99, 8.88, 8.82, 8.81, 8.83", \ + " 11.05, 10.88, 10.76, 10.71, 10.70", \ + " 14.36, 14.11, 13.89, 13.77, 13.73" ); }} +timing() { /* ring osc delay an3v6x05, path a to z 99.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.0 ; */ +/* intrinsic_fall : 111.1 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.0, 92.6, 130.0, 208.4, 346.5, 639.6", \ + " 79.9, 96.5, 133.9, 212.3, 350.4, 643.5", \ + " 82.1, 98.6, 136.0, 214.5, 352.6, 645.7", \ + " 84.5, 101.0, 138.4, 216.8, 354.9, 648.1", \ + " 86.9, 103.9, 141.5, 220.0, 358.1, 651.3", \ + " 88.6, 105.8, 144.1, 222.9, 361.0, 654.1", \ + " 88.8, 106.6, 145.3, 224.6, 362.8, 655.9", \ + " 85.7, 104.3, 144.1, 223.8, 362.4, 655.5", \ + " 76.4, 96.1, 137.8, 219.0, 357.9, 651.3", \ + " 56.6, 77.8, 121.9, 206.0, 347.0, 640.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.8, 89.4, 179.3, 387.9, 764.5, 1569.3", \ + " 55.9, 89.4, 179.4, 387.9, 764.5, 1569.3", \ + " 55.9, 89.5, 179.4, 387.9, 764.5, 1569.3", \ + " 56.8, 90.1, 179.7, 388.0, 764.5, 1569.3", \ + " 59.1, 92.4, 181.3, 388.7, 764.7, 1569.3", \ + " 61.6, 95.2, 183.8, 390.2, 765.4, 1569.4", \ + " 65.9, 99.3, 187.3, 392.4, 766.6, 1569.9", \ + " 72.3, 106.1, 193.0, 396.4, 768.7, 1570.8", \ + " 81.7, 116.2, 203.0, 403.9, 773.6, 1572.9", \ + " 94.4, 130.1, 217.9, 417.9, 784.2, 1579.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.6, 100.3, 132.1, 194.0, 300.8, 526.6", \ + " 91.9, 107.6, 139.4, 201.3, 308.1, 533.9", \ + " 98.0, 113.7, 145.4, 207.4, 314.2, 540.0", \ + " 106.6, 122.2, 154.0, 216.0, 322.8, 548.6", \ + " 121.9, 137.6, 169.4, 231.4, 338.2, 564.1", \ + " 142.2, 158.5, 190.7, 252.7, 359.6, 585.4", \ + " 168.0, 185.5, 219.1, 281.7, 388.5, 614.3", \ + " 201.1, 219.9, 255.3, 318.8, 425.8, 651.6", \ + " 245.8, 266.1, 303.7, 368.5, 475.9, 701.8", \ + " 307.6, 329.6, 370.3, 437.2, 545.0, 771.4" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.5, 72.2, 132.0, 271.0, 525.7, 1074.1", \ + " 48.6, 72.3, 132.0, 271.0, 525.7, 1074.1", \ + " 48.7, 72.4, 132.1, 271.0, 525.7, 1074.1", \ + " 48.8, 72.6, 132.2, 271.1, 525.7, 1074.1", \ + " 50.1, 73.6, 132.8, 271.3, 525.7, 1074.1", \ + " 55.1, 77.9, 135.5, 272.3, 526.0, 1074.1", \ + " 61.6, 84.9, 141.4, 275.6, 527.3, 1074.3", \ + " 69.2, 93.0, 148.9, 280.7, 530.0, 1075.3", \ + " 78.6, 103.3, 158.7, 287.6, 533.8, 1076.9", \ + " 91.0, 117.0, 172.5, 298.0, 540.1, 1079.7" ); }} +timing() { /* ring osc delay an3v6x05, path b to z 92.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.2 ; */ +/* intrinsic_fall : 101.9 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.9, 88.5, 125.8, 204.3, 342.4, 635.5", \ + " 76.1, 92.7, 130.1, 208.5, 346.6, 639.8", \ + " 79.1, 95.6, 132.9, 211.4, 349.5, 642.7", \ + " 82.7, 99.2, 136.6, 215.0, 353.2, 646.3", \ + " 87.0, 104.0, 141.7, 220.2, 358.3, 651.5", \ + " 90.8, 108.0, 146.3, 225.1, 363.2, 656.4", \ + " 93.7, 111.3, 149.8, 229.2, 367.4, 660.5", \ + " 94.1, 112.4, 151.8, 231.2, 370.1, 663.1", \ + " 89.8, 109.2, 150.2, 230.7, 369.5, 663.2", \ + " 77.1, 98.0, 141.2, 224.1, 364.4, 658.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.8, 89.4, 179.4, 387.9, 764.5, 1569.3", \ + " 55.9, 89.4, 179.4, 387.9, 764.5, 1569.3", \ + " 56.1, 89.6, 179.5, 387.9, 764.5, 1569.3", \ + " 57.4, 90.6, 180.0, 388.1, 764.6, 1569.3", \ + " 60.0, 93.2, 181.9, 388.9, 764.8, 1569.3", \ + " 62.7, 96.1, 184.5, 390.7, 765.7, 1569.4", \ + " 67.1, 100.2, 188.0, 392.9, 767.0, 1570.2", \ + " 73.7, 106.8, 193.1, 396.6, 769.0, 1571.2", \ + " 83.1, 116.7, 202.4, 403.1, 773.3, 1573.2", \ + " 95.9, 130.5, 216.5, 415.5, 782.4, 1578.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.5, 91.5, 122.4, 183.7, 290.1, 515.7", \ + " 83.8, 98.7, 129.6, 191.0, 297.4, 523.0", \ + " 89.9, 104.8, 135.7, 197.1, 303.6, 529.2", \ + " 98.4, 113.4, 144.3, 205.7, 312.2, 537.8", \ + " 113.3, 128.3, 159.3, 220.7, 327.2, 552.9", \ + " 131.7, 147.7, 179.4, 240.9, 347.4, 573.0", \ + " 155.2, 172.3, 205.4, 267.6, 374.1, 599.7", \ + " 185.5, 203.8, 238.6, 301.7, 408.5, 634.1", \ + " 226.1, 245.9, 283.0, 347.4, 454.5, 680.3", \ + " 281.6, 303.3, 343.7, 410.5, 518.1, 744.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.0, 68.5, 128.7, 268.6, 524.0, 1073.0", \ + " 45.1, 68.6, 128.8, 268.6, 524.0, 1073.0", \ + " 45.1, 68.7, 128.8, 268.6, 524.0, 1073.0", \ + " 45.5, 69.0, 129.0, 268.7, 524.0, 1073.0", \ + " 48.0, 70.8, 130.0, 269.0, 524.1, 1073.0", \ + " 53.0, 75.8, 133.4, 270.5, 524.6, 1073.1", \ + " 59.2, 82.3, 139.3, 274.0, 526.2, 1073.3", \ + " 66.5, 90.3, 146.5, 278.9, 528.7, 1074.4", \ + " 76.1, 100.7, 156.4, 285.9, 532.7, 1076.0", \ + " 89.0, 114.9, 170.9, 297.1, 539.5, 1079.0" ); }} +timing() { /* ring osc delay an3v6x05, path c to z 85.0 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 79.6 ; */ +/* intrinsic_fall : 91.4 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.9, 81.5, 118.9, 197.3, 335.4, 628.5", \ + " 70.2, 86.8, 124.1, 202.6, 340.7, 633.8", \ + " 74.4, 90.9, 128.2, 206.7, 344.8, 638.0", \ + " 79.1, 95.6, 132.9, 211.4, 349.6, 642.7", \ + " 84.4, 101.3, 139.1, 217.5, 355.7, 648.9", \ + " 89.2, 106.2, 144.3, 223.3, 361.4, 654.6", \ + " 93.5, 110.7, 148.9, 228.5, 366.8, 659.9", \ + " 95.9, 113.8, 152.5, 231.8, 371.0, 664.0", \ + " 94.5, 113.3, 153.3, 233.4, 372.4, 666.3", \ + " 85.8, 106.1, 148.1, 230.1, 370.3, 664.6" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.8, 89.4, 179.4, 387.9, 764.5, 1569.3", \ + " 55.9, 89.5, 179.4, 387.9, 764.5, 1569.3", \ + " 56.1, 89.7, 179.5, 388.0, 764.5, 1569.3", \ + " 57.5, 90.8, 180.1, 388.1, 764.6, 1569.3", \ + " 60.0, 93.4, 182.2, 389.2, 764.8, 1569.3", \ + " 62.2, 95.7, 184.7, 391.0, 766.0, 1569.4", \ + " 66.4, 99.3, 187.7, 393.2, 767.5, 1570.5", \ + " 72.9, 105.4, 191.9, 396.5, 769.5, 1571.8", \ + " 82.3, 115.0, 200.3, 402.0, 773.4, 1573.9", \ + " 95.5, 128.8, 213.8, 413.2, 781.7, 1579.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.0, 81.2, 111.4, 172.3, 278.5, 504.0", \ + " 74.3, 88.5, 118.7, 179.7, 285.9, 511.4", \ + " 80.5, 94.7, 124.9, 185.8, 292.1, 517.6", \ + " 88.9, 103.1, 133.3, 194.3, 300.6, 526.1", \ + " 102.6, 117.2, 147.6, 208.7, 315.0, 540.5", \ + " 118.8, 134.3, 165.9, 227.1, 333.4, 558.9", \ + " 139.8, 156.3, 189.1, 251.3, 357.6, 583.0", \ + " 166.9, 184.6, 219.0, 282.0, 388.8, 614.1", \ + " 203.1, 222.3, 259.1, 323.6, 430.7, 656.3", \ + " 252.0, 273.1, 313.4, 381.0, 489.0, 715.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.2, 64.9, 126.0, 266.9, 523.0, 1072.3", \ + " 41.3, 65.0, 126.1, 266.9, 523.0, 1072.3", \ + " 41.4, 65.2, 126.2, 267.0, 523.0, 1072.3", \ + " 42.2, 65.7, 126.4, 267.1, 523.0, 1072.3", \ + " 45.7, 68.6, 128.0, 267.6, 523.2, 1072.3", \ + " 50.4, 73.6, 131.9, 269.7, 523.8, 1072.4", \ + " 56.0, 79.5, 137.5, 273.2, 525.6, 1072.8", \ + " 63.3, 87.2, 144.4, 278.2, 528.3, 1074.0", \ + " 73.2, 97.9, 154.8, 285.5, 532.7, 1075.7", \ + " 86.6, 112.7, 170.4, 298.3, 541.1, 1079.6" ); }} +} +} +cell(an4v0x05) { /* 2008-01-06:07h22 */ +area : 8 ; /* tracks */ +cell_leakage_power : 808 ; /* an4v0x05 */ +cell_footprint : an4 ; +pin(a) { /* an4v0x05 FO4 effort 2.45 */ +direction : input ; +capacitance : 2.81 ; +rise_capacitance : 2.95 ; +fall_capacitance : 2.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an4v0x05 */ +} +pin(b) { /* an4v0x05 FO4 effort 2.37 */ +direction : input ; +capacitance : 2.87 ; +rise_capacitance : 2.95 ; +fall_capacitance : 2.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an4v0x05 */ +} +pin(c) { /* an4v0x05 FO4 effort 2.24 */ +direction : input ; +capacitance : 2.83 ; +rise_capacitance : 2.86 ; +fall_capacitance : 2.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an4v0x05 */ +} +pin(d) { /* an4v0x05 FO4 effort 2.09 */ +direction : input ; +capacitance : 2.79 ; +rise_capacitance : 2.77 ; +fall_capacitance : 2.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of an4v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a*b*c*d)" ; +internal_power(a_z_p) { /* an4v0x05 19.60 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 9.95, 9.95, 10.00, 10.04, 10.06", \ + " 9.82, 9.81, 9.86, 9.91, 9.93", \ + " 9.78, 9.77, 9.82, 9.87, 9.88", \ + " 9.77, 9.76, 9.80, 9.85, 9.87", \ + " 9.85, 9.82, 9.85, 9.90, 9.93", \ + " 10.10, 10.05, 10.06, 10.10, 10.12", \ + " 10.66, 10.58, 10.55, 10.57, 10.59", \ + " 11.72, 11.59, 11.50, 11.49, 11.50", \ + " 13.62, 13.42, 13.26, 13.19, 13.18", \ + " 16.83, 16.55, 16.29, 16.14, 16.09" ); }} +internal_power(b_z_p) { /* an4v0x05 17.66 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 8.95, 8.96, 9.02, 9.07, 9.08", \ + " 8.82, 8.83, 8.89, 8.94, 8.96", \ + " 8.78, 8.79, 8.84, 8.89, 8.91", \ + " 8.78, 8.78, 8.83, 8.88, 8.90", \ + " 8.88, 8.86, 8.90, 8.95, 8.97", \ + " 9.17, 9.12, 9.12, 9.16, 9.19", \ + " 9.76, 9.67, 9.64, 9.65, 9.68", \ + " 10.84, 10.71, 10.61, 10.60, 10.60", \ + " 12.74, 12.54, 12.37, 12.29, 12.28", \ + " 15.89, 15.61, 15.34, 15.18, 15.12" ); }} +internal_power(c_z_p) { /* an4v0x05 15.68 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 7.89, 7.92, 7.98, 8.03, 8.04", \ + " 7.79, 7.81, 7.87, 7.93, 7.94", \ + " 7.76, 7.78, 7.84, 7.89, 7.91", \ + " 7.78, 7.79, 7.84, 7.90, 7.92", \ + " 7.92, 7.90, 7.94, 7.99, 8.01", \ + " 8.24, 8.20, 8.20, 8.24, 8.27", \ + " 8.88, 8.79, 8.76, 8.77, 8.80", \ + " 9.99, 9.86, 9.77, 9.75, 9.76", \ + " 11.87, 11.68, 11.52, 11.44, 11.43", \ + " 14.95, 14.68, 14.42, 14.27, 14.20" ); }} +internal_power(d_z_p) { /* an4v0x05 13.70 nW/MHz */ +related_pin : "d" ; +power(pwr_x05_113_5x10) { +values( " 6.84, 6.88, 6.95, 6.99, 7.00", \ + " 6.74, 6.77, 6.84, 6.89, 6.91", \ + " 6.73, 6.76, 6.82, 6.88, 6.90", \ + " 6.78, 6.79, 6.85, 6.91, 6.93", \ + " 6.96, 6.95, 6.99, 7.04, 7.07", \ + " 7.33, 7.29, 7.30, 7.35, 7.38", \ + " 8.01, 7.94, 7.91, 7.94, 7.96", \ + " 9.17, 9.05, 8.97, 8.96, 8.97", \ + " 11.07, 10.89, 10.75, 10.68, 10.67", \ + " 14.15, 13.88, 13.65, 13.51, 13.46" ); }} +timing() { /* ring osc delay an4v0x05, path a to z 121.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 106.6 ; */ +/* intrinsic_fall : 133.5 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.1, 114.0, 153.4, 232.8, 371.1, 664.2", \ + " 100.0, 117.9, 157.2, 236.7, 375.0, 668.1", \ + " 102.0, 119.8, 159.2, 238.7, 376.9, 670.0", \ + " 104.0, 121.8, 161.1, 240.6, 378.9, 672.0", \ + " 106.1, 124.0, 163.4, 243.0, 381.2, 674.4", \ + " 106.5, 124.9, 165.0, 244.7, 383.0, 676.1", \ + " 105.2, 123.9, 164.6, 245.1, 383.4, 676.5", \ + " 100.3, 119.8, 161.5, 242.5, 381.4, 674.5", \ + " 88.7, 109.2, 152.7, 235.5, 374.7, 668.2", \ + " 64.9, 86.7, 132.8, 218.9, 360.4, 654.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.6, 99.2, 188.7, 395.0, 769.4, 1572.6", \ + " 64.6, 99.2, 188.7, 395.0, 769.4, 1572.6", \ + " 64.6, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 64.8, 99.4, 188.9, 395.1, 769.4, 1572.6", \ + " 66.7, 101.0, 189.9, 395.5, 769.5, 1572.6", \ + " 68.9, 103.7, 192.4, 397.1, 770.2, 1572.7", \ + " 72.4, 107.2, 195.9, 399.6, 771.7, 1573.3", \ + " 78.1, 113.4, 201.4, 403.8, 774.1, 1574.4", \ + " 87.2, 123.2, 211.5, 411.7, 779.5, 1576.8", \ + " 100.5, 137.7, 227.3, 426.8, 791.2, 1583.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.2, 122.5, 156.7, 220.5, 328.1, 554.4", \ + " 112.3, 129.6, 163.8, 227.6, 335.1, 561.5", \ + " 118.2, 135.5, 169.7, 233.5, 341.1, 567.4", \ + " 126.6, 143.8, 178.0, 241.8, 349.4, 575.7", \ + " 142.0, 159.2, 193.3, 257.1, 364.8, 591.1", \ + " 164.3, 181.5, 215.7, 279.5, 387.1, 613.4", \ + " 194.2, 212.5, 247.6, 311.6, 419.1, 645.5", \ + " 232.0, 251.7, 288.8, 353.9, 461.4, 687.7", \ + " 282.4, 303.6, 343.2, 409.8, 517.9, 744.2", \ + " 352.0, 375.0, 417.7, 486.6, 595.2, 821.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.6, 83.1, 142.6, 279.5, 532.0, 1078.5", \ + " 58.7, 83.1, 142.7, 279.6, 532.0, 1078.5", \ + " 58.7, 83.1, 142.7, 279.6, 532.0, 1078.5", \ + " 58.7, 83.2, 142.7, 279.6, 532.0, 1078.5", \ + " 58.9, 83.5, 143.0, 279.7, 532.0, 1078.5", \ + " 61.7, 85.6, 144.1, 280.2, 532.2, 1078.5", \ + " 69.0, 92.7, 149.4, 282.6, 532.9, 1078.6", \ + " 77.7, 102.1, 158.2, 288.4, 535.7, 1079.3", \ + " 88.0, 113.4, 169.3, 296.7, 540.3, 1081.2", \ + " 101.1, 127.7, 183.9, 308.0, 547.4, 1084.3" ); }} +timing() { /* ring osc delay an4v0x05, path b to z 115.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.5 ; */ +/* intrinsic_fall : 124.3 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 3.96 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.2, 110.1, 149.4, 228.9, 367.1, 660.3", \ + " 96.2, 114.0, 153.4, 232.9, 371.1, 664.2", \ + " 98.4, 116.2, 155.6, 235.1, 373.3, 666.4", \ + " 101.0, 118.8, 158.1, 237.6, 375.8, 669.0", \ + " 104.2, 122.3, 161.7, 241.3, 379.5, 672.7", \ + " 106.6, 125.0, 165.2, 245.1, 383.3, 676.5", \ + " 108.0, 126.8, 167.4, 248.1, 386.5, 679.6", \ + " 106.8, 126.2, 167.7, 248.6, 387.7, 680.8", \ + " 100.2, 120.5, 163.6, 245.8, 385.0, 678.7", \ + " 83.7, 105.5, 151.0, 236.0, 376.8, 670.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.6, 99.2, 188.7, 395.0, 769.4, 1572.6", \ + " 64.6, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 64.6, 99.3, 188.8, 395.0, 769.4, 1572.6", \ + " 65.1, 99.6, 189.0, 395.1, 769.4, 1572.6", \ + " 67.6, 101.8, 190.5, 395.7, 769.6, 1572.6", \ + " 70.1, 104.9, 193.4, 397.7, 770.5, 1572.7", \ + " 73.9, 108.6, 197.2, 400.4, 772.2, 1573.5", \ + " 80.3, 115.0, 202.5, 404.6, 774.7, 1574.8", \ + " 90.0, 125.3, 212.2, 411.8, 779.6, 1577.1", \ + " 103.7, 139.9, 227.7, 425.6, 790.0, 1583.2" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.2, 113.7, 146.8, 209.5, 316.5, 542.4", \ + " 104.2, 120.7, 153.8, 216.5, 323.5, 549.4", \ + " 110.1, 126.6, 159.7, 222.4, 329.4, 555.3", \ + " 118.5, 135.0, 168.0, 230.8, 337.8, 563.7", \ + " 133.8, 150.2, 183.2, 246.1, 353.1, 579.0", \ + " 155.2, 171.9, 205.0, 267.9, 374.9, 600.9", \ + " 182.8, 200.7, 235.2, 298.4, 405.4, 631.3", \ + " 217.8, 237.1, 273.5, 337.9, 445.1, 670.9", \ + " 264.6, 285.4, 324.3, 390.2, 497.8, 723.7", \ + " 328.8, 351.4, 393.5, 461.8, 570.0, 796.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 78.6, 138.2, 275.8, 529.1, 1076.5", \ + " 54.6, 78.7, 138.2, 275.9, 529.1, 1076.5", \ + " 54.7, 78.7, 138.3, 275.9, 529.1, 1076.5", \ + " 54.7, 78.9, 138.4, 275.9, 529.2, 1076.5", \ + " 55.3, 79.5, 138.8, 276.1, 529.2, 1076.5", \ + " 59.4, 82.7, 140.7, 276.8, 529.5, 1076.6", \ + " 66.6, 90.1, 146.7, 280.0, 530.6, 1076.8", \ + " 74.9, 99.2, 155.2, 285.8, 533.6, 1077.7", \ + " 85.0, 110.3, 166.1, 293.9, 538.1, 1079.5", \ + " 98.4, 124.9, 181.0, 305.4, 545.3, 1082.7" ); }} +timing() { /* ring osc delay an4v0x05, path c to z 105.9 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.9 ; */ +/* intrinsic_fall : 113.2 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.9, 102.8, 142.2, 221.6, 359.9, 653.0", \ + " 89.0, 106.8, 146.2, 225.6, 363.9, 657.0", \ + " 91.7, 109.6, 148.9, 228.4, 366.6, 659.8", \ + " 95.4, 113.2, 152.5, 232.0, 370.2, 663.4", \ + " 100.3, 118.4, 157.9, 237.4, 375.7, 668.8", \ + " 104.5, 122.8, 163.1, 243.0, 381.2, 674.4", \ + " 108.2, 126.7, 167.1, 247.9, 386.3, 679.4", \ + " 109.8, 128.9, 169.9, 250.7, 389.9, 683.0", \ + " 107.2, 127.2, 169.6, 251.2, 390.4, 684.3", \ + " 96.5, 117.9, 162.4, 246.4, 386.8, 681.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.6, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 64.6, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 64.8, 99.4, 188.9, 395.1, 769.4, 1572.6", \ + " 65.5, 99.9, 189.2, 395.2, 769.4, 1572.6", \ + " 68.1, 102.4, 191.0, 396.0, 769.7, 1572.6", \ + " 70.4, 105.4, 193.9, 398.1, 770.7, 1572.8", \ + " 74.3, 108.8, 197.5, 400.8, 772.6, 1573.7", \ + " 80.7, 114.9, 202.1, 404.6, 775.0, 1575.2", \ + " 90.2, 124.7, 211.1, 411.0, 779.4, 1577.4", \ + " 103.6, 139.0, 225.5, 423.2, 788.7, 1582.9" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 102.6, 134.5, 196.5, 303.1, 528.7", \ + " 94.2, 109.8, 141.8, 203.8, 310.4, 536.0", \ + " 100.1, 115.8, 147.8, 209.8, 316.4, 542.1", \ + " 108.6, 124.2, 156.2, 218.2, 324.9, 550.5", \ + " 123.7, 139.3, 171.3, 233.4, 340.0, 565.7", \ + " 143.5, 159.8, 192.2, 254.4, 361.0, 586.7", \ + " 168.6, 186.1, 220.0, 282.8, 389.4, 615.1", \ + " 200.7, 219.5, 255.3, 319.2, 426.1, 651.7", \ + " 243.6, 263.9, 302.1, 367.5, 474.8, 700.5", \ + " 302.1, 324.2, 365.8, 433.8, 541.8, 767.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.3, 74.2, 134.2, 272.9, 527.1, 1075.2", \ + " 50.4, 74.2, 134.2, 272.9, 527.1, 1075.2", \ + " 50.4, 74.3, 134.3, 272.9, 527.1, 1075.2", \ + " 50.6, 74.5, 134.4, 272.9, 527.1, 1075.2", \ + " 52.1, 75.6, 135.1, 273.2, 527.2, 1075.2", \ + " 57.1, 80.1, 138.0, 274.4, 527.6, 1075.3", \ + " 63.8, 87.3, 144.2, 278.1, 529.1, 1075.5", \ + " 71.6, 95.8, 152.3, 283.7, 532.1, 1076.6", \ + " 81.8, 106.9, 163.0, 291.6, 536.6, 1078.4", \ + " 95.5, 121.8, 178.4, 303.7, 544.2, 1081.8" ); }} +timing() { /* ring osc delay an4v0x05, path d to z 95.4 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.9 ; */ +/* intrinsic_fall : 100.7 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.9, 92.8, 132.1, 211.6, 349.8, 642.9", \ + " 79.7, 97.6, 136.9, 216.4, 354.7, 647.8", \ + " 83.7, 101.5, 140.7, 220.3, 358.5, 651.7", \ + " 88.4, 106.2, 145.4, 224.9, 363.2, 656.4", \ + " 94.0, 112.2, 151.7, 231.3, 369.5, 662.7", \ + " 99.2, 117.2, 157.4, 237.4, 375.7, 668.9", \ + " 104.1, 122.1, 162.1, 243.0, 381.5, 674.7", \ + " 107.3, 125.7, 166.0, 246.6, 386.3, 679.4", \ + " 106.8, 126.1, 167.4, 248.6, 388.1, 682.3", \ + " 99.1, 119.7, 162.8, 246.0, 386.4, 681.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.6, 99.1, 188.7, 395.0, 769.4, 1572.6", \ + " 64.5, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 64.5, 99.2, 188.8, 395.0, 769.4, 1572.6", \ + " 65.2, 99.8, 189.2, 395.2, 769.4, 1572.6", \ + " 67.4, 102.1, 191.1, 396.1, 769.7, 1572.6", \ + " 69.0, 104.4, 193.6, 398.3, 771.0, 1572.8", \ + " 72.7, 107.0, 196.6, 400.7, 773.0, 1574.0", \ + " 78.8, 112.6, 200.2, 404.2, 775.3, 1575.8", \ + " 88.2, 121.9, 208.2, 409.6, 779.5, 1578.2", \ + " 101.6, 135.7, 221.7, 420.5, 788.0, 1583.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.4, 90.2, 121.3, 182.7, 289.1, 514.5", \ + " 82.8, 97.6, 128.7, 190.1, 296.5, 522.0", \ + " 88.9, 103.6, 134.8, 196.3, 302.6, 528.1", \ + " 97.3, 112.1, 143.2, 204.8, 311.2, 536.6", \ + " 111.8, 126.7, 157.9, 219.5, 325.9, 551.4", \ + " 129.3, 145.2, 177.2, 239.0, 345.4, 570.9", \ + " 151.8, 168.6, 202.1, 264.8, 371.2, 596.7", \ + " 180.7, 198.6, 233.9, 297.7, 404.5, 629.9", \ + " 219.3, 238.7, 276.3, 341.8, 449.1, 674.7", \ + " 271.5, 292.8, 333.9, 402.5, 510.8, 736.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.7, 69.7, 130.7, 270.7, 525.7, 1074.4", \ + " 45.7, 69.7, 130.7, 270.7, 525.7, 1074.4", \ + " 45.8, 69.9, 130.8, 270.7, 525.7, 1074.4", \ + " 46.3, 70.2, 131.0, 270.8, 525.8, 1074.4", \ + " 49.0, 72.3, 132.1, 271.2, 525.9, 1074.4", \ + " 54.1, 77.5, 135.9, 273.0, 526.5, 1074.5", \ + " 60.2, 83.9, 142.0, 277.0, 528.4, 1074.8", \ + " 67.8, 92.0, 149.6, 282.5, 531.5, 1076.1", \ + " 78.2, 103.1, 160.4, 290.5, 536.4, 1078.1", \ + " 92.2, 118.4, 176.6, 303.8, 545.2, 1082.1" ); }} +} +} +cell(an4v0x1) { /* 2008-01-06:07h22 */ +area : 8 ; /* tracks */ +cell_leakage_power : 1097 ; /* an4v0x1 */ +cell_footprint : an4 ; +pin(a) { /* an4v0x1 FO4 effort 2.34 */ +direction : input ; +capacitance : 3.66 ; +rise_capacitance : 3.85 ; +fall_capacitance : 3.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an4v0x1 */ +} +pin(b) { /* an4v0x1 FO4 effort 2.23 */ +direction : input ; +capacitance : 3.57 ; +rise_capacitance : 3.68 ; +fall_capacitance : 3.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an4v0x1 */ +} +pin(c) { /* an4v0x1 FO4 effort 2.11 */ +direction : input ; +capacitance : 3.58 ; +rise_capacitance : 3.62 ; +fall_capacitance : 3.54 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an4v0x1 */ +} +pin(d) { /* an4v0x1 FO4 effort 1.96 */ +direction : input ; +capacitance : 3.54 ; +rise_capacitance : 3.53 ; +fall_capacitance : 3.56 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of an4v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a*b*c*d)" ; +internal_power(a_z_p) { /* an4v0x1 25.98 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 13.18, 13.17, 13.25, 13.31, 13.32", \ + " 13.01, 12.99, 13.07, 13.14, 13.15", \ + " 12.95, 12.94, 13.01, 13.08, 13.10", \ + " 12.95, 12.92, 12.99, 13.06, 13.08", \ + " 13.06, 13.01, 13.06, 13.13, 13.16", \ + " 13.41, 13.32, 13.33, 13.39, 13.42", \ + " 14.18, 14.03, 13.98, 14.01, 14.04", \ + " 15.61, 15.38, 15.25, 15.23, 15.24", \ + " 18.16, 17.82, 17.57, 17.48, 17.46", \ + " 22.46, 21.98, 21.58, 21.36, 21.29" ); }} +internal_power(b_z_p) { /* an4v0x1 23.40 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 11.83, 11.85, 11.94, 12.00, 12.02", \ + " 11.67, 11.68, 11.77, 11.84, 11.86", \ + " 11.63, 11.63, 11.72, 11.79, 11.81", \ + " 11.64, 11.63, 11.70, 11.78, 11.80", \ + " 11.78, 11.74, 11.80, 11.87, 11.89", \ + " 12.17, 12.09, 12.10, 12.16, 12.19", \ + " 12.98, 12.83, 12.78, 12.81, 12.84", \ + " 14.46, 14.22, 14.08, 14.06, 14.07", \ + " 17.00, 16.66, 16.40, 16.30, 16.27", \ + " 21.23, 20.75, 20.33, 20.10, 20.02" ); }} +internal_power(c_z_p) { /* an4v0x1 20.84 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 10.48, 10.51, 10.61, 10.68, 10.69", \ + " 10.34, 10.37, 10.47, 10.54, 10.55", \ + " 10.30, 10.32, 10.42, 10.49, 10.51", \ + " 10.33, 10.34, 10.42, 10.50, 10.52", \ + " 10.52, 10.49, 10.55, 10.62, 10.65", \ + " 10.96, 10.88, 10.89, 10.95, 10.99", \ + " 11.83, 11.68, 11.63, 11.66, 11.68", \ + " 13.33, 13.10, 12.97, 12.94, 12.95", \ + " 15.87, 15.53, 15.28, 15.18, 15.16", \ + " 20.00, 19.53, 19.13, 18.90, 18.82" ); }} +internal_power(d_z_p) { /* an4v0x1 18.20 nW/MHz */ +related_pin : "d" ; +power(pwr_x1_195_5x10) { +values( " 9.06, 9.12, 9.22, 9.28, 9.30", \ + " 8.93, 8.98, 9.09, 9.16, 9.17", \ + " 8.92, 8.96, 9.06, 9.14, 9.16", \ + " 8.99, 9.01, 9.10, 9.18, 9.20", \ + " 9.24, 9.22, 9.29, 9.36, 9.39", \ + " 9.75, 9.68, 9.70, 9.77, 9.80", \ + " 10.68, 10.55, 10.51, 10.55, 10.58", \ + " 12.23, 12.03, 11.91, 11.90, 11.92", \ + " 14.80, 14.48, 14.26, 14.18, 14.16", \ + " 18.93, 18.47, 18.10, 17.91, 17.84" ); }} +timing() { /* ring osc delay an4v0x1, path a to z 115.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 104.1 ; */ +/* intrinsic_fall : 134.0 ; */ +/* rise_resistance : 3.34 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.8, 114.0, 158.1, 248.3, 406.2, 741.1", \ + " 97.8, 117.9, 162.0, 252.2, 410.1, 745.0", \ + " 99.7, 119.9, 163.9, 254.1, 412.0, 747.0", \ + " 101.7, 121.8, 165.9, 256.1, 413.9, 748.9", \ + " 103.7, 124.0, 168.2, 258.4, 416.3, 751.3", \ + " 104.1, 124.8, 169.7, 260.1, 417.9, 752.9", \ + " 102.6, 123.8, 169.2, 260.3, 418.2, 753.2", \ + " 97.5, 119.5, 166.0, 257.6, 416.0, 750.9", \ + " 85.4, 108.5, 157.0, 250.2, 408.8, 744.0", \ + " 60.9, 85.5, 136.7, 233.3, 393.9, 729.5" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 102.9, 205.4, 442.3, 871.3, 1790.2", \ + " 63.5, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.5, 103.0, 205.5, 442.3, 871.3, 1790.2", \ + " 63.8, 103.2, 205.6, 442.3, 871.3, 1790.2", \ + " 65.6, 104.8, 206.6, 442.7, 871.4, 1790.2", \ + " 67.9, 107.5, 208.9, 444.1, 872.0, 1790.3", \ + " 71.4, 111.1, 212.4, 446.4, 873.3, 1790.7", \ + " 77.3, 117.3, 217.8, 450.3, 875.4, 1791.6", \ + " 86.5, 127.4, 227.8, 457.9, 880.2, 1793.6", \ + " 100.0, 142.0, 243.8, 472.5, 891.1, 1799.7" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.3, 124.9, 162.9, 234.8, 357.3, 615.8", \ + " 112.3, 131.9, 169.9, 241.8, 364.4, 622.8", \ + " 118.3, 137.8, 175.8, 247.7, 370.3, 628.8", \ + " 126.7, 146.2, 184.2, 256.1, 378.7, 637.1", \ + " 142.1, 161.6, 199.5, 271.5, 394.1, 652.6", \ + " 164.5, 184.0, 222.0, 293.9, 416.5, 675.0", \ + " 194.7, 215.3, 254.2, 326.1, 448.7, 707.1", \ + " 232.8, 255.0, 295.9, 368.8, 491.3, 749.7", \ + " 283.8, 307.7, 351.1, 425.3, 548.2, 806.5", \ + " 354.2, 380.0, 426.8, 503.0, 626.3, 884.9" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.5, 86.3, 154.1, 311.5, 601.3, 1227.1", \ + " 58.6, 86.3, 154.2, 311.6, 601.3, 1227.1", \ + " 58.6, 86.4, 154.2, 311.6, 601.4, 1227.1", \ + " 58.6, 86.4, 154.3, 311.6, 601.4, 1227.1", \ + " 58.8, 86.7, 154.4, 311.7, 601.4, 1227.1", \ + " 61.5, 88.7, 155.5, 312.0, 601.5, 1227.1", \ + " 68.9, 95.7, 160.4, 314.1, 602.0, 1227.2", \ + " 77.6, 105.2, 169.0, 319.3, 604.3, 1227.7", \ + " 88.0, 116.6, 179.8, 326.9, 608.3, 1229.2", \ + " 101.1, 131.1, 194.2, 337.5, 614.4, 1231.7" ); }} +timing() { /* ring osc delay an4v0x1, path b to z 109.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 100.9 ; */ +/* intrinsic_fall : 124.3 ; */ +/* rise_resistance : 3.34 ; */ +/* fall_resistance : 2.62 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.7, 109.9, 154.0, 244.2, 402.0, 737.0", \ + " 93.7, 113.9, 158.0, 248.2, 406.0, 741.0", \ + " 96.0, 116.1, 160.2, 250.4, 408.3, 743.2", \ + " 98.6, 118.7, 162.7, 252.9, 410.8, 745.8", \ + " 101.7, 122.2, 166.3, 256.6, 414.4, 749.4", \ + " 104.1, 124.8, 169.8, 260.3, 418.1, 753.1", \ + " 105.4, 126.5, 171.9, 263.2, 421.0, 756.0", \ + " 103.8, 125.7, 171.9, 263.4, 421.9, 756.8", \ + " 96.6, 119.5, 167.5, 260.2, 418.8, 754.1", \ + " 79.3, 103.8, 154.4, 249.8, 409.8, 745.6" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.5, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.6, 103.0, 205.5, 442.3, 871.3, 1790.2", \ + " 64.1, 103.4, 205.7, 442.4, 871.4, 1790.2", \ + " 66.6, 105.6, 207.1, 442.9, 871.5, 1790.2", \ + " 69.1, 108.7, 209.8, 444.6, 872.2, 1790.3", \ + " 73.0, 112.4, 213.6, 447.1, 873.7, 1790.8", \ + " 79.6, 118.9, 218.8, 451.0, 875.9, 1792.0", \ + " 89.4, 129.3, 228.4, 458.0, 880.3, 1793.9", \ + " 103.2, 144.2, 243.9, 471.2, 890.0, 1799.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.8, 115.5, 152.3, 223.2, 345.2, 603.3", \ + " 103.9, 122.6, 159.4, 230.3, 352.3, 610.4", \ + " 109.8, 128.5, 165.3, 236.2, 358.2, 616.3", \ + " 118.2, 136.8, 173.6, 244.6, 366.6, 624.7", \ + " 133.6, 152.2, 188.9, 259.9, 382.0, 640.1", \ + " 155.1, 173.9, 210.8, 281.8, 403.9, 662.0", \ + " 183.0, 203.2, 241.3, 312.5, 434.5, 692.6", \ + " 218.3, 240.1, 280.2, 352.4, 474.5, 732.5", \ + " 265.7, 289.1, 331.8, 405.3, 527.7, 785.7", \ + " 330.8, 356.2, 402.3, 477.9, 600.7, 859.0" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 81.8, 149.7, 308.0, 598.7, 1225.3", \ + " 54.4, 81.8, 149.8, 308.0, 598.7, 1225.3", \ + " 54.5, 81.9, 149.8, 308.0, 598.7, 1225.3", \ + " 54.6, 82.0, 149.9, 308.0, 598.7, 1225.3", \ + " 55.2, 82.5, 150.2, 308.1, 598.7, 1225.3", \ + " 59.2, 85.7, 152.0, 308.8, 598.9, 1225.3", \ + " 66.5, 93.1, 157.6, 311.5, 599.8, 1225.4", \ + " 74.7, 102.2, 165.9, 316.7, 602.2, 1226.1", \ + " 85.0, 113.4, 176.6, 324.1, 606.1, 1227.6", \ + " 98.4, 128.2, 191.3, 334.9, 612.3, 1230.1" ); }} +timing() { /* ring osc delay an4v0x1, path c to z 100.4 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.8 ; */ +/* intrinsic_fall : 113.3 ; */ +/* rise_resistance : 3.34 ; */ +/* fall_resistance : 2.60 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.1, 103.3, 147.4, 237.5, 395.4, 730.4", \ + " 87.1, 107.3, 151.3, 241.5, 399.4, 734.4", \ + " 89.9, 110.0, 154.1, 244.3, 402.1, 737.1", \ + " 93.6, 113.6, 157.6, 247.8, 405.7, 740.7", \ + " 98.2, 118.7, 162.9, 253.2, 411.0, 746.1", \ + " 102.3, 123.0, 168.0, 258.5, 416.4, 751.4", \ + " 105.7, 126.6, 171.8, 263.2, 421.1, 756.1", \ + " 106.9, 128.4, 174.2, 265.6, 424.4, 759.2", \ + " 103.6, 126.2, 173.4, 265.6, 424.4, 759.9", \ + " 92.1, 116.1, 165.6, 260.1, 419.8, 756.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.6, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.7, 103.1, 205.6, 442.3, 871.3, 1790.2", \ + " 64.5, 103.7, 205.9, 442.5, 871.4, 1790.2", \ + " 67.2, 106.2, 207.7, 443.1, 871.6, 1790.2", \ + " 69.5, 109.2, 210.5, 445.1, 872.4, 1790.3", \ + " 73.5, 112.7, 214.0, 447.6, 874.2, 1791.0", \ + " 79.9, 118.8, 218.6, 451.2, 876.3, 1792.5", \ + " 89.6, 128.8, 227.3, 457.4, 880.4, 1794.4", \ + " 103.3, 143.2, 241.7, 469.0, 889.0, 1799.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 104.6, 140.3, 210.5, 332.1, 589.9", \ + " 94.1, 111.8, 147.5, 217.7, 339.4, 597.2", \ + " 100.1, 117.8, 153.5, 223.7, 345.4, 603.2", \ + " 108.5, 126.2, 161.9, 232.1, 353.8, 611.6", \ + " 123.7, 141.3, 177.1, 247.3, 369.0, 626.9", \ + " 143.6, 162.0, 198.1, 268.4, 390.1, 647.9", \ + " 169.0, 188.7, 226.3, 297.0, 418.7, 676.5", \ + " 201.4, 222.5, 262.0, 333.8, 455.6, 713.3", \ + " 244.9, 267.7, 309.6, 382.7, 504.9, 762.6", \ + " 304.3, 329.1, 374.6, 449.9, 572.5, 830.7" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.1, 77.2, 145.8, 305.1, 596.8, 1224.0", \ + " 50.2, 77.3, 145.8, 305.1, 596.8, 1224.0", \ + " 50.1, 77.4, 145.8, 305.2, 596.8, 1224.0", \ + " 50.4, 77.5, 145.9, 305.2, 596.8, 1224.0", \ + " 51.8, 78.6, 146.5, 305.4, 596.8, 1224.0", \ + " 56.8, 83.0, 149.2, 306.4, 597.1, 1224.1", \ + " 63.6, 90.2, 155.2, 309.6, 598.3, 1224.3", \ + " 71.6, 98.9, 163.1, 314.7, 600.9, 1225.1", \ + " 81.8, 110.0, 173.6, 322.0, 604.8, 1226.6", \ + " 95.6, 125.1, 188.7, 333.3, 611.4, 1229.3" ); }} +timing() { /* ring osc delay an4v0x1, path d to z 89.6 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.6 ; */ +/* intrinsic_fall : 100.3 ; */ +/* rise_resistance : 3.34 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.9, 93.1, 137.1, 227.3, 385.1, 720.1", \ + " 77.8, 97.9, 142.0, 232.2, 390.0, 725.0", \ + " 81.7, 101.8, 145.8, 236.0, 393.9, 728.9", \ + " 86.4, 106.4, 150.4, 240.6, 398.5, 733.5", \ + " 91.8, 112.2, 156.5, 246.7, 404.6, 739.7", \ + " 96.7, 117.0, 162.1, 252.7, 410.5, 745.6", \ + " 101.3, 121.6, 166.4, 258.1, 416.0, 751.0", \ + " 104.1, 124.8, 169.9, 261.3, 420.3, 755.2", \ + " 103.0, 124.6, 170.7, 262.6, 421.7, 757.4", \ + " 94.3, 117.4, 165.4, 259.2, 419.0, 755.7" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.4, 102.9, 205.5, 442.3, 871.3, 1790.2", \ + " 63.4, 103.0, 205.5, 442.3, 871.3, 1790.2", \ + " 64.3, 103.6, 205.9, 442.4, 871.4, 1790.2", \ + " 66.4, 105.9, 207.8, 443.2, 871.6, 1790.2", \ + " 68.0, 108.3, 210.2, 445.3, 872.6, 1790.3", \ + " 71.8, 110.9, 213.2, 447.6, 874.6, 1791.3", \ + " 78.1, 116.5, 216.7, 450.9, 876.7, 1793.0", \ + " 87.7, 125.9, 224.5, 456.2, 880.6, 1795.2", \ + " 101.4, 139.9, 237.9, 466.6, 888.7, 1800.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.9, 91.7, 126.5, 196.1, 317.6, 575.2", \ + " 82.3, 99.0, 133.8, 203.5, 325.0, 582.6", \ + " 88.4, 105.1, 140.0, 209.7, 331.1, 588.8", \ + " 96.9, 113.6, 148.4, 218.2, 339.7, 597.3", \ + " 111.4, 128.2, 163.2, 233.0, 354.5, 612.2", \ + " 129.1, 147.0, 182.7, 252.6, 374.1, 631.7", \ + " 151.8, 170.7, 207.9, 278.6, 400.0, 657.6", \ + " 181.1, 201.3, 240.2, 311.8, 433.5, 691.0", \ + " 220.2, 242.1, 283.4, 356.5, 478.7, 736.2", \ + " 273.4, 297.3, 342.3, 418.4, 541.1, 799.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 72.7, 142.4, 303.1, 595.5, 1223.2", \ + " 45.4, 72.7, 142.4, 303.1, 595.5, 1223.2", \ + " 45.6, 72.8, 142.5, 303.1, 595.5, 1223.2", \ + " 45.9, 73.2, 142.6, 303.2, 595.5, 1223.3", \ + " 48.7, 75.2, 143.6, 303.5, 595.6, 1223.3", \ + " 53.9, 80.3, 147.2, 305.1, 596.1, 1223.3", \ + " 59.9, 86.9, 153.1, 308.6, 597.6, 1223.5", \ + " 67.7, 95.0, 160.4, 313.6, 600.3, 1224.5", \ + " 78.1, 106.2, 171.0, 321.1, 604.5, 1226.1", \ + " 92.4, 121.7, 187.1, 333.6, 612.4, 1229.4" ); }} +} +} +cell(an4v0x2) { /* 2008-01-06:07h22 */ +area : 8 ; /* tracks */ +cell_leakage_power : 1502 ; /* an4v0x2 */ +cell_footprint : an4 ; +pin(a) { /* an4v0x2 FO4 effort 2.17 */ +direction : input ; +capacitance : 4.42 ; +rise_capacitance : 4.63 ; +fall_capacitance : 4.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an4v0x2 */ +} +pin(b) { /* an4v0x2 FO4 effort 2.09 */ +direction : input ; +capacitance : 4.46 ; +rise_capacitance : 4.59 ; +fall_capacitance : 4.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an4v0x2 */ +} +pin(c) { /* an4v0x2 FO4 effort 2.00 */ +direction : input ; +capacitance : 4.58 ; +rise_capacitance : 4.62 ; +fall_capacitance : 4.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an4v0x2 */ +} +pin(d) { /* an4v0x2 FO4 effort 1.86 */ +direction : input ; +capacitance : 4.60 ; +rise_capacitance : 4.57 ; +fall_capacitance : 4.63 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of an4v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a*b*c*d)" ; +internal_power(a_z_p) { /* an4v0x2 34.19 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 17.33, 17.27, 17.36, 17.46, 17.50", \ + " 17.15, 17.08, 17.17, 17.27, 17.31", \ + " 17.10, 17.03, 17.11, 17.21, 17.25", \ + " 17.11, 17.02, 17.09, 17.20, 17.25", \ + " 17.28, 17.17, 17.20, 17.30, 17.35", \ + " 17.77, 17.60, 17.58, 17.65, 17.70", \ + " 18.83, 18.55, 18.44, 18.46, 18.50", \ + " 20.77, 20.36, 20.11, 20.05, 20.06", \ + " 24.19, 23.61, 23.17, 22.98, 22.93", \ + " 29.92, 29.13, 28.43, 28.04, 27.88" ); }} +internal_power(b_z_p) { /* an4v0x2 31.06 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 15.73, 15.71, 15.82, 15.93, 15.96", \ + " 15.54, 15.51, 15.62, 15.73, 15.76", \ + " 15.48, 15.44, 15.55, 15.66, 15.70", \ + " 15.50, 15.44, 15.53, 15.64, 15.69", \ + " 15.71, 15.61, 15.65, 15.76, 15.81", \ + " 16.25, 16.08, 16.05, 16.13, 16.18", \ + " 17.36, 17.08, 16.95, 16.97, 17.01", \ + " 19.35, 18.93, 18.66, 18.59, 18.59", \ + " 22.76, 22.17, 21.71, 21.50, 21.44", \ + " 28.39, 27.59, 26.87, 26.45, 26.28" ); }} +internal_power(c_z_p) { /* an4v0x2 27.90 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 14.07, 14.09, 14.22, 14.32, 14.35", \ + " 13.89, 13.89, 14.02, 14.13, 14.17", \ + " 13.84, 13.83, 13.95, 14.07, 14.11", \ + " 13.88, 13.85, 13.95, 14.07, 14.11", \ + " 14.15, 14.06, 14.11, 14.22, 14.27", \ + " 14.75, 14.59, 14.56, 14.64, 14.69", \ + " 15.93, 15.66, 15.53, 15.54, 15.58", \ + " 17.95, 17.54, 17.28, 17.20, 17.21", \ + " 21.34, 20.76, 20.31, 20.10, 20.04", \ + " 26.84, 26.04, 25.34, 24.93, 24.76" ); }} +internal_power(d_z_p) { /* an4v0x2 24.63 nW/MHz */ +related_pin : "d" ; +power(pwr_x2_260_5x10) { +values( " 12.30, 12.37, 12.51, 12.61, 12.63", \ + " 12.13, 12.18, 12.32, 12.43, 12.46", \ + " 12.11, 12.14, 12.28, 12.39, 12.43", \ + " 12.20, 12.20, 12.31, 12.43, 12.48", \ + " 12.54, 12.48, 12.55, 12.66, 12.71", \ + " 13.23, 13.09, 13.08, 13.17, 13.22", \ + " 14.48, 14.24, 14.13, 14.16, 14.21", \ + " 16.57, 16.19, 15.95, 15.90, 15.91", \ + " 19.98, 19.43, 19.02, 18.83, 18.79", \ + " 25.48, 24.69, 24.03, 23.66, 23.51" ); }} +timing() { /* ring osc delay an4v0x2, path a to z 111.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.8 ; */ +/* intrinsic_fall : 129.8 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.5, 110.5, 149.6, 227.7, 363.2, 650.4", \ + " 96.5, 114.5, 153.6, 231.7, 367.2, 654.3", \ + " 98.4, 116.5, 155.5, 233.7, 369.1, 656.3", \ + " 100.5, 118.5, 157.5, 235.6, 371.1, 658.3", \ + " 102.6, 120.8, 159.9, 238.1, 373.5, 660.7", \ + " 103.3, 121.8, 161.5, 239.9, 375.4, 662.5", \ + " 102.1, 121.1, 161.4, 240.4, 375.9, 663.0", \ + " 97.6, 117.2, 158.6, 238.2, 374.1, 661.2", \ + " 86.3, 106.9, 150.1, 231.5, 367.9, 655.2", \ + " 63.2, 85.1, 130.9, 215.6, 354.3, 642.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 95.1, 182.8, 384.6, 751.1, 1537.7", \ + " 60.7, 95.1, 182.9, 384.6, 751.1, 1537.7", \ + " 60.7, 95.1, 182.9, 384.6, 751.1, 1537.7", \ + " 60.9, 95.3, 183.0, 384.7, 751.1, 1537.7", \ + " 62.7, 96.8, 184.0, 385.1, 751.2, 1537.7", \ + " 64.9, 99.4, 186.4, 386.6, 751.9, 1537.8", \ + " 68.4, 103.1, 189.9, 389.0, 753.3, 1538.3", \ + " 74.3, 109.3, 195.6, 393.3, 755.7, 1539.3", \ + " 83.5, 119.3, 206.0, 401.5, 761.2, 1541.7", \ + " 97.0, 133.9, 222.0, 417.0, 773.1, 1548.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.5, 118.1, 152.0, 214.6, 320.0, 541.6", \ + " 107.8, 125.3, 159.2, 221.8, 327.2, 548.9", \ + " 113.8, 131.3, 165.2, 227.8, 333.2, 554.9", \ + " 122.2, 139.8, 173.6, 236.2, 341.7, 563.4", \ + " 137.7, 155.2, 189.0, 251.6, 357.1, 578.8", \ + " 160.0, 177.5, 211.4, 274.0, 379.4, 601.1", \ + " 189.7, 208.4, 243.2, 305.9, 411.3, 633.0", \ + " 227.2, 247.2, 284.2, 348.0, 453.3, 674.9", \ + " 277.2, 298.8, 338.3, 403.6, 509.4, 730.9", \ + " 346.3, 369.5, 412.2, 479.9, 586.2, 808.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.5, 79.9, 138.2, 272.1, 519.3, 1054.6", \ + " 55.5, 79.9, 138.2, 272.1, 519.3, 1054.6", \ + " 55.6, 79.9, 138.3, 272.1, 519.3, 1054.6", \ + " 55.6, 80.0, 138.3, 272.2, 519.3, 1054.6", \ + " 55.8, 80.3, 138.5, 272.2, 519.3, 1054.6", \ + " 58.8, 82.6, 139.8, 272.8, 519.5, 1054.7", \ + " 66.3, 90.0, 145.3, 275.4, 520.3, 1054.8", \ + " 75.1, 99.5, 154.3, 281.2, 523.1, 1055.5", \ + " 85.7, 111.1, 165.7, 289.6, 527.7, 1057.3", \ + " 99.1, 125.7, 180.7, 301.4, 534.9, 1060.4" ); }} +timing() { /* ring osc delay an4v0x2, path b to z 105.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.3 ; */ +/* intrinsic_fall : 121.4 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.70 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.3, 107.3, 146.4, 224.5, 360.0, 647.1", \ + " 93.2, 111.3, 150.3, 228.5, 363.9, 651.1", \ + " 95.5, 113.5, 152.5, 230.7, 366.1, 653.3", \ + " 98.1, 116.1, 155.1, 233.2, 368.7, 655.9", \ + " 101.4, 119.6, 158.8, 236.9, 372.4, 659.6", \ + " 103.8, 122.4, 162.3, 240.8, 376.3, 663.4", \ + " 105.5, 124.4, 164.8, 244.0, 379.6, 666.7", \ + " 104.6, 124.2, 165.3, 244.9, 381.1, 668.2", \ + " 98.4, 119.0, 161.7, 242.6, 378.9, 666.5", \ + " 82.8, 104.7, 149.9, 233.5, 371.5, 659.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 95.0, 182.9, 384.6, 751.1, 1537.7", \ + " 60.7, 95.0, 182.9, 384.6, 751.1, 1537.7", \ + " 60.8, 95.2, 182.9, 384.7, 751.1, 1537.7", \ + " 61.2, 95.5, 183.2, 384.8, 751.1, 1537.7", \ + " 63.6, 97.7, 184.6, 385.4, 751.3, 1537.7", \ + " 66.1, 100.7, 187.5, 387.3, 752.2, 1537.9", \ + " 70.1, 104.6, 191.3, 390.0, 753.9, 1538.6", \ + " 76.6, 111.1, 196.8, 394.3, 756.4, 1539.9", \ + " 86.5, 121.5, 206.8, 401.8, 761.5, 1542.2", \ + " 100.4, 136.4, 222.4, 415.8, 772.0, 1548.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.4, 110.2, 143.0, 204.6, 309.4, 530.7", \ + " 100.6, 117.4, 150.1, 211.7, 316.6, 537.8", \ + " 106.5, 123.3, 156.0, 217.7, 322.5, 543.8", \ + " 114.9, 131.7, 164.4, 226.1, 330.9, 552.2", \ + " 130.3, 147.0, 179.7, 241.3, 346.2, 567.5", \ + " 151.6, 168.6, 201.5, 263.1, 368.0, 589.3", \ + " 179.0, 197.2, 231.5, 293.5, 398.3, 619.6", \ + " 213.7, 233.3, 269.6, 332.8, 437.7, 658.9", \ + " 260.2, 281.3, 320.1, 384.8, 490.1, 711.3", \ + " 323.8, 346.7, 388.8, 455.9, 561.8, 783.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.6, 75.6, 133.9, 268.5, 516.5, 1052.7", \ + " 51.6, 75.6, 133.9, 268.5, 516.5, 1052.7", \ + " 51.7, 75.7, 133.9, 268.5, 516.5, 1052.7", \ + " 51.8, 75.8, 134.0, 268.6, 516.6, 1052.7", \ + " 52.5, 76.4, 134.4, 268.7, 516.6, 1052.7", \ + " 56.7, 79.9, 136.5, 269.6, 516.9, 1052.8", \ + " 64.0, 87.5, 142.7, 272.8, 518.1, 1053.0", \ + " 72.5, 96.7, 151.5, 278.7, 521.1, 1053.9", \ + " 83.0, 108.2, 162.7, 287.0, 525.7, 1055.7", \ + " 96.7, 123.1, 178.1, 299.0, 533.1, 1058.9" ); }} +timing() { /* ring osc delay an4v0x2, path c to z 97.2 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.7 ; */ +/* intrinsic_fall : 111.3 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.0, 101.1, 140.2, 218.3, 353.7, 640.9", \ + " 87.0, 105.1, 144.1, 222.2, 357.7, 644.9", \ + " 89.8, 107.8, 146.8, 224.9, 360.4, 647.6", \ + " 93.5, 111.4, 150.4, 228.5, 364.0, 651.2", \ + " 98.3, 116.7, 155.9, 234.0, 369.5, 656.7", \ + " 102.7, 121.2, 161.2, 239.7, 375.1, 662.3", \ + " 106.5, 125.3, 165.4, 244.8, 380.4, 667.5", \ + " 108.5, 127.8, 168.5, 247.8, 384.4, 671.4", \ + " 106.5, 126.7, 168.7, 249.0, 385.3, 673.3", \ + " 96.8, 118.3, 162.4, 244.9, 382.5, 670.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 95.0, 182.9, 384.6, 751.1, 1537.7", \ + " 60.7, 95.1, 182.9, 384.6, 751.1, 1537.7", \ + " 60.8, 95.2, 183.0, 384.7, 751.1, 1537.7", \ + " 61.5, 95.8, 183.3, 384.8, 751.1, 1537.7", \ + " 64.3, 98.4, 185.2, 385.6, 751.4, 1537.7", \ + " 66.7, 101.4, 188.2, 387.8, 752.5, 1537.9", \ + " 70.6, 104.9, 191.9, 390.6, 754.5, 1538.9", \ + " 77.2, 111.2, 196.7, 394.6, 756.9, 1540.5", \ + " 87.0, 121.3, 205.8, 401.1, 761.5, 1542.8", \ + " 100.9, 135.7, 220.4, 413.5, 770.9, 1548.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.4, 100.4, 132.1, 192.9, 297.4, 518.4", \ + " 91.6, 107.6, 139.3, 200.2, 304.6, 525.6", \ + " 97.6, 113.6, 145.3, 206.1, 310.6, 531.6", \ + " 106.1, 122.0, 153.7, 214.6, 319.0, 540.1", \ + " 121.2, 137.0, 168.8, 229.7, 334.2, 555.3", \ + " 140.9, 157.5, 189.6, 250.6, 355.1, 576.2", \ + " 165.8, 183.6, 217.4, 279.0, 383.4, 604.4", \ + " 197.7, 216.8, 252.4, 315.2, 419.9, 640.8", \ + " 240.3, 260.8, 298.9, 363.2, 468.3, 689.3", \ + " 298.3, 320.7, 362.2, 429.0, 534.7, 756.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.4, 71.2, 129.9, 265.6, 514.5, 1051.4", \ + " 47.5, 71.2, 129.9, 265.6, 514.5, 1051.4", \ + " 47.6, 71.3, 130.0, 265.6, 514.5, 1051.4", \ + " 47.8, 71.5, 130.1, 265.7, 514.6, 1051.4", \ + " 49.3, 72.7, 130.8, 265.9, 514.6, 1051.4", \ + " 54.5, 77.4, 133.9, 267.2, 515.0, 1051.5", \ + " 61.4, 84.8, 140.4, 271.0, 516.7, 1051.8", \ + " 69.5, 93.6, 148.7, 276.8, 519.7, 1052.9", \ + " 80.0, 105.0, 159.8, 284.9, 524.4, 1054.8", \ + " 94.2, 120.3, 175.7, 297.5, 532.2, 1058.3" ); }} +timing() { /* ring osc delay an4v0x2, path d to z 86.9 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 89.9 ; */ +/* intrinsic_fall : 99.2 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.67 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.1, 91.2, 130.3, 208.4, 343.8, 631.0", \ + " 77.9, 96.0, 135.0, 213.2, 348.6, 635.8", \ + " 81.9, 99.9, 138.9, 217.0, 352.5, 639.7", \ + " 86.7, 104.6, 143.6, 221.7, 357.2, 644.4", \ + " 92.4, 110.8, 150.0, 228.1, 363.6, 650.8", \ + " 97.8, 116.0, 155.9, 234.5, 370.0, 657.1", \ + " 103.0, 121.2, 160.8, 240.4, 376.0, 663.2", \ + " 106.7, 125.2, 165.1, 244.3, 381.2, 668.3", \ + " 107.0, 126.3, 167.2, 246.9, 383.6, 671.8", \ + " 100.3, 121.0, 163.7, 245.2, 382.8, 671.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 95.0, 182.9, 384.6, 751.1, 1537.7", \ + " 60.6, 95.0, 182.9, 384.6, 751.1, 1537.7", \ + " 60.6, 95.1, 182.9, 384.7, 751.1, 1537.7", \ + " 61.4, 95.6, 183.3, 384.8, 751.1, 1537.7", \ + " 63.6, 98.1, 185.3, 385.7, 751.4, 1537.8", \ + " 65.4, 100.4, 187.9, 388.0, 752.8, 1537.9", \ + " 69.3, 103.3, 191.0, 390.6, 754.9, 1539.2", \ + " 75.8, 109.1, 194.8, 394.1, 757.3, 1541.1", \ + " 85.7, 118.8, 203.0, 399.6, 761.6, 1543.6", \ + " 99.7, 133.1, 216.9, 410.9, 770.2, 1549.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.3, 88.4, 119.2, 179.5, 283.7, 504.6", \ + " 80.7, 95.7, 126.5, 186.9, 291.1, 512.0", \ + " 86.8, 101.8, 132.6, 193.0, 297.2, 518.1", \ + " 95.3, 110.2, 141.1, 201.5, 305.7, 526.6", \ + " 109.7, 124.8, 155.8, 216.2, 320.5, 541.4", \ + " 127.2, 143.3, 175.1, 235.7, 340.0, 560.9", \ + " 149.6, 166.7, 199.9, 261.5, 365.7, 586.5", \ + " 178.4, 196.6, 231.6, 294.2, 398.9, 619.6", \ + " 216.8, 236.5, 273.9, 338.1, 443.2, 664.1", \ + " 268.7, 290.2, 331.1, 398.5, 504.5, 725.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.9, 66.7, 126.4, 263.4, 513.2, 1050.6", \ + " 43.0, 66.8, 126.5, 263.4, 513.2, 1050.6", \ + " 43.1, 66.9, 126.5, 263.5, 513.2, 1050.6", \ + " 43.5, 67.3, 126.7, 263.5, 513.3, 1050.6", \ + " 46.4, 69.4, 127.9, 264.0, 513.4, 1050.6", \ + " 51.7, 74.8, 131.9, 265.9, 514.0, 1050.7", \ + " 58.1, 81.5, 138.2, 269.9, 516.0, 1051.1", \ + " 66.0, 89.9, 146.0, 275.6, 519.1, 1052.4", \ + " 76.8, 101.4, 157.2, 283.9, 524.2, 1054.5", \ + " 91.6, 117.2, 173.9, 297.6, 533.3, 1058.7" ); }} +} +} +cell(an4v0x4) { /* 2008-01-06:07h22 */ +area : 12 ; /* tracks */ +cell_leakage_power : 2541 ; /* an4v0x4 */ +cell_footprint : an4 ; +pin(a) { /* an4v0x4 FO4 effort 2.11 */ +direction : input ; +capacitance : 7.22 ; +rise_capacitance : 7.60 ; +fall_capacitance : 6.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an4v0x4 */ +} +pin(b) { /* an4v0x4 FO4 effort 2.02 */ +direction : input ; +capacitance : 7.31 ; +rise_capacitance : 7.52 ; +fall_capacitance : 7.09 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an4v0x4 */ +} +pin(c) { /* an4v0x4 FO4 effort 1.89 */ +direction : input ; +capacitance : 7.09 ; +rise_capacitance : 7.16 ; +fall_capacitance : 7.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an4v0x4 */ +} +pin(d) { /* an4v0x4 FO4 effort 1.73 */ +direction : input ; +capacitance : 6.83 ; +rise_capacitance : 6.79 ; +fall_capacitance : 6.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of an4v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 504 ; +max_fanout : 10 ; +function : "(a*b*c*d)" ; +internal_power(a_z_p) { /* an4v0x4 55.92 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 28.74, 28.38, 28.47, 28.64, 28.70", \ + " 28.41, 28.05, 28.13, 28.31, 28.36", \ + " 28.31, 27.94, 28.01, 28.18, 28.25", \ + " 28.31, 27.91, 27.96, 28.14, 28.21", \ + " 28.59, 28.12, 28.10, 28.26, 28.34", \ + " 29.40, 28.81, 28.66, 28.77, 28.85", \ + " 31.21, 30.38, 30.02, 30.01, 30.06", \ + " 34.48, 33.33, 32.66, 32.47, 32.45", \ + " 40.14, 38.60, 37.51, 37.03, 36.89", \ + " 49.54, 47.53, 45.87, 44.96, 44.59" ); }} +internal_power(b_z_p) { /* an4v0x4 50.75 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 25.97, 25.72, 25.87, 26.05, 26.11", \ + " 25.66, 25.39, 25.54, 25.73, 25.79", \ + " 25.57, 25.29, 25.42, 25.61, 25.68", \ + " 25.61, 25.29, 25.38, 25.57, 25.65", \ + " 25.96, 25.55, 25.56, 25.73, 25.82", \ + " 26.90, 26.34, 26.19, 26.30, 26.38", \ + " 28.83, 28.00, 27.61, 27.60, 27.65", \ + " 32.20, 31.04, 30.33, 30.11, 30.09", \ + " 37.88, 36.32, 35.19, 34.66, 34.50", \ + " 47.16, 45.12, 43.42, 42.46, 42.05" ); }} +internal_power(c_z_p) { /* an4v0x4 45.54 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_520_5x10) { +values( " 23.11, 22.96, 23.16, 23.36, 23.41", \ + " 22.85, 22.69, 22.88, 23.08, 23.15", \ + " 22.79, 22.60, 22.78, 22.98, 23.06", \ + " 22.89, 22.64, 22.77, 22.98, 23.06", \ + " 23.36, 23.00, 23.02, 23.21, 23.30", \ + " 24.44, 23.90, 23.75, 23.86, 23.95", \ + " 26.48, 25.67, 25.28, 25.26, 25.32", \ + " 29.92, 28.77, 28.08, 27.85, 27.83", \ + " 35.59, 34.04, 32.92, 32.40, 32.24", \ + " 44.70, 42.65, 40.97, 40.03, 39.63" ); }} +internal_power(d_z_p) { /* an4v0x4 40.22 nW/MHz */ +related_pin : "d" ; +power(pwr_x4_520_5x10) { +values( " 20.17, 20.15, 20.40, 20.59, 20.63", \ + " 19.93, 19.88, 20.12, 20.33, 20.39", \ + " 19.90, 19.82, 20.05, 20.27, 20.34", \ + " 20.08, 19.94, 20.11, 20.33, 20.42", \ + " 20.70, 20.42, 20.47, 20.67, 20.77", \ + " 21.93, 21.44, 21.33, 21.46, 21.56", \ + " 24.09, 23.33, 23.00, 23.00, 23.07", \ + " 27.63, 26.54, 25.91, 25.72, 25.72", \ + " 33.36, 31.84, 30.80, 30.34, 30.20", \ + " 42.51, 40.43, 38.83, 37.96, 37.60" ); }} +timing() { /* ring osc delay an4v0x4, path a to z 107.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.5 ; */ +/* intrinsic_fall : 134.2 ; */ +/* rise_resistance : 1.09 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.2, 110.1, 149.8, 228.2, 363.7, 650.9", \ + " 95.2, 114.0, 153.7, 232.1, 367.6, 654.8", \ + " 97.1, 116.0, 155.7, 234.1, 369.6, 656.8", \ + " 99.2, 118.0, 157.6, 236.0, 371.5, 658.7", \ + " 101.3, 120.3, 160.0, 238.4, 373.9, 661.1", \ + " 101.8, 121.2, 161.6, 240.3, 375.8, 662.9", \ + " 100.6, 120.3, 161.4, 240.7, 376.3, 663.4", \ + " 95.8, 116.3, 158.4, 238.3, 374.4, 661.5", \ + " 84.3, 105.7, 149.6, 231.3, 367.8, 655.2", \ + " 60.5, 83.3, 129.7, 214.7, 353.4, 641.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.5, 90.6, 178.6, 380.0, 746.2, 1532.6", \ + " 55.5, 90.6, 178.6, 380.0, 746.2, 1532.6", \ + " 55.5, 90.7, 178.6, 380.1, 746.2, 1532.6", \ + " 55.7, 90.9, 178.8, 380.1, 746.2, 1532.6", \ + " 57.5, 92.4, 179.7, 380.5, 746.4, 1532.7", \ + " 59.7, 95.2, 182.3, 382.1, 747.0, 1532.8", \ + " 63.1, 98.7, 185.9, 384.7, 748.6, 1533.3", \ + " 68.9, 104.9, 191.6, 389.0, 751.1, 1534.5", \ + " 78.0, 114.8, 201.8, 397.1, 756.6, 1536.9", \ + " 91.7, 129.3, 217.7, 412.4, 768.3, 1543.9" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.1, 122.2, 157.5, 220.8, 326.3, 548.1", \ + " 110.2, 129.3, 164.6, 227.9, 333.4, 555.2", \ + " 116.1, 135.2, 170.5, 233.8, 339.3, 561.1", \ + " 124.5, 143.6, 178.9, 242.1, 347.7, 569.4", \ + " 139.9, 159.0, 194.2, 257.5, 363.1, 584.8", \ + " 162.5, 181.5, 216.7, 279.9, 385.5, 607.3", \ + " 193.0, 213.1, 249.2, 312.6, 418.1, 639.8", \ + " 231.5, 253.1, 291.5, 355.9, 461.4, 683.0", \ + " 282.9, 306.0, 347.2, 413.3, 519.3, 740.8", \ + " 353.8, 378.7, 423.2, 491.9, 598.3, 820.1" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.1, 79.5, 137.9, 270.9, 517.2, 1052.0", \ + " 54.1, 79.6, 137.9, 270.9, 517.3, 1052.0", \ + " 54.1, 79.6, 138.0, 270.9, 517.3, 1052.0", \ + " 54.1, 79.6, 138.0, 271.0, 517.3, 1052.0", \ + " 54.2, 79.8, 138.2, 271.0, 517.3, 1052.0", \ + " 56.7, 81.7, 139.3, 271.5, 517.4, 1052.0", \ + " 64.4, 89.1, 144.6, 274.0, 518.2, 1052.1", \ + " 73.6, 99.1, 154.2, 280.1, 521.1, 1052.8", \ + " 84.4, 110.9, 166.1, 289.2, 526.1, 1054.8", \ + " 98.0, 125.7, 181.7, 301.5, 533.8, 1058.1" ); }} +timing() { /* ring osc delay an4v0x4, path b to z 100.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 100.5 ; */ +/* intrinsic_fall : 124.8 ; */ +/* rise_resistance : 1.09 ; */ +/* fall_resistance : 0.86 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.3, 106.2, 145.9, 224.3, 359.7, 646.9", \ + " 91.3, 110.2, 149.9, 228.3, 363.8, 650.9", \ + " 93.6, 112.4, 152.1, 230.5, 366.0, 653.2", \ + " 96.2, 115.0, 154.6, 233.0, 368.5, 655.7", \ + " 99.5, 118.6, 158.4, 236.8, 372.3, 659.5", \ + " 102.1, 121.5, 162.0, 240.7, 376.2, 663.4", \ + " 103.7, 123.5, 164.5, 244.0, 379.6, 666.7", \ + " 102.7, 123.1, 165.0, 244.8, 381.0, 668.1", \ + " 96.2, 117.6, 161.1, 242.2, 378.5, 666.1", \ + " 79.8, 102.7, 148.5, 232.3, 370.2, 658.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.5, 90.6, 178.6, 380.0, 746.2, 1532.6", \ + " 55.5, 90.7, 178.6, 380.0, 746.2, 1532.6", \ + " 55.5, 90.7, 178.7, 380.1, 746.2, 1532.6", \ + " 55.9, 91.1, 178.9, 380.2, 746.2, 1532.6", \ + " 58.4, 93.2, 180.3, 380.8, 746.4, 1532.7", \ + " 60.9, 96.3, 183.2, 382.7, 747.3, 1532.8", \ + " 64.9, 100.2, 187.2, 385.5, 749.1, 1533.6", \ + " 71.4, 106.8, 192.7, 389.9, 751.7, 1534.9", \ + " 81.4, 117.2, 202.8, 397.3, 756.7, 1537.2", \ + " 95.7, 132.2, 218.5, 411.4, 767.1, 1543.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.9, 113.2, 147.3, 209.5, 314.5, 535.8", \ + " 102.0, 120.3, 154.4, 216.6, 321.5, 542.8", \ + " 107.9, 126.2, 160.3, 222.5, 327.4, 548.8", \ + " 116.3, 134.5, 168.6, 230.8, 335.8, 557.1", \ + " 131.7, 149.9, 183.9, 246.1, 351.1, 572.5", \ + " 153.5, 171.8, 205.9, 268.2, 373.2, 594.5", \ + " 181.7, 201.4, 236.9, 299.4, 404.3, 625.6", \ + " 217.5, 238.6, 276.3, 340.1, 445.1, 666.3", \ + " 265.4, 288.0, 328.4, 393.8, 499.3, 720.4", \ + " 331.1, 355.5, 399.3, 467.4, 573.3, 794.8" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.0, 75.0, 133.3, 267.1, 514.3, 1049.9", \ + " 49.9, 75.0, 133.3, 267.1, 514.3, 1049.9", \ + " 50.0, 75.1, 133.4, 267.1, 514.3, 1049.9", \ + " 50.1, 75.2, 133.5, 267.1, 514.3, 1049.9", \ + " 50.5, 75.7, 133.8, 267.3, 514.4, 1049.9", \ + " 54.5, 78.8, 135.7, 268.0, 514.6, 1050.0", \ + " 62.1, 86.6, 141.8, 271.2, 515.7, 1050.2", \ + " 70.9, 96.2, 151.2, 277.5, 518.9, 1051.1", \ + " 81.6, 107.9, 162.9, 286.3, 523.8, 1053.1", \ + " 95.6, 123.0, 178.8, 298.8, 531.6, 1056.4" ); }} +timing() { /* ring osc delay an4v0x4, path c to z 92.0 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.3 ; */ +/* intrinsic_fall : 113.8 ; */ +/* rise_resistance : 1.09 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.4, 99.3, 139.0, 217.3, 352.8, 640.0", \ + " 84.5, 103.3, 143.0, 221.4, 356.9, 644.1", \ + " 87.3, 106.1, 145.7, 224.1, 359.7, 646.8", \ + " 91.0, 109.8, 149.4, 227.8, 363.3, 650.5", \ + " 96.0, 115.2, 154.9, 233.3, 368.8, 656.0", \ + " 100.5, 119.8, 160.4, 239.1, 374.6, 661.8", \ + " 104.5, 124.0, 164.7, 244.3, 379.9, 667.0", \ + " 106.4, 126.5, 167.8, 247.4, 383.8, 670.9", \ + " 104.1, 125.1, 167.7, 248.2, 384.5, 672.3", \ + " 93.5, 116.0, 160.8, 243.4, 380.9, 669.0" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.5, 90.6, 178.6, 380.1, 746.2, 1532.6", \ + " 55.5, 90.7, 178.6, 380.1, 746.2, 1532.6", \ + " 55.5, 90.8, 178.7, 380.1, 746.2, 1532.6", \ + " 56.3, 91.3, 179.1, 380.2, 746.3, 1532.6", \ + " 59.0, 93.8, 180.9, 381.0, 746.5, 1532.7", \ + " 61.4, 96.9, 183.8, 383.2, 747.5, 1532.8", \ + " 65.5, 100.6, 187.6, 386.0, 749.5, 1533.8", \ + " 72.3, 107.1, 192.6, 390.0, 752.0, 1535.3", \ + " 82.4, 117.3, 201.9, 396.6, 756.6, 1537.6", \ + " 96.8, 132.1, 216.8, 409.2, 765.9, 1543.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.9, 102.3, 135.2, 196.6, 301.1, 522.2", \ + " 92.2, 109.6, 142.5, 203.8, 308.4, 529.5", \ + " 98.2, 115.5, 148.4, 209.8, 314.4, 535.4", \ + " 106.6, 123.9, 156.8, 218.2, 322.8, 543.9", \ + " 121.9, 139.2, 172.0, 233.5, 338.1, 559.1", \ + " 142.3, 160.1, 193.3, 254.8, 359.4, 580.5", \ + " 168.1, 187.2, 222.1, 284.1, 388.6, 609.7", \ + " 201.1, 221.5, 258.5, 321.8, 426.5, 647.4", \ + " 245.2, 267.1, 306.7, 371.6, 476.7, 697.7", \ + " 305.5, 329.2, 372.2, 439.9, 545.6, 766.8" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.7, 70.3, 129.0, 263.9, 512.2, 1048.6", \ + " 45.7, 70.4, 129.1, 263.9, 512.2, 1048.6", \ + " 45.7, 70.4, 129.1, 264.0, 512.2, 1048.6", \ + " 45.8, 70.6, 129.2, 264.0, 512.2, 1048.6", \ + " 47.1, 71.6, 129.8, 264.2, 512.3, 1048.6", \ + " 52.3, 76.0, 132.6, 265.4, 512.6, 1048.6", \ + " 59.4, 83.8, 139.3, 269.2, 514.2, 1048.9", \ + " 67.8, 92.9, 148.2, 275.3, 517.4, 1050.0", \ + " 78.6, 104.5, 159.8, 284.0, 522.3, 1052.0", \ + " 93.2, 120.1, 176.0, 296.9, 530.4, 1055.5" ); }} +timing() { /* ring osc delay an4v0x4, path d to z 81.1 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.2 ; */ +/* intrinsic_fall : 101.1 ; */ +/* rise_resistance : 1.09 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 89.1, 128.8, 207.1, 342.6, 629.8", \ + " 75.1, 94.0, 133.6, 212.0, 347.5, 634.7", \ + " 79.0, 97.9, 137.5, 215.9, 351.4, 638.6", \ + " 84.0, 102.7, 142.3, 220.7, 356.2, 643.4", \ + " 90.0, 109.0, 148.8, 227.2, 362.8, 650.0", \ + " 95.6, 114.5, 154.9, 233.7, 369.2, 656.4", \ + " 101.0, 119.9, 160.0, 239.7, 375.4, 662.5", \ + " 104.7, 124.0, 164.4, 243.7, 380.4, 667.5", \ + " 104.6, 124.8, 166.2, 246.0, 382.5, 670.6", \ + " 97.2, 118.8, 162.1, 243.7, 381.0, 669.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.4, 90.6, 178.6, 380.0, 746.2, 1532.6", \ + " 55.4, 90.6, 178.6, 380.0, 746.2, 1532.6", \ + " 55.3, 90.6, 178.7, 380.1, 746.2, 1532.6", \ + " 56.1, 91.2, 179.0, 380.2, 746.3, 1532.6", \ + " 58.2, 93.5, 180.9, 381.1, 746.5, 1532.7", \ + " 60.2, 95.8, 183.5, 383.3, 747.8, 1532.9", \ + " 64.4, 99.0, 186.7, 385.9, 749.8, 1534.1", \ + " 71.4, 105.2, 190.7, 389.5, 752.2, 1535.9", \ + " 81.8, 115.3, 199.3, 395.1, 756.5, 1538.3", \ + " 96.6, 130.1, 213.5, 406.5, 765.0, 1543.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.4, 89.7, 121.5, 182.3, 286.6, 507.5", \ + " 80.8, 97.1, 128.9, 189.7, 294.1, 514.9", \ + " 86.9, 103.2, 135.0, 195.8, 300.2, 521.1", \ + " 95.5, 111.6, 143.5, 204.3, 308.7, 529.6", \ + " 110.3, 126.5, 158.4, 219.3, 323.7, 544.6", \ + " 128.6, 145.7, 178.4, 239.4, 343.7, 564.6", \ + " 151.8, 170.1, 204.3, 266.2, 370.5, 591.3", \ + " 181.8, 201.2, 237.3, 300.4, 405.0, 625.7", \ + " 221.8, 242.7, 281.3, 346.1, 451.1, 671.9", \ + " 276.2, 298.9, 340.9, 408.9, 514.9, 736.0" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.0, 65.4, 125.2, 261.5, 510.7, 1047.7", \ + " 41.0, 65.5, 125.2, 261.5, 510.7, 1047.7", \ + " 41.1, 65.6, 125.2, 261.5, 510.7, 1047.7", \ + " 41.4, 65.9, 125.4, 261.6, 510.7, 1047.7", \ + " 44.0, 67.8, 126.4, 262.0, 510.9, 1047.7", \ + " 49.5, 73.2, 130.3, 263.7, 511.4, 1047.8", \ + " 56.2, 80.3, 136.9, 267.9, 513.4, 1048.1", \ + " 64.5, 89.0, 145.2, 273.9, 516.7, 1049.4", \ + " 75.7, 100.7, 156.7, 282.5, 521.9, 1051.5", \ + " 90.9, 116.8, 173.7, 296.5, 531.1, 1055.7" ); }} +} +} +cell(an4v4x1) { /* 2008-01-06:07h22 */ +area : 8 ; /* tracks */ +cell_leakage_power : 681 ; /* an4v4x1 */ +cell_footprint : an4 ; +pin(a) { /* an4v4x1 FO4 effort 2.51 */ +direction : input ; +capacitance : 2.07 ; +rise_capacitance : 2.18 ; +fall_capacitance : 1.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of an4v4x1 */ +} +pin(b) { /* an4v4x1 FO4 effort 2.38 */ +direction : input ; +capacitance : 1.98 ; +rise_capacitance : 2.04 ; +fall_capacitance : 1.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of an4v4x1 */ +} +pin(c) { /* an4v4x1 FO4 effort 2.24 */ +direction : input ; +capacitance : 1.96 ; +rise_capacitance : 1.98 ; +fall_capacitance : 1.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of an4v4x1 */ +} +pin(d) { /* an4v4x1 FO4 effort 2.07 */ +direction : input ; +capacitance : 1.88 ; +rise_capacitance : 1.87 ; +fall_capacitance : 1.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of an4v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 160 ; +max_fanout : 4 ; +function : "(a*b*c*d)" ; +internal_power(a_z_p) { /* an4v4x1 19.01 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 9.87, 9.68, 9.66, 9.69, 9.70", \ + " 9.78, 9.60, 9.57, 9.60, 9.61", \ + " 9.75, 9.56, 9.53, 9.56, 9.57", \ + " 9.73, 9.54, 9.50, 9.54, 9.55", \ + " 9.77, 9.57, 9.51, 9.54, 9.56", \ + " 9.93, 9.69, 9.61, 9.63, 9.65", \ + " 10.33, 10.04, 9.89, 9.88, 9.88", \ + " 11.12, 10.73, 10.48, 10.40, 10.39", \ + " 12.50, 11.98, 11.59, 11.42, 11.37", \ + " 14.80, 14.13, 13.55, 13.24, 13.11" ); }} +internal_power(b_z_p) { /* an4v4x1 17.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 9.09, 8.94, 8.94, 8.98, 8.99", \ + " 9.02, 8.87, 8.86, 8.90, 8.91", \ + " 8.99, 8.84, 8.83, 8.87, 8.88", \ + " 8.99, 8.83, 8.81, 8.85, 8.87", \ + " 9.05, 8.87, 8.83, 8.87, 8.89", \ + " 9.24, 9.03, 8.95, 8.97, 8.99", \ + " 9.69, 9.41, 9.26, 9.24, 9.25", \ + " 10.52, 10.13, 9.87, 9.78, 9.77", \ + " 11.92, 11.39, 10.99, 10.80, 10.74", \ + " 14.22, 13.53, 12.93, 12.60, 12.46" ); }} +internal_power(c_z_p) { /* an4v4x1 16.24 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 8.31, 8.20, 8.21, 8.26, 8.27", \ + " 8.26, 8.14, 8.16, 8.20, 8.22", \ + " 8.24, 8.12, 8.13, 8.18, 8.19", \ + " 8.25, 8.12, 8.12, 8.17, 8.19", \ + " 8.34, 8.18, 8.16, 8.20, 8.22", \ + " 8.57, 8.37, 8.30, 8.32, 8.35", \ + " 9.07, 8.79, 8.64, 8.62, 8.63", \ + " 9.92, 9.53, 9.27, 9.18, 9.17", \ + " 11.33, 10.80, 10.40, 10.21, 10.15", \ + " 13.61, 12.91, 12.32, 11.98, 11.84" ); }} +internal_power(d_z_p) { /* an4v4x1 14.84 nW/MHz */ +related_pin : "d" ; +power(pwr_x1_195_5x10) { +values( " 7.55, 7.47, 7.51, 7.56, 7.57", \ + " 7.49, 7.41, 7.45, 7.50, 7.52", \ + " 7.48, 7.39, 7.43, 7.48, 7.50", \ + " 7.49, 7.40, 7.42, 7.48, 7.50", \ + " 7.61, 7.49, 7.48, 7.53, 7.56", \ + " 7.90, 7.72, 7.66, 7.68, 7.71", \ + " 8.43, 8.17, 8.03, 8.01, 8.02", \ + " 9.31, 8.93, 8.69, 8.61, 8.59", \ + " 10.74, 10.22, 9.84, 9.66, 9.60", \ + " 13.04, 12.33, 11.76, 11.44, 11.30" ); }} +timing() { /* ring osc delay an4v4x1, path a to z 136.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 129.1 ; */ +/* intrinsic_fall : 170.6 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.73 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.9, 137.7, 184.4, 276.3, 434.5, 769.6", \ + " 119.9, 141.7, 188.4, 280.4, 438.6, 773.6", \ + " 122.0, 143.7, 190.4, 282.4, 440.6, 775.7", \ + " 124.0, 145.8, 192.4, 284.4, 442.6, 777.7", \ + " 126.7, 148.4, 195.0, 287.0, 445.2, 780.3", \ + " 128.1, 150.2, 197.3, 289.3, 447.5, 782.5", \ + " 127.7, 150.1, 197.9, 290.7, 448.9, 783.9", \ + " 124.4, 147.4, 196.1, 289.4, 448.1, 783.0", \ + " 115.2, 139.1, 189.2, 283.8, 442.9, 778.0", \ + " 94.3, 119.4, 171.9, 269.3, 429.7, 765.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.0, 116.0, 218.6, 452.8, 878.9, 1795.5", \ + " 75.0, 116.0, 218.6, 452.8, 878.9, 1795.5", \ + " 75.1, 116.0, 218.6, 452.8, 878.9, 1795.5", \ + " 75.1, 116.0, 218.7, 452.8, 879.0, 1795.5", \ + " 76.1, 116.8, 219.1, 453.0, 879.0, 1795.6", \ + " 79.0, 119.7, 221.4, 454.2, 879.4, 1795.6", \ + " 82.1, 123.3, 225.2, 457.0, 881.0, 1796.0", \ + " 87.5, 128.8, 230.4, 461.0, 883.5, 1797.3", \ + " 96.3, 138.2, 239.5, 468.2, 888.1, 1799.3", \ + " 110.1, 152.7, 254.9, 481.7, 898.1, 1804.6" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 137.9, 160.3, 202.9, 278.0, 401.5, 660.1", \ + " 144.7, 167.2, 209.8, 284.9, 408.3, 667.0", \ + " 150.5, 172.9, 215.5, 290.6, 414.1, 672.7", \ + " 158.6, 181.0, 223.6, 298.7, 422.1, 680.8", \ + " 173.6, 196.0, 238.6, 313.7, 437.2, 695.8", \ + " 196.2, 218.5, 261.0, 336.1, 459.6, 718.3", \ + " 230.0, 252.4, 295.0, 370.1, 493.5, 752.2", \ + " 273.4, 297.4, 341.9, 417.6, 540.9, 799.4", \ + " 330.3, 356.0, 403.6, 481.4, 605.0, 863.3", \ + " 408.0, 435.4, 486.6, 567.3, 691.8, 950.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.4, 105.3, 173.8, 327.4, 612.4, 1234.1", \ + " 75.5, 105.4, 173.9, 327.4, 612.4, 1234.1", \ + " 75.5, 105.3, 173.9, 327.4, 612.4, 1234.1", \ + " 75.5, 105.4, 173.9, 327.4, 612.4, 1234.1", \ + " 75.5, 105.5, 174.0, 327.5, 612.4, 1234.1", \ + " 76.0, 106.1, 174.5, 327.7, 612.5, 1234.1", \ + " 81.7, 110.6, 177.3, 329.0, 613.0, 1234.2", \ + " 93.0, 122.0, 186.8, 334.5, 615.1, 1234.6", \ + " 106.0, 136.1, 201.1, 345.1, 620.9, 1236.6", \ + " 121.6, 152.8, 218.7, 359.5, 630.0, 1240.4" ); }} +timing() { /* ring osc delay an4v4x1, path b to z 128.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 125.1 ; */ +/* intrinsic_fall : 158.8 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.70 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 110.8, 132.6, 179.3, 271.2, 429.4, 764.5", \ + " 115.0, 136.8, 183.5, 275.4, 433.6, 768.7", \ + " 117.4, 139.1, 185.8, 277.8, 436.0, 771.0", \ + " 120.1, 141.8, 188.5, 280.4, 438.6, 773.7", \ + " 124.3, 146.0, 192.6, 284.5, 442.7, 777.8", \ + " 128.0, 150.2, 197.3, 289.3, 447.5, 782.5", \ + " 131.2, 153.7, 201.4, 294.1, 452.3, 787.3", \ + " 132.4, 155.3, 203.8, 297.0, 455.6, 790.5", \ + " 128.8, 152.5, 202.2, 296.3, 455.2, 790.3", \ + " 115.5, 140.5, 192.4, 288.7, 448.3, 784.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.1, 116.0, 218.6, 452.8, 878.9, 1795.5", \ + " 75.1, 116.0, 218.6, 452.8, 878.9, 1795.5", \ + " 75.1, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.2, 116.1, 218.7, 452.9, 879.0, 1795.5", \ + " 76.7, 117.3, 219.4, 453.2, 879.1, 1795.6", \ + " 79.9, 120.5, 222.0, 454.6, 879.6, 1795.6", \ + " 83.5, 124.5, 226.1, 457.5, 881.3, 1796.0", \ + " 89.7, 130.6, 231.5, 461.6, 883.8, 1797.4", \ + " 99.6, 140.7, 240.6, 468.6, 888.1, 1799.4", \ + " 114.6, 156.1, 256.1, 481.0, 897.1, 1804.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 127.0, 148.7, 190.0, 264.0, 386.8, 645.1", \ + " 134.0, 155.6, 197.0, 271.0, 393.8, 652.0", \ + " 139.8, 161.4, 202.7, 276.8, 399.6, 657.8", \ + " 148.0, 169.6, 210.9, 284.9, 407.7, 666.0", \ + " 163.1, 184.7, 225.9, 300.0, 422.8, 681.1", \ + " 185.6, 207.1, 248.3, 322.4, 445.2, 703.4", \ + " 218.0, 239.9, 281.5, 355.6, 478.4, 736.7", \ + " 258.8, 282.3, 326.2, 401.1, 523.8, 781.9", \ + " 312.4, 337.5, 384.4, 461.5, 584.6, 842.4", \ + " 385.4, 412.4, 462.7, 542.7, 666.6, 924.5" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.7, 100.2, 168.7, 323.1, 609.1, 1231.8", \ + " 70.7, 100.2, 168.7, 323.1, 609.1, 1231.8", \ + " 70.7, 100.3, 168.7, 323.1, 609.1, 1231.8", \ + " 70.7, 100.3, 168.8, 323.1, 609.1, 1231.8", \ + " 70.9, 100.5, 169.0, 323.2, 609.2, 1231.9", \ + " 72.2, 101.6, 169.7, 323.6, 609.3, 1231.9", \ + " 79.3, 107.6, 173.7, 325.4, 610.0, 1232.0", \ + " 90.2, 119.0, 183.8, 331.6, 612.7, 1232.6", \ + " 102.8, 132.7, 197.7, 342.1, 618.5, 1234.7", \ + " 118.6, 149.5, 215.3, 356.4, 627.4, 1238.5" ); }} +timing() { /* ring osc delay an4v4x1, path c to z 118.3 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 119.1 ; */ +/* intrinsic_fall : 145.8 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.1, 124.9, 171.6, 263.5, 421.7, 756.8", \ + " 107.3, 129.1, 175.8, 267.7, 425.9, 761.0", \ + " 110.2, 132.0, 178.6, 270.6, 428.8, 763.9", \ + " 114.1, 135.8, 182.4, 274.3, 432.6, 767.7", \ + " 120.5, 142.2, 188.7, 280.7, 438.9, 774.0", \ + " 126.9, 149.0, 196.0, 288.0, 446.2, 781.3", \ + " 133.0, 155.3, 202.9, 295.6, 453.7, 788.7", \ + " 137.6, 160.2, 208.2, 301.2, 459.9, 794.8", \ + " 138.3, 161.7, 210.8, 304.2, 463.1, 798.2", \ + " 131.2, 155.8, 206.8, 302.0, 461.2, 797.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.1, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.1, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.1, 116.0, 218.7, 452.8, 879.0, 1795.5", \ + " 75.3, 116.2, 218.8, 452.9, 879.0, 1795.5", \ + " 77.2, 117.7, 219.7, 453.3, 879.1, 1795.6", \ + " 80.3, 121.1, 222.5, 454.9, 879.7, 1795.7", \ + " 84.3, 125.1, 226.6, 457.8, 881.5, 1796.1", \ + " 90.9, 131.2, 231.7, 461.8, 884.0, 1797.6", \ + " 101.4, 141.5, 240.4, 468.3, 888.0, 1799.6", \ + " 116.8, 157.1, 255.3, 479.5, 896.1, 1803.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 114.9, 135.6, 175.7, 248.9, 371.2, 629.2", \ + " 122.2, 142.9, 183.0, 256.2, 378.5, 636.5", \ + " 128.1, 148.8, 188.9, 262.0, 384.4, 642.3", \ + " 136.3, 157.0, 197.1, 270.2, 392.6, 650.6", \ + " 151.5, 172.2, 212.2, 285.4, 407.8, 665.7", \ + " 173.7, 194.3, 234.4, 307.5, 429.9, 687.9", \ + " 204.1, 225.7, 266.4, 339.8, 462.1, 720.1", \ + " 242.2, 265.1, 308.3, 382.7, 505.1, 762.9", \ + " 292.3, 316.8, 362.8, 439.3, 562.1, 819.7", \ + " 360.6, 386.8, 436.2, 515.7, 639.2, 896.9" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.7, 94.9, 163.8, 319.4, 606.6, 1230.3", \ + " 65.7, 95.0, 163.8, 319.4, 606.6, 1230.3", \ + " 65.8, 95.0, 163.8, 319.4, 606.6, 1230.3", \ + " 65.8, 95.1, 163.9, 319.4, 606.6, 1230.3", \ + " 66.1, 95.4, 164.1, 319.6, 606.7, 1230.3", \ + " 68.6, 97.2, 165.3, 320.1, 606.9, 1230.3", \ + " 76.7, 104.6, 170.6, 322.6, 607.8, 1230.5", \ + " 87.0, 115.7, 180.8, 329.3, 610.9, 1231.3", \ + " 99.5, 129.0, 194.2, 339.5, 616.8, 1233.5", \ + " 115.6, 146.0, 211.8, 353.8, 625.7, 1237.3" ); }} +timing() { /* ring osc delay an4v4x1, path d to z 106.9 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 111.9 ; */ +/* intrinsic_fall : 131.8 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.66 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.6, 114.4, 161.1, 253.0, 411.2, 746.3", \ + " 97.6, 119.4, 166.1, 258.0, 416.2, 751.3", \ + " 101.6, 123.4, 170.0, 262.0, 420.2, 755.3", \ + " 107.0, 128.7, 175.3, 267.2, 425.4, 760.5", \ + " 115.2, 136.8, 183.4, 275.2, 433.5, 768.6", \ + " 123.0, 144.9, 192.0, 283.9, 442.1, 777.2", \ + " 130.9, 152.7, 199.9, 292.6, 450.7, 785.7", \ + " 137.5, 159.5, 206.7, 299.6, 458.4, 793.2", \ + " 140.8, 163.5, 211.5, 304.4, 463.4, 798.7", \ + " 137.3, 161.2, 210.9, 305.0, 464.1, 800.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.1, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.0, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.0, 116.0, 218.6, 452.8, 879.0, 1795.5", \ + " 75.0, 116.1, 218.8, 452.9, 879.0, 1795.5", \ + " 76.7, 117.5, 219.7, 453.3, 879.1, 1795.6", \ + " 79.6, 120.6, 222.4, 455.0, 879.7, 1795.7", \ + " 83.6, 124.2, 226.2, 457.9, 881.8, 1796.2", \ + " 90.7, 130.3, 230.7, 461.6, 884.2, 1798.0", \ + " 101.8, 140.6, 238.8, 467.4, 888.0, 1800.1", \ + " 118.0, 156.6, 253.3, 477.6, 895.5, 1804.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.3, 121.9, 160.8, 233.3, 355.4, 613.1", \ + " 109.6, 129.2, 168.1, 240.6, 362.7, 620.4", \ + " 115.6, 135.1, 174.0, 246.5, 368.6, 626.3", \ + " 123.9, 143.4, 182.3, 254.8, 376.9, 634.7", \ + " 139.1, 158.6, 197.4, 269.9, 392.1, 649.8", \ + " 160.6, 180.2, 219.1, 291.6, 413.8, 671.5", \ + " 188.6, 209.3, 249.4, 322.3, 444.3, 702.0", \ + " 223.7, 245.7, 288.0, 362.3, 484.3, 741.9", \ + " 270.2, 293.7, 338.5, 414.7, 537.5, 794.7", \ + " 333.2, 358.5, 406.7, 485.9, 609.4, 867.0" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.3, 89.2, 159.0, 316.3, 604.8, 1229.2", \ + " 60.3, 89.3, 159.0, 316.3, 604.8, 1229.2", \ + " 60.4, 89.3, 159.0, 316.3, 604.8, 1229.2", \ + " 60.5, 89.5, 159.1, 316.4, 604.8, 1229.2", \ + " 61.0, 90.0, 159.5, 316.5, 604.8, 1229.2", \ + " 65.1, 93.2, 161.3, 317.3, 605.1, 1229.3", \ + " 73.6, 101.3, 167.6, 320.6, 606.3, 1229.5", \ + " 83.5, 111.7, 177.7, 327.5, 609.9, 1230.4", \ + " 96.0, 124.7, 190.5, 337.5, 615.8, 1232.8", \ + " 112.8, 142.2, 208.4, 352.0, 625.2, 1236.9" ); }} +} +} +cell(aoi112v0x05) { /* 2008-01-06:07h23 characteristic delay 19.6 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 566 ; /* aoi112v0x05 */ +cell_footprint : aoi112 ; +pin(a) { /* aoi112v0x05 FO4 effort 2.38 logical effort 2.18 */ +direction : input ; +capacitance : 3.75 ; +rise_capacitance : 3.87 ; +fall_capacitance : 3.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of aoi112v0x05 */ +} +pin(b) { /* aoi112v0x05 FO4 effort 2.25 logical effort 2.15 */ +direction : input ; +capacitance : 3.74 ; +rise_capacitance : 3.62 ; +fall_capacitance : 3.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi112v0x05 */ +} +pin(c1) { /* aoi112v0x05 FO4 effort 2.00 logical effort 2.44 */ +direction : input ; +capacitance : 4.03 ; +rise_capacitance : 3.63 ; +fall_capacitance : 4.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c1 of aoi112v0x05 */ +} +pin(c2) { /* aoi112v0x05 FO4 effort 1.96 logical effort 2.42 */ +direction : input ; +capacitance : 3.99 ; +rise_capacitance : 3.70 ; +fall_capacitance : 4.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c2 of aoi112v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 66 ; +max_fanout : 2 ; +function : "((c1*c2)+a+b)'" ; +internal_power(a_z_n) { /* aoi112v0x05 20.55 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 10.50, 10.51, 10.51, 10.52, 10.50", \ + " 10.33, 10.34, 10.36, 10.38, 10.38", \ + " 10.28, 10.29, 10.31, 10.34, 10.34", \ + " 10.24, 10.25, 10.27, 10.30, 10.31", \ + " 10.24, 10.24, 10.25, 10.27, 10.28", \ + " 10.32, 10.31, 10.30, 10.29, 10.29", \ + " 10.60, 10.56, 10.50, 10.42, 10.36", \ + " 11.26, 11.18, 11.02, 10.81, 10.63", \ + " 12.69, 12.53, 12.21, 11.76, 11.34", \ + " 15.39, 15.13, 14.57, 13.73, 12.90" ); }} +internal_power(b_z_n) { /* aoi112v0x05 16.74 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 8.53, 8.54, 8.55, 8.56, 8.55", \ + " 8.40, 8.42, 8.46, 8.49, 8.51", \ + " 8.35, 8.37, 8.41, 8.46, 8.48", \ + " 8.30, 8.32, 8.37, 8.42, 8.46", \ + " 8.30, 8.31, 8.34, 8.39, 8.43", \ + " 8.40, 8.40, 8.39, 8.41, 8.43", \ + " 8.75, 8.71, 8.63, 8.56, 8.52", \ + " 9.54, 9.43, 9.24, 9.00, 8.82", \ + " 11.11, 10.92, 10.53, 10.02, 9.57", \ + " 13.89, 13.60, 12.98, 12.07, 11.17" ); }} +internal_power(c1_z_n) { /* aoi112v0x05 10.43 nW/MHz */ +related_pin : "c1" ; +power(pwr_x05_81_5x10) { +values( " 5.22, 5.28, 5.36, 5.42, 5.45", \ + " 5.04, 5.12, 5.25, 5.38, 5.45", \ + " 5.02, 5.09, 5.22, 5.36, 5.45", \ + " 5.08, 5.12, 5.22, 5.35, 5.44", \ + " 5.30, 5.29, 5.31, 5.39, 5.46", \ + " 5.75, 5.68, 5.60, 5.55, 5.56", \ + " 6.59, 6.45, 6.20, 5.97, 5.82", \ + " 8.03, 7.78, 7.33, 6.81, 6.41", \ + " 10.38, 10.03, 9.33, 8.40, 7.61", \ + " 14.15, 13.70, 12.73, 11.29, 9.90" ); }} +internal_power(c2_z_n) { /* aoi112v0x05 9.10 nW/MHz */ +related_pin : "c2" ; +power(pwr_x05_81_5x10) { +values( " 4.49, 4.58, 4.69, 4.77, 4.81", \ + " 4.33, 4.42, 4.58, 4.73, 4.82", \ + " 4.34, 4.41, 4.55, 4.71, 4.82", \ + " 4.42, 4.45, 4.55, 4.69, 4.81", \ + " 4.65, 4.64, 4.66, 4.73, 4.82", \ + " 5.11, 5.04, 4.94, 4.90, 4.91", \ + " 5.95, 5.79, 5.54, 5.30, 5.17", \ + " 7.35, 7.09, 6.63, 6.12, 5.74", \ + " 9.63, 9.27, 8.56, 7.66, 6.90", \ + " 13.29, 12.81, 11.81, 10.41, 9.09" ); }} +timing() { /* ring osc delay aoi112v0x05, path a to z 120.7 */ +related_pin : "a" ; +when : "(b'*c1'*c2')" ; +sdf_cond : "((b == 1'b0) && (c1 == 1'b0) && (c2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 99.8 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.9, 88.3, 115.5, 175.8, 282.3, 508.3", \ + " 85.2, 95.7, 123.0, 183.5, 290.1, 516.1", \ + " 90.1, 100.6, 127.9, 188.4, 295.1, 521.2", \ + " 96.0, 106.5, 133.9, 194.4, 301.1, 527.2", \ + " 105.0, 115.9, 143.4, 203.9, 310.7, 536.9", \ + " 114.1, 126.2, 155.7, 216.6, 323.3, 549.5", \ + " 123.6, 137.2, 169.9, 234.9, 341.7, 567.8", \ + " 133.9, 149.3, 186.0, 257.4, 368.8, 594.5", \ + " 145.3, 163.4, 205.6, 285.1, 406.5, 635.6", \ + " 159.3, 180.7, 230.0, 320.5, 454.1, 699.0" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 162.4, 190.0, 264.9, 435.5, 741.1, 1392.4", \ + " 162.8, 190.3, 265.0, 435.6, 741.1, 1392.4", \ + " 163.3, 190.7, 265.2, 435.6, 741.1, 1392.4", \ + " 165.1, 192.2, 266.2, 436.0, 741.2, 1392.4", \ + " 171.2, 197.8, 270.8, 439.0, 742.3, 1392.5", \ + " 183.2, 209.0, 280.5, 446.7, 747.8, 1394.1", \ + " 207.7, 231.7, 300.2, 463.2, 760.6, 1402.0", \ + " 247.3, 272.7, 338.8, 495.4, 786.9, 1420.6", \ + " 308.5, 334.3, 404.0, 557.1, 838.3, 1459.5", \ + " 410.7, 435.9, 505.2, 662.9, 934.3, 1536.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 77.6, 98.5, 143.6, 221.5, 384.3", \ + " 76.0, 84.2, 105.1, 150.3, 228.2, 391.1", \ + " 81.3, 89.5, 110.5, 155.7, 233.6, 396.5", \ + " 88.7, 96.9, 117.9, 163.0, 241.0, 403.8", \ + " 102.4, 110.3, 131.1, 176.2, 254.1, 416.9", \ + " 120.6, 129.5, 150.9, 195.5, 273.1, 435.8", \ + " 140.8, 151.5, 177.1, 225.2, 302.3, 464.6", \ + " 162.9, 175.4, 205.6, 263.3, 346.0, 507.4", \ + " 187.7, 202.2, 237.5, 305.7, 405.1, 572.8", \ + " 216.3, 232.9, 273.8, 353.5, 471.5, 669.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 121.0, 139.2, 187.4, 295.4, 486.8, 893.4", \ + " 120.7, 138.8, 187.1, 295.4, 486.8, 893.4", \ + " 123.5, 141.2, 188.4, 295.4, 486.8, 893.4", \ + " 129.9, 147.0, 193.0, 297.7, 487.1, 893.4", \ + " 144.7, 161.1, 205.2, 306.6, 491.3, 893.6", \ + " 169.9, 185.7, 227.8, 325.1, 504.2, 897.8", \ + " 209.5, 226.2, 267.1, 359.7, 531.7, 914.3", \ + " 261.3, 280.7, 327.1, 418.0, 581.8, 950.8", \ + " 331.2, 353.8, 407.7, 509.6, 668.4, 1020.7", \ + " 428.2, 454.6, 517.2, 635.4, 808.4, 1145.6" ); }} +timing() { /* ring osc delay aoi112v0x05, path a to z 128.8 */ +related_pin : "a" ; +when : "(b'*c1'*c2)" ; +sdf_cond : "((b == 1'b0) && (c1 == 1'b0) && (c2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 99.8 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.5, 104.6, 136.2, 206.6, 331.1, 595.2", \ + " 99.8, 111.9, 143.7, 214.3, 338.9, 603.1", \ + " 104.6, 116.7, 148.6, 219.2, 343.9, 608.1", \ + " 110.4, 122.6, 154.4, 225.1, 349.8, 614.1", \ + " 119.5, 131.7, 163.6, 234.2, 359.0, 623.4", \ + " 129.3, 142.6, 175.4, 246.0, 370.8, 635.2", \ + " 139.6, 154.0, 189.7, 262.6, 387.2, 651.6", \ + " 151.0, 166.9, 205.9, 284.4, 411.1, 674.9", \ + " 164.5, 182.6, 226.0, 311.1, 446.1, 710.6", \ + " 181.1, 202.3, 252.1, 346.3, 491.5, 766.9" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 171.9, 204.9, 294.1, 497.3, 860.8, 1635.3", \ + " 172.2, 205.2, 294.3, 497.3, 860.8, 1635.3", \ + " 172.6, 205.5, 294.5, 497.4, 860.8, 1635.3", \ + " 173.9, 206.6, 295.2, 497.7, 860.9, 1635.3", \ + " 179.3, 211.4, 299.0, 499.9, 861.6, 1635.3", \ + " 189.6, 220.9, 307.2, 506.4, 865.8, 1636.2", \ + " 210.7, 240.2, 323.8, 520.1, 876.3, 1642.3", \ + " 247.2, 277.7, 357.0, 547.3, 898.2, 1657.3", \ + " 304.0, 334.7, 417.6, 601.1, 942.3, 1689.8", \ + " 401.6, 431.2, 512.9, 699.7, 1027.1, 1755.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.9, 70.5, 92.3, 138.2, 216.7, 380.1", \ + " 68.4, 77.0, 98.8, 144.8, 223.3, 386.7", \ + " 73.8, 82.4, 104.1, 150.2, 228.7, 392.1", \ + " 81.3, 89.8, 111.5, 157.5, 236.0, 399.4", \ + " 95.8, 103.8, 124.8, 170.6, 249.1, 412.4", \ + " 113.3, 122.7, 145.0, 189.9, 268.1, 431.3", \ + " 132.6, 143.7, 170.2, 219.8, 297.2, 460.0", \ + " 153.5, 166.4, 197.6, 256.8, 340.9, 502.8", \ + " 176.7, 191.7, 228.0, 297.9, 399.0, 568.0", \ + " 203.1, 220.3, 262.4, 344.0, 464.0, 664.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.1, 145.1, 193.0, 300.3, 491.0, 896.8", \ + " 127.0, 144.6, 192.0, 299.8, 490.8, 896.7", \ + " 130.7, 147.7, 193.9, 299.8, 490.8, 896.8", \ + " 137.9, 154.3, 199.1, 302.6, 490.9, 896.8", \ + " 154.1, 169.7, 212.4, 312.2, 495.6, 896.9", \ + " 180.8, 195.8, 236.4, 331.6, 509.2, 901.5", \ + " 222.7, 238.4, 277.5, 367.8, 537.7, 918.6", \ + " 277.8, 296.0, 340.1, 428.2, 589.4, 956.0", \ + " 352.5, 373.6, 424.7, 522.9, 678.4, 1027.4", \ + " 456.3, 481.0, 540.1, 653.6, 822.2, 1155.0" ); }} +timing() { /* ring osc delay aoi112v0x05, path a to z 119.7 */ +related_pin : "a" ; +when : "(b'*c1*c2')" ; +sdf_cond : "((b == 1'b0) && (c1 == 1'b1) && (c2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 99.8 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.8, 96.0, 127.7, 198.1, 322.6, 586.6", \ + " 91.1, 103.3, 135.2, 205.7, 330.3, 594.5", \ + " 95.9, 108.1, 140.0, 210.6, 335.3, 599.5", \ + " 101.7, 114.0, 145.9, 216.5, 341.2, 605.5", \ + " 110.6, 123.1, 155.0, 225.7, 350.4, 614.8", \ + " 119.5, 133.2, 166.7, 237.5, 362.2, 626.6", \ + " 128.8, 143.8, 180.4, 254.1, 378.7, 643.0", \ + " 138.9, 155.6, 195.7, 275.2, 402.5, 666.3", \ + " 150.4, 169.7, 214.7, 301.3, 437.2, 702.0", \ + " 164.5, 187.2, 239.2, 335.6, 481.9, 758.4" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 156.6, 189.4, 278.4, 481.2, 844.5, 1618.7", \ + " 157.1, 189.8, 278.6, 481.3, 844.5, 1618.7", \ + " 157.5, 190.1, 278.8, 481.4, 844.5, 1618.7", \ + " 159.2, 191.4, 279.6, 481.7, 844.6, 1618.7", \ + " 165.0, 196.7, 283.7, 484.1, 845.3, 1618.7", \ + " 176.0, 206.7, 292.2, 490.8, 849.7, 1619.7", \ + " 198.8, 227.2, 309.4, 504.8, 860.4, 1625.9", \ + " 234.7, 264.7, 343.8, 532.5, 882.6, 1641.1", \ + " 292.3, 322.2, 404.3, 587.3, 927.2, 1673.8", \ + " 391.4, 420.1, 500.4, 686.3, 1012.9, 1740.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 66.2, 88.1, 134.3, 213.0, 376.5", \ + " 64.0, 72.7, 94.7, 140.9, 219.6, 383.1", \ + " 69.4, 78.1, 100.0, 146.3, 225.0, 388.5", \ + " 77.1, 85.6, 107.4, 153.6, 232.3, 395.8", \ + " 91.4, 99.7, 120.8, 166.7, 245.4, 408.8", \ + " 107.7, 117.6, 140.8, 186.0, 264.4, 427.7", \ + " 125.6, 137.4, 165.1, 215.8, 293.5, 456.4", \ + " 145.1, 158.8, 191.3, 252.0, 337.2, 499.1", \ + " 166.8, 182.6, 220.5, 292.1, 394.5, 564.4", \ + " 191.3, 209.5, 253.3, 336.9, 458.5, 660.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.1, 132.1, 180.2, 287.8, 478.6, 884.5", \ + " 114.0, 131.6, 179.3, 287.3, 478.4, 884.4", \ + " 117.7, 134.7, 181.2, 287.3, 478.4, 884.4", \ + " 124.9, 141.4, 186.4, 290.1, 478.5, 884.5", \ + " 140.9, 156.7, 199.7, 299.7, 483.3, 884.6", \ + " 167.2, 182.5, 223.7, 319.2, 496.8, 889.2", \ + " 207.2, 224.0, 264.5, 355.3, 525.3, 906.3", \ + " 259.4, 279.1, 325.6, 415.5, 577.0, 943.7", \ + " 330.7, 353.6, 407.5, 509.1, 666.0, 1015.1", \ + " 430.7, 457.2, 519.7, 637.2, 809.1, 1142.7" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 99.8 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.5, 104.6, 136.2, 206.6, 331.1, 595.2", \ + " 99.8, 111.9, 143.7, 214.3, 338.9, 603.1", \ + " 104.6, 116.7, 148.6, 219.2, 343.9, 608.1", \ + " 110.4, 122.6, 154.4, 225.1, 349.8, 614.1", \ + " 119.5, 131.7, 163.6, 234.2, 359.0, 623.4", \ + " 129.3, 142.6, 175.4, 246.0, 370.8, 635.2", \ + " 139.6, 154.0, 189.7, 262.6, 387.2, 651.6", \ + " 151.0, 166.9, 205.9, 284.4, 411.1, 674.9", \ + " 164.5, 182.6, 226.0, 311.1, 446.1, 710.6", \ + " 181.1, 202.3, 252.1, 346.3, 491.5, 766.9" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 171.9, 204.9, 294.1, 497.3, 860.8, 1635.3", \ + " 172.2, 205.2, 294.3, 497.3, 860.8, 1635.3", \ + " 172.6, 205.5, 294.5, 497.4, 860.8, 1635.3", \ + " 173.9, 206.6, 295.2, 497.7, 860.9, 1635.3", \ + " 179.3, 211.4, 299.0, 499.9, 861.6, 1635.3", \ + " 189.6, 220.9, 307.2, 506.4, 865.8, 1636.2", \ + " 210.7, 240.2, 323.8, 520.1, 876.3, 1642.3", \ + " 247.2, 277.7, 357.0, 547.3, 898.2, 1657.3", \ + " 304.0, 334.7, 417.6, 601.1, 942.3, 1689.8", \ + " 401.6, 431.2, 512.9, 699.7, 1027.1, 1755.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.9, 70.5, 92.3, 138.2, 216.7, 380.1", \ + " 68.4, 77.0, 98.8, 144.8, 223.3, 386.7", \ + " 73.8, 82.4, 104.1, 150.2, 228.7, 392.1", \ + " 81.3, 89.8, 111.5, 157.5, 236.0, 399.4", \ + " 95.8, 103.8, 124.8, 170.6, 249.1, 412.4", \ + " 113.3, 122.7, 145.0, 189.9, 268.1, 431.3", \ + " 132.6, 143.7, 170.2, 219.8, 297.2, 460.0", \ + " 153.5, 166.4, 197.6, 256.8, 340.9, 502.8", \ + " 176.7, 191.7, 228.0, 297.9, 399.0, 568.0", \ + " 203.1, 220.3, 262.4, 344.0, 464.0, 664.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.1, 145.1, 193.0, 300.3, 491.0, 896.8", \ + " 127.0, 144.6, 192.0, 299.8, 490.8, 896.7", \ + " 130.7, 147.7, 193.9, 299.8, 490.8, 896.8", \ + " 137.9, 154.3, 199.1, 302.6, 490.9, 896.8", \ + " 154.1, 169.7, 212.4, 312.2, 495.6, 896.9", \ + " 180.8, 195.8, 236.4, 331.6, 509.2, 901.5", \ + " 222.7, 238.4, 277.5, 367.8, 537.7, 918.6", \ + " 277.8, 296.0, 340.1, 428.2, 589.4, 956.0", \ + " 352.5, 373.6, 424.7, 522.9, 678.4, 1027.4", \ + " 456.3, 481.0, 540.1, 653.6, 822.2, 1155.0" ); }} +timing() { /* ring osc delay aoi112v0x05, path b to z 110.0 */ +related_pin : "b" ; +when : "(a'*c1'*c2')" ; +sdf_cond : "((a == 1'b0) && (c1 == 1'b0) && (c2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.1 ; */ +/* intrinsic_fall : 75.0 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 80.4, 107.5, 167.8, 274.4, 500.3", \ + " 76.0, 86.5, 113.8, 174.2, 280.9, 506.9", \ + " 80.9, 91.4, 118.8, 179.2, 285.9, 512.0", \ + " 87.4, 97.9, 125.3, 185.8, 292.5, 518.6", \ + " 97.4, 108.8, 136.5, 197.0, 303.8, 529.9", \ + " 107.5, 120.7, 152.0, 213.1, 319.8, 546.0", \ + " 119.0, 134.3, 170.2, 237.5, 344.3, 570.3", \ + " 133.0, 150.6, 191.8, 268.5, 381.0, 606.6", \ + " 151.5, 171.9, 219.2, 306.6, 433.5, 662.3", \ + " 177.5, 201.0, 255.3, 355.0, 499.2, 748.7" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 156.1, 183.1, 256.0, 422.2, 719.8, 1353.9", \ + " 156.5, 183.3, 256.1, 422.2, 719.8, 1353.9", \ + " 157.2, 183.9, 256.3, 422.3, 719.8, 1353.9", \ + " 161.0, 187.1, 258.3, 422.9, 719.9, 1353.9", \ + " 171.0, 196.2, 266.0, 427.9, 721.5, 1353.9", \ + " 189.6, 213.8, 281.4, 440.0, 729.4, 1355.4", \ + " 224.2, 246.4, 310.7, 464.6, 748.0, 1365.3", \ + " 271.5, 298.1, 362.3, 508.9, 784.2, 1389.8", \ + " 340.4, 367.6, 439.1, 586.0, 850.2, 1440.0", \ + " 446.5, 474.0, 546.9, 705.5, 963.9, 1533.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.5, 69.2, 89.3, 133.2, 210.0, 371.8", \ + " 68.4, 76.2, 96.5, 140.6, 217.5, 379.4", \ + " 73.7, 81.5, 101.8, 146.0, 223.0, 384.9", \ + " 81.0, 88.8, 109.1, 153.3, 230.4, 392.4", \ + " 94.2, 102.0, 122.2, 166.3, 243.4, 405.4", \ + " 109.3, 118.8, 141.3, 185.5, 262.3, 424.3", \ + " 125.7, 137.1, 164.2, 214.6, 291.3, 452.9", \ + " 143.3, 156.6, 188.7, 249.2, 334.7, 495.5", \ + " 162.5, 178.0, 215.6, 287.3, 390.4, 560.6", \ + " 183.1, 201.3, 245.3, 329.5, 452.2, 655.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 97.4, 115.6, 163.7, 271.6, 463.1, 869.8", \ + " 97.7, 115.7, 163.8, 271.7, 463.1, 869.8", \ + " 100.6, 118.1, 165.0, 271.8, 463.2, 869.8", \ + " 107.1, 124.1, 169.7, 274.1, 463.3, 869.8", \ + " 122.4, 138.5, 182.1, 282.9, 467.5, 869.9", \ + " 148.2, 163.7, 205.1, 301.6, 480.4, 873.9", \ + " 185.2, 202.9, 244.9, 336.6, 508.0, 890.4", \ + " 233.4, 254.1, 302.8, 395.3, 558.4, 927.0", \ + " 299.2, 323.2, 379.7, 485.5, 645.5, 997.2", \ + " 390.8, 418.9, 484.7, 607.4, 785.0, 1122.6" ); }} +timing() { /* ring osc delay aoi112v0x05, path b to z 118.6 */ +related_pin : "b" ; +when : "(a'*c1'*c2)" ; +sdf_cond : "((a == 1'b0) && (c1 == 1'b0) && (c2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.1 ; */ +/* intrinsic_fall : 75.0 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.6, 96.7, 128.3, 198.7, 323.2, 587.3", \ + " 90.7, 102.8, 134.6, 205.2, 329.8, 593.9", \ + " 95.5, 107.7, 139.5, 210.1, 334.8, 599.0", \ + " 101.7, 113.9, 145.7, 216.3, 341.1, 605.3", \ + " 111.7, 124.1, 156.0, 226.6, 351.4, 615.8", \ + " 122.2, 136.3, 170.2, 240.9, 365.7, 630.0", \ + " 134.5, 150.2, 188.2, 262.6, 387.2, 651.4", \ + " 149.8, 167.5, 209.9, 292.1, 419.5, 683.2", \ + " 170.4, 190.6, 238.3, 329.5, 467.9, 732.3", \ + " 199.0, 222.2, 276.6, 378.8, 531.4, 808.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 165.8, 198.0, 284.9, 482.8, 836.8, 1590.7", \ + " 166.1, 198.2, 285.0, 482.8, 836.8, 1590.7", \ + " 166.7, 198.6, 285.3, 482.9, 836.8, 1590.7", \ + " 169.7, 201.1, 286.6, 483.4, 836.9, 1590.8", \ + " 178.0, 208.8, 293.1, 487.3, 837.9, 1590.8", \ + " 193.6, 223.3, 305.8, 497.1, 844.2, 1591.6", \ + " 224.2, 251.6, 330.4, 517.4, 859.1, 1599.0", \ + " 268.6, 300.1, 375.7, 555.1, 889.1, 1618.3", \ + " 333.0, 364.9, 448.9, 623.3, 945.6, 1659.5", \ + " 434.7, 466.6, 551.4, 736.6, 1046.3, 1739.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.0, 64.1, 84.8, 129.2, 206.2, 368.2", \ + " 62.8, 71.0, 91.8, 136.3, 213.4, 375.5", \ + " 68.1, 76.2, 97.1, 141.7, 218.9, 381.0", \ + " 75.4, 83.5, 104.4, 149.0, 226.2, 388.4", \ + " 88.8, 97.1, 117.5, 162.1, 239.3, 401.4", \ + " 103.3, 113.3, 136.6, 181.2, 258.2, 420.3", \ + " 119.1, 130.8, 158.7, 210.2, 287.2, 448.9", \ + " 135.7, 149.4, 182.3, 244.0, 330.6, 491.5", \ + " 153.5, 169.5, 208.0, 281.0, 385.3, 556.6", \ + " 172.3, 190.9, 236.0, 321.7, 445.9, 650.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.8, 120.6, 168.1, 275.4, 466.4, 872.8", \ + " 103.2, 120.7, 168.0, 275.4, 466.4, 872.8", \ + " 106.7, 123.7, 169.8, 275.6, 466.5, 872.8", \ + " 114.0, 130.4, 175.0, 278.3, 466.7, 872.8", \ + " 130.6, 146.0, 188.4, 287.9, 471.4, 873.0", \ + " 158.2, 172.8, 212.7, 307.5, 485.0, 877.5", \ + " 197.7, 214.3, 254.3, 343.9, 513.5, 894.6", \ + " 249.4, 268.7, 314.9, 404.5, 565.4, 932.0", \ + " 319.8, 342.2, 395.8, 497.8, 654.6, 1003.5", \ + " 418.0, 444.2, 506.4, 624.3, 797.6, 1131.3" ); }} +timing() { /* ring osc delay aoi112v0x05, path b to z 109.7 */ +related_pin : "b" ; +when : "(a'*c1*c2')" ; +sdf_cond : "((a == 1'b0) && (c1 == 1'b1) && (c2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.1 ; */ +/* intrinsic_fall : 75.0 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.9, 88.1, 119.8, 190.2, 314.7, 578.7", \ + " 82.0, 94.2, 126.1, 196.6, 321.2, 585.3", \ + " 86.8, 99.1, 131.0, 201.5, 326.2, 590.4", \ + " 93.0, 105.3, 137.2, 207.8, 332.5, 596.7", \ + " 102.4, 115.3, 147.5, 218.1, 342.8, 607.2", \ + " 111.6, 126.3, 161.5, 232.4, 357.1, 621.4", \ + " 122.5, 139.0, 178.3, 254.0, 378.6, 642.8", \ + " 136.1, 154.9, 198.9, 282.7, 410.9, 674.6", \ + " 154.6, 176.1, 226.0, 319.1, 459.0, 723.7", \ + " 180.8, 205.6, 262.6, 367.2, 521.6, 800.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 150.6, 182.6, 269.2, 466.8, 820.5, 1574.2", \ + " 151.0, 182.9, 269.4, 466.8, 820.5, 1574.2", \ + " 151.8, 183.4, 269.7, 466.9, 820.5, 1574.2", \ + " 155.3, 186.3, 271.3, 467.5, 820.6, 1574.2", \ + " 164.2, 194.5, 278.1, 471.7, 821.8, 1574.2", \ + " 180.9, 209.8, 291.2, 481.8, 828.2, 1575.1", \ + " 213.5, 239.5, 316.7, 502.5, 843.5, 1582.8", \ + " 255.3, 286.6, 363.5, 541.0, 874.0, 1602.3", \ + " 320.1, 351.7, 435.5, 610.3, 931.1, 1643.9", \ + " 422.8, 454.2, 538.3, 723.4, 1032.8, 1724.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.6, 60.6, 81.2, 125.5, 202.6, 364.6", \ + " 59.4, 67.5, 88.2, 132.7, 209.8, 371.9", \ + " 64.7, 72.8, 93.5, 138.1, 215.3, 377.4", \ + " 72.1, 80.1, 100.8, 145.4, 222.6, 384.8", \ + " 84.7, 93.4, 113.9, 158.4, 235.7, 397.9", \ + " 98.0, 108.4, 132.5, 177.6, 254.6, 416.7", \ + " 112.2, 124.6, 153.6, 206.3, 283.6, 445.3", \ + " 127.3, 141.8, 176.0, 239.2, 326.9, 487.9", \ + " 143.3, 160.2, 200.3, 275.1, 380.8, 553.0", \ + " 159.7, 179.6, 226.5, 314.4, 440.3, 646.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.9, 107.8, 155.6, 262.9, 454.1, 860.5", \ + " 90.3, 108.0, 155.4, 262.9, 454.1, 860.5", \ + " 93.8, 111.0, 157.2, 263.2, 454.1, 860.5", \ + " 101.1, 117.6, 162.4, 265.9, 454.4, 860.5", \ + " 117.7, 133.2, 175.8, 275.5, 459.1, 860.7", \ + " 144.6, 159.8, 200.2, 295.1, 472.6, 865.2", \ + " 181.3, 199.3, 241.4, 331.5, 501.2, 882.3", \ + " 230.0, 250.9, 299.9, 391.9, 553.1, 919.8", \ + " 296.9, 321.2, 377.9, 483.6, 642.2, 991.2", \ + " 390.7, 419.3, 485.3, 607.5, 784.3, 1119.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 91.1 ; */ +/* intrinsic_fall : 75.0 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.6, 96.7, 128.3, 198.7, 323.2, 587.3", \ + " 90.7, 102.8, 134.6, 205.2, 329.8, 593.9", \ + " 95.5, 107.7, 139.5, 210.1, 334.8, 599.0", \ + " 101.7, 113.9, 145.7, 216.3, 341.1, 605.3", \ + " 111.7, 124.1, 156.0, 226.6, 351.4, 615.8", \ + " 122.2, 136.3, 170.2, 240.9, 365.7, 630.0", \ + " 134.5, 150.2, 188.2, 262.6, 387.2, 651.4", \ + " 149.8, 167.5, 209.9, 292.1, 419.5, 683.2", \ + " 170.4, 190.6, 238.3, 329.5, 467.9, 732.3", \ + " 199.0, 222.2, 276.6, 378.8, 531.4, 808.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 165.8, 198.0, 284.9, 482.8, 836.8, 1590.7", \ + " 166.1, 198.2, 285.0, 482.8, 836.8, 1590.7", \ + " 166.7, 198.6, 285.3, 482.9, 836.8, 1590.7", \ + " 169.7, 201.1, 286.6, 483.4, 836.9, 1590.8", \ + " 178.0, 208.8, 293.1, 487.3, 837.9, 1590.8", \ + " 193.6, 223.3, 305.8, 497.1, 844.2, 1591.6", \ + " 224.2, 251.6, 330.4, 517.4, 859.1, 1599.0", \ + " 268.6, 300.1, 375.7, 555.1, 889.1, 1618.3", \ + " 333.0, 364.9, 448.9, 623.3, 945.6, 1659.5", \ + " 434.7, 466.6, 551.4, 736.6, 1046.3, 1739.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.0, 64.1, 84.8, 129.2, 206.2, 368.2", \ + " 62.8, 71.0, 91.8, 136.3, 213.4, 375.5", \ + " 68.1, 76.2, 97.1, 141.7, 218.9, 381.0", \ + " 75.4, 83.5, 104.4, 149.0, 226.2, 388.4", \ + " 88.8, 97.1, 117.5, 162.1, 239.3, 401.4", \ + " 103.3, 113.3, 136.6, 181.2, 258.2, 420.3", \ + " 119.1, 130.8, 158.7, 210.2, 287.2, 448.9", \ + " 135.7, 149.4, 182.3, 244.0, 330.6, 491.5", \ + " 153.5, 169.5, 208.0, 281.0, 385.3, 556.6", \ + " 172.3, 190.9, 236.0, 321.7, 445.9, 650.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.8, 120.6, 168.1, 275.4, 466.4, 872.8", \ + " 103.2, 120.7, 168.0, 275.4, 466.4, 872.8", \ + " 106.7, 123.7, 169.8, 275.6, 466.5, 872.8", \ + " 114.0, 130.4, 175.0, 278.3, 466.7, 872.8", \ + " 130.6, 146.0, 188.4, 287.9, 471.4, 873.0", \ + " 158.2, 172.8, 212.7, 307.5, 485.0, 877.5", \ + " 197.7, 214.3, 254.3, 343.9, 513.5, 894.6", \ + " 249.4, 268.7, 314.9, 404.5, 565.4, 932.0", \ + " 319.8, 342.2, 395.8, 497.8, 654.6, 1003.5", \ + " 418.0, 444.2, 506.4, 624.3, 797.6, 1131.3" ); }} +timing() { /* ring osc delay aoi112v0x05, path c1 to z 79.4 */ +related_pin : "c1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.6 ; */ +/* intrinsic_fall : 50.2 ; */ +/* rise_resistance : 6.24 ; */ +/* fall_resistance : 4.16 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 60.7, 92.5, 163.1, 287.7, 551.9", \ + " 53.6, 65.5, 97.4, 168.3, 293.1, 557.4", \ + " 58.7, 70.2, 101.7, 172.5, 297.4, 561.7", \ + " 66.6, 77.3, 108.1, 178.5, 303.2, 567.6", \ + " 78.1, 90.5, 120.4, 189.8, 314.0, 578.0", \ + " 92.2, 106.0, 139.2, 207.0, 330.1, 593.4", \ + " 110.8, 126.1, 163.2, 234.6, 355.7, 617.3", \ + " 135.0, 152.1, 193.3, 273.3, 395.3, 654.1", \ + " 167.6, 187.0, 232.9, 322.0, 456.5, 712.0", \ + " 212.0, 234.3, 286.5, 385.8, 536.3, 804.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 150.6, 183.6, 271.3, 468.0, 816.0, 1553.2", \ + " 151.7, 183.5, 269.7, 466.7, 815.6, 1553.2", \ + " 156.6, 187.6, 271.9, 465.8, 815.1, 1553.2", \ + " 164.9, 194.9, 277.2, 467.8, 813.8, 1553.1", \ + " 181.6, 210.4, 289.6, 475.5, 815.7, 1552.7", \ + " 207.6, 235.1, 311.4, 491.4, 824.9, 1552.3", \ + " 249.2, 275.0, 347.8, 521.4, 845.9, 1561.2", \ + " 287.8, 323.5, 404.7, 572.3, 886.0, 1586.0", \ + " 350.6, 387.3, 479.0, 654.1, 958.0, 1637.6", \ + " 447.1, 485.5, 581.2, 776.6, 1078.4, 1735.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 48.6, 69.7, 115.9, 197.6, 370.6", \ + " 44.7, 53.0, 74.4, 121.3, 203.3, 376.6", \ + " 47.8, 56.1, 77.6, 124.6, 206.7, 380.2", \ + " 50.7, 60.0, 81.8, 128.9, 211.2, 384.8", \ + " 54.5, 64.7, 88.9, 136.5, 218.9, 392.6", \ + " 58.2, 69.7, 96.3, 147.7, 230.1, 403.8", \ + " 60.9, 74.3, 104.7, 161.2, 247.2, 420.8", \ + " 61.3, 77.1, 112.7, 176.5, 270.5, 446.1", \ + " 57.5, 76.3, 118.5, 192.8, 297.6, 484.9", \ + " 46.6, 69.0, 119.3, 207.3, 328.1, 534.7" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 64.5, 85.6, 142.0, 268.9, 494.6, 974.2", \ + " 66.0, 86.5, 142.1, 268.9, 494.6, 974.2", \ + " 69.8, 89.9, 144.5, 269.6, 494.6, 974.2", \ + " 76.2, 95.4, 148.9, 272.5, 495.5, 974.2", \ + " 91.7, 108.7, 159.2, 279.7, 500.0, 975.0", \ + " 110.3, 130.2, 178.7, 294.2, 509.9, 980.0", \ + " 139.5, 159.9, 212.3, 322.2, 530.7, 992.7", \ + " 182.8, 204.3, 258.3, 372.1, 570.0, 1019.8", \ + " 246.6, 270.0, 327.0, 445.2, 640.8, 1073.1", \ + " 339.9, 366.0, 428.7, 552.7, 756.7, 1172.0" ); }} +timing() { /* ring osc delay aoi112v0x05, path c2 to z 78.9 */ +related_pin : "c2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.0 ; */ +/* intrinsic_fall : 52.6 ; */ +/* rise_resistance : 6.26 ; */ +/* fall_resistance : 4.14 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.1, 54.4, 86.6, 157.5, 282.2, 546.5", \ + " 47.1, 59.0, 91.1, 162.4, 287.6, 552.1", \ + " 52.4, 63.7, 95.3, 166.5, 291.7, 556.3", \ + " 59.8, 71.0, 101.5, 172.3, 297.4, 562.0", \ + " 69.7, 82.9, 113.7, 183.3, 307.9, 572.3", \ + " 82.0, 96.8, 131.7, 200.2, 323.8, 587.5", \ + " 98.1, 114.7, 153.9, 227.7, 349.0, 611.2", \ + " 118.7, 137.6, 181.4, 264.4, 388.2, 647.6", \ + " 145.8, 167.5, 217.3, 310.4, 448.1, 704.9", \ + " 181.6, 207.0, 264.5, 369.7, 524.7, 796.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 134.9, 168.0, 255.7, 452.1, 799.7, 1536.7", \ + " 136.0, 167.8, 253.8, 450.9, 799.4, 1536.6", \ + " 141.0, 171.9, 256.0, 449.8, 799.0, 1536.6", \ + " 149.3, 179.3, 261.3, 451.7, 797.8, 1536.6", \ + " 166.2, 194.8, 273.9, 459.4, 799.4, 1536.2", \ + " 192.9, 219.7, 295.8, 475.4, 808.5, 1535.7", \ + " 229.5, 261.1, 332.2, 505.6, 829.6, 1544.5", \ + " 266.8, 303.6, 390.0, 556.6, 870.0, 1569.4", \ + " 329.1, 366.1, 459.8, 638.5, 942.3, 1621.3", \ + " 425.1, 463.6, 560.0, 758.6, 1062.7, 1719.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.2, 45.3, 66.4, 112.7, 194.4, 367.5", \ + " 43.0, 51.3, 72.6, 119.4, 201.4, 374.8", \ + " 48.0, 56.1, 77.4, 124.2, 206.4, 379.8", \ + " 53.0, 62.5, 83.9, 130.7, 212.9, 386.4", \ + " 58.8, 70.1, 95.3, 142.2, 224.3, 397.9", \ + " 64.1, 77.3, 107.1, 159.1, 240.9, 414.3", \ + " 68.9, 84.3, 119.2, 180.9, 266.3, 439.2", \ + " 72.3, 90.3, 131.0, 203.4, 302.8, 476.5", \ + " 73.1, 94.3, 142.0, 226.6, 343.8, 533.7", \ + " 69.0, 94.1, 150.5, 249.7, 387.0, 611.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.9, 83.6, 138.7, 262.7, 483.3, 952.0", \ + " 65.1, 84.8, 138.7, 262.7, 483.3, 952.0", \ + " 70.5, 89.4, 141.7, 263.0, 483.3, 952.0", \ + " 79.3, 97.6, 148.1, 266.6, 483.5, 952.0", \ + " 97.9, 114.4, 162.7, 277.1, 488.8, 952.0", \ + " 117.6, 139.2, 187.1, 297.3, 502.9, 956.9", \ + " 147.3, 170.3, 226.1, 333.3, 531.7, 974.2", \ + " 190.6, 215.5, 275.3, 391.0, 582.8, 1011.4", \ + " 253.6, 281.4, 346.3, 473.1, 667.6, 1081.8", \ + " 344.8, 376.8, 449.8, 587.1, 799.5, 1205.3" ); }} +} +} +cell(aoi21v0x05) { /* 2008-01-06:07h23 characteristic delay 15.7 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 335 ; /* aoi21v0x05 */ +cell_footprint : aoi21 ; +pin(a1) { /* aoi21v0x05 FO4 effort 1.90 logical effort 1.97 */ +direction : input ; +capacitance : 2.68 ; +rise_capacitance : 2.68 ; +fall_capacitance : 2.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21v0x05 */ +} +pin(a2) { /* aoi21v0x05 FO4 effort 1.87 logical effort 2.00 */ +direction : input ; +capacitance : 2.71 ; +rise_capacitance : 2.78 ; +fall_capacitance : 2.63 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21v0x05 */ +} +pin(b) { /* aoi21v0x05 FO4 effort 1.38 logical effort 1.54 */ +direction : input ; +capacitance : 2.52 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1*a2)+b)'" ; +internal_power(a1_z_n) { /* aoi21v0x05 9.96 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 5.13, 5.14, 5.14, 5.14, 5.13", \ + " 5.00, 5.02, 5.05, 5.06, 5.06", \ + " 4.96, 4.98, 5.01, 5.03, 5.03", \ + " 4.93, 4.95, 4.98, 5.01, 5.01", \ + " 4.95, 4.96, 4.97, 4.99, 5.00", \ + " 5.09, 5.07, 5.04, 5.03, 5.02", \ + " 5.48, 5.40, 5.28, 5.18, 5.11", \ + " 6.28, 6.12, 5.85, 5.57, 5.36", \ + " 7.79, 7.52, 7.03, 6.44, 5.98", \ + " 10.33, 9.96, 9.21, 8.19, 7.29" ); }} +internal_power(a2_z_n) { /* aoi21v0x05 8.75 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.51, 4.54, 4.57, 4.58, 4.57", \ + " 4.36, 4.40, 4.46, 4.50, 4.51", \ + " 4.31, 4.35, 4.41, 4.46, 4.48", \ + " 4.30, 4.32, 4.38, 4.43, 4.46", \ + " 4.34, 4.35, 4.37, 4.41, 4.44", \ + " 4.52, 4.49, 4.45, 4.45, 4.45", \ + " 4.94, 4.85, 4.71, 4.60, 4.54", \ + " 5.76, 5.58, 5.29, 5.00, 4.79", \ + " 7.23, 6.95, 6.45, 5.86, 5.41", \ + " 9.69, 9.31, 8.54, 7.55, 6.67" ); }} +internal_power(b_z_n) { /* aoi21v0x05 5.12 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.49, 2.56, 2.64, 2.68, 2.69", \ + " 2.40, 2.46, 2.56, 2.64, 2.68", \ + " 2.43, 2.47, 2.54, 2.62, 2.67", \ + " 2.52, 2.52, 2.56, 2.62, 2.67", \ + " 2.75, 2.70, 2.66, 2.66, 2.68", \ + " 3.16, 3.04, 2.89, 2.80, 2.76", \ + " 3.89, 3.67, 3.37, 3.11, 2.95", \ + " 5.05, 4.74, 4.24, 3.73, 3.38", \ + " 6.90, 6.48, 5.74, 4.89, 4.23", \ + " 9.82, 9.29, 8.26, 6.96, 5.83" ); }} +timing() { /* ring osc delay aoi21v0x05, path a1 to z 91.4 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 55.7 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 72.0, 108.7, 190.4, 335.4, 643.4", \ + " 65.6, 79.7, 116.6, 198.5, 343.6, 651.6", \ + " 71.1, 85.2, 122.1, 204.1, 349.2, 657.2", \ + " 77.9, 92.1, 128.9, 211.0, 356.1, 664.2", \ + " 87.6, 103.2, 140.3, 222.2, 367.4, 675.5", \ + " 98.1, 115.5, 155.9, 237.9, 383.0, 691.1", \ + " 111.3, 130.8, 175.9, 261.6, 406.4, 714.3", \ + " 129.1, 151.0, 201.1, 295.3, 441.5, 748.6", \ + " 154.7, 179.4, 235.2, 339.0, 495.2, 801.2", \ + " 191.4, 219.8, 282.8, 397.6, 568.9, 883.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 100.9, 139.2, 242.5, 476.7, 894.1, 1781.6", \ + " 101.4, 139.5, 242.6, 476.7, 894.1, 1781.6", \ + " 103.4, 140.8, 243.1, 476.8, 894.1, 1781.6", \ + " 108.0, 144.7, 245.6, 477.3, 894.2, 1781.6", \ + " 118.2, 153.8, 252.8, 481.6, 894.9, 1781.6", \ + " 137.5, 170.6, 266.5, 491.6, 900.5, 1781.8", \ + " 167.8, 202.5, 292.8, 512.0, 914.5, 1787.3", \ + " 212.1, 248.1, 340.2, 549.6, 942.9, 1804.0", \ + " 280.8, 317.5, 413.2, 617.0, 996.7, 1840.9", \ + " 382.9, 421.0, 519.5, 730.2, 1093.4, 1914.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 56.6, 84.8, 145.4, 251.2, 474.4", \ + " 49.5, 60.8, 89.2, 149.9, 255.8, 478.9", \ + " 52.6, 63.9, 92.3, 153.1, 259.0, 482.2", \ + " 56.4, 68.1, 96.5, 157.4, 263.3, 486.6", \ + " 61.6, 74.3, 104.1, 165.1, 271.0, 494.3", \ + " 67.1, 81.2, 113.6, 176.3, 282.3, 505.5", \ + " 72.4, 88.4, 124.6, 192.6, 299.4, 522.5", \ + " 76.3, 94.8, 136.2, 211.6, 324.9, 547.8", \ + " 77.5, 99.1, 147.3, 233.0, 357.6, 586.4", \ + " 73.8, 98.9, 155.4, 255.1, 395.5, 643.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 83.6, 110.2, 182.0, 344.6, 634.5, 1251.2", \ + " 85.5, 111.6, 182.7, 344.8, 634.6, 1251.2", \ + " 89.0, 114.6, 184.8, 345.6, 634.7, 1251.2", \ + " 95.3, 120.1, 188.9, 348.1, 635.4, 1251.3", \ + " 109.8, 133.1, 199.2, 354.9, 639.1, 1251.6", \ + " 130.8, 155.4, 218.4, 368.9, 648.0, 1255.2", \ + " 161.3, 187.3, 252.2, 395.9, 667.3, 1265.7", \ + " 205.1, 232.8, 301.2, 443.2, 704.1, 1289.4", \ + " 268.5, 298.6, 371.5, 519.9, 771.0, 1337.7", \ + " 359.6, 393.6, 473.2, 630.6, 885.5, 1428.9" ); }} +timing() { /* ring osc delay aoi21v0x05, path a2 to z 88.3 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 57.0 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.3, 65.6, 102.6, 184.5, 329.6, 637.5", \ + " 58.2, 72.8, 110.1, 192.4, 337.7, 645.8", \ + " 63.5, 78.0, 115.3, 197.8, 343.2, 651.4", \ + " 70.0, 84.6, 121.9, 204.4, 350.0, 658.3", \ + " 78.3, 95.0, 133.0, 215.4, 361.1, 669.5", \ + " 87.3, 106.1, 148.2, 230.9, 376.4, 684.9", \ + " 98.7, 119.9, 167.0, 254.3, 399.6, 707.8", \ + " 113.4, 137.7, 190.6, 287.1, 434.3, 741.9", \ + " 133.6, 161.7, 221.9, 329.0, 487.5, 794.2", \ + " 162.1, 194.8, 264.1, 384.5, 559.2, 875.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.8, 124.0, 227.3, 461.3, 878.6, 1765.8", \ + " 86.6, 124.5, 227.4, 461.4, 878.6, 1765.8", \ + " 89.0, 125.9, 227.9, 461.5, 878.6, 1765.8", \ + " 94.2, 130.3, 230.4, 462.0, 878.7, 1765.8", \ + " 105.5, 140.0, 238.0, 466.2, 879.3, 1765.8", \ + " 126.4, 157.7, 252.1, 476.4, 884.8, 1766.0", \ + " 154.8, 189.8, 279.0, 497.0, 898.9, 1771.4", \ + " 197.9, 233.9, 327.3, 534.9, 927.5, 1788.1", \ + " 265.7, 301.9, 398.2, 602.5, 981.5, 1825.1", \ + " 367.0, 404.2, 502.3, 715.0, 1078.3, 1898.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 52.7, 80.9, 141.6, 247.3, 470.5", \ + " 46.9, 58.3, 86.6, 147.4, 253.2, 476.4", \ + " 51.8, 62.9, 91.2, 152.0, 257.9, 481.1", \ + " 58.2, 69.6, 97.7, 158.4, 264.3, 487.4", \ + " 66.5, 79.9, 109.4, 169.9, 275.6, 498.8", \ + " 74.6, 90.3, 124.8, 186.8, 292.2, 515.1", \ + " 82.7, 100.9, 141.4, 212.2, 317.6, 540.0", \ + " 90.3, 111.3, 158.2, 241.5, 355.6, 577.2", \ + " 96.7, 120.9, 175.3, 272.3, 406.9, 633.9", \ + " 100.5, 128.6, 191.6, 304.3, 462.4, 720.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.8, 107.9, 178.2, 337.1, 620.5, 1223.3", \ + " 84.4, 109.5, 178.5, 337.1, 620.5, 1223.3", \ + " 89.9, 114.2, 181.4, 337.5, 620.5, 1223.3", \ + " 99.1, 122.6, 187.7, 340.6, 620.7, 1223.3", \ + " 117.5, 140.0, 202.4, 350.5, 624.6, 1223.3", \ + " 141.7, 167.4, 227.4, 369.9, 637.0, 1225.6", \ + " 173.5, 202.0, 267.8, 405.5, 663.5, 1239.1", \ + " 217.7, 249.4, 322.8, 463.2, 712.2, 1271.5", \ + " 280.4, 316.1, 397.4, 551.4, 795.6, 1335.8", \ + " 370.2, 411.4, 502.7, 672.6, 929.0, 1452.9" ); }} +timing() { /* ring osc delay aoi21v0x05, path b to z 49.9 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.1 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.6, 36.2, 63.7, 124.6, 232.2, 460.6", \ + " 32.9, 43.1, 70.4, 131.4, 239.2, 467.7", \ + " 38.0, 49.0, 76.0, 136.8, 244.6, 473.1", \ + " 43.4, 56.3, 83.8, 144.3, 251.9, 480.5", \ + " 51.4, 66.5, 98.2, 157.9, 265.2, 493.5", \ + " 61.2, 78.4, 115.3, 178.2, 284.6, 512.3", \ + " 74.0, 93.8, 136.2, 208.9, 314.7, 541.3", \ + " 90.4, 113.3, 161.9, 246.1, 360.2, 584.8", \ + " 111.9, 138.7, 194.9, 291.7, 424.9, 651.9", \ + " 140.8, 172.3, 237.9, 349.4, 504.1, 756.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 55.2, 84.0, 160.5, 332.6, 638.5, 1288.7", \ + " 62.4, 88.6, 161.8, 332.5, 638.5, 1288.7", \ + " 69.9, 95.0, 166.1, 333.4, 638.5, 1288.7", \ + " 81.1, 104.8, 173.6, 337.3, 638.6, 1288.7", \ + " 101.4, 123.8, 189.2, 348.0, 643.2, 1288.7", \ + " 120.9, 151.3, 214.5, 367.5, 655.8, 1290.9", \ + " 149.4, 182.8, 255.2, 402.0, 681.5, 1304.0", \ + " 190.2, 226.3, 309.1, 457.9, 727.5, 1334.8", \ + " 249.1, 288.6, 379.6, 546.0, 806.5, 1394.7", \ + " 334.8, 378.7, 478.9, 665.6, 935.5, 1503.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.2, 28.7, 48.0, 90.9, 166.8, 327.8", \ + " 28.0, 35.7, 55.3, 98.3, 174.3, 335.4", \ + " 31.4, 40.9, 60.8, 103.9, 179.9, 341.1", \ + " 34.4, 45.8, 68.3, 111.4, 187.4, 348.6", \ + " 37.7, 51.6, 79.5, 124.7, 200.6, 361.7", \ + " 40.4, 56.8, 90.4, 143.8, 219.7, 380.6", \ + " 42.1, 61.5, 101.5, 166.3, 248.8, 409.3", \ + " 41.9, 64.8, 111.9, 189.4, 289.0, 451.8", \ + " 38.2, 65.3, 121.0, 212.9, 333.1, 516.5", \ + " 28.8, 60.8, 126.8, 235.7, 379.3, 602.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.8, 47.7, 95.4, 202.9, 394.0, 800.1", \ + " 36.6, 52.4, 97.3, 202.9, 394.0, 800.1", \ + " 44.7, 59.4, 102.2, 204.8, 394.0, 800.1", \ + " 55.2, 70.3, 110.8, 210.1, 395.3, 800.1", \ + " 70.6, 89.2, 129.0, 223.3, 402.8, 800.5", \ + " 91.1, 112.4, 157.9, 247.1, 419.5, 807.4", \ + " 119.9, 144.2, 196.7, 288.0, 452.1, 827.4", \ + " 159.8, 187.8, 247.5, 351.4, 508.6, 868.5", \ + " 216.3, 248.9, 317.3, 436.6, 601.8, 944.7", \ + " 298.0, 335.8, 415.4, 551.8, 742.5, 1078.0" ); }} +timing() { /* ring osc delay aoi21v0x05, path b to z 68.1 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.1 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.7, 54.1, 91.2, 173.4, 318.6, 626.6", \ + " 45.6, 59.7, 96.9, 179.3, 324.7, 632.9", \ + " 51.3, 65.0, 101.8, 184.1, 329.5, 637.8", \ + " 58.8, 72.6, 108.9, 190.8, 336.1, 644.4", \ + " 69.3, 85.6, 122.1, 203.2, 348.1, 656.1", \ + " 82.0, 100.5, 141.8, 221.9, 365.8, 673.3", \ + " 98.7, 119.6, 166.7, 251.3, 393.6, 699.8", \ + " 120.6, 144.1, 197.3, 293.8, 436.1, 739.9", \ + " 149.7, 176.7, 237.0, 346.6, 502.2, 802.2", \ + " 189.0, 220.5, 289.7, 413.9, 592.6, 900.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.7, 133.1, 235.4, 465.3, 873.6, 1740.9", \ + " 98.0, 134.6, 234.9, 465.1, 873.5, 1740.9", \ + " 103.8, 139.4, 237.4, 464.7, 873.5, 1740.9", \ + " 112.6, 147.2, 243.0, 466.4, 873.4, 1740.9", \ + " 129.6, 162.9, 255.6, 473.9, 874.3, 1740.9", \ + " 157.4, 187.4, 276.9, 489.4, 882.4, 1740.6", \ + " 183.3, 224.7, 312.3, 518.1, 902.2, 1746.8", \ + " 221.9, 266.2, 367.6, 566.7, 940.0, 1768.6", \ + " 279.4, 326.3, 438.2, 645.5, 1007.6, 1816.4", \ + " 364.2, 414.9, 535.0, 766.7, 1122.6, 1907.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 30.2, 50.1, 93.7, 169.8, 330.8", \ + " 29.7, 37.4, 57.5, 101.3, 177.5, 338.6", \ + " 33.7, 43.0, 63.1, 106.9, 183.2, 344.3", \ + " 37.5, 48.6, 70.7, 114.4, 190.7, 351.9", \ + " 42.1, 55.5, 82.8, 127.7, 203.9, 365.1", \ + " 46.2, 61.9, 94.6, 147.0, 223.0, 384.0", \ + " 49.4, 67.7, 106.5, 170.3, 252.0, 412.6", \ + " 50.5, 71.8, 117.5, 193.9, 292.5, 455.1", \ + " 47.6, 72.6, 126.5, 217.4, 336.9, 519.5", \ + " 37.9, 67.2, 131.1, 239.3, 382.9, 605.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.2, 57.8, 105.4, 212.8, 403.9, 810.0", \ + " 46.4, 62.2, 107.2, 212.8, 403.9, 810.0", \ + " 54.0, 68.8, 111.8, 214.6, 403.9, 810.0", \ + " 65.9, 79.4, 120.2, 219.7, 405.1, 810.0", \ + " 83.7, 100.0, 138.1, 232.6, 412.4, 810.4", \ + " 106.9, 125.4, 167.0, 256.2, 428.9, 817.0", \ + " 139.2, 160.3, 208.5, 297.2, 461.3, 836.9", \ + " 183.3, 207.5, 262.5, 361.9, 517.9, 877.9", \ + " 244.8, 273.4, 336.4, 450.4, 612.1, 954.3", \ + " 332.0, 366.0, 439.9, 570.3, 756.0, 1088.6" ); }} +timing() { /* ring osc delay aoi21v0x05, path b to z 61.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.1 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 46.1, 83.3, 165.4, 310.5, 618.6", \ + " 38.0, 51.8, 88.9, 171.3, 316.7, 624.9", \ + " 43.9, 57.2, 93.8, 176.1, 321.5, 629.7", \ + " 49.9, 65.3, 101.0, 182.8, 328.1, 636.3", \ + " 59.0, 76.6, 114.4, 195.2, 340.1, 648.1", \ + " 70.4, 90.3, 133.6, 214.0, 357.8, 665.2", \ + " 85.6, 108.0, 157.2, 243.5, 385.7, 691.7", \ + " 105.4, 131.0, 186.5, 285.2, 428.2, 731.8", \ + " 132.0, 161.6, 224.7, 336.8, 494.5, 794.3", \ + " 168.3, 202.9, 275.7, 402.8, 583.6, 892.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 79.5, 118.0, 220.3, 450.0, 858.1, 1725.1", \ + " 84.4, 120.5, 220.0, 449.8, 858.0, 1725.1", \ + " 90.9, 125.9, 223.0, 449.4, 858.0, 1725.1", \ + " 100.6, 134.3, 229.1, 451.5, 857.9, 1725.1", \ + " 119.1, 150.9, 242.3, 459.5, 859.0, 1725.1", \ + " 142.5, 176.6, 264.4, 475.4, 867.5, 1724.9", \ + " 167.8, 210.7, 300.5, 504.8, 887.7, 1731.3", \ + " 206.1, 251.2, 356.6, 554.1, 926.1, 1753.6", \ + " 263.3, 310.8, 424.1, 633.5, 994.4, 1801.7", \ + " 347.6, 398.8, 520.0, 753.7, 1109.9, 1893.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.4, 28.9, 48.2, 91.1, 166.9, 327.9", \ + " 28.4, 36.1, 55.6, 98.7, 174.7, 335.8", \ + " 31.9, 41.4, 61.2, 104.3, 180.3, 341.5", \ + " 34.9, 46.3, 68.8, 111.8, 187.8, 349.0", \ + " 38.1, 52.0, 80.0, 125.1, 201.1, 362.2", \ + " 40.3, 57.0, 90.8, 144.2, 220.1, 381.1", \ + " 41.0, 60.9, 101.5, 166.7, 249.2, 409.7", \ + " 39.1, 62.7, 111.0, 189.3, 289.2, 452.2", \ + " 32.6, 60.7, 118.2, 211.7, 332.9, 516.6", \ + " 18.7, 52.1, 120.5, 232.2, 377.8, 602.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.8, 47.7, 95.4, 202.9, 394.0, 800.1", \ + " 36.1, 52.0, 97.1, 202.9, 394.0, 800.1", \ + " 43.9, 58.7, 101.8, 204.6, 394.0, 800.1", \ + " 54.3, 69.4, 110.1, 209.7, 395.2, 800.1", \ + " 69.6, 88.2, 128.0, 222.7, 402.4, 800.5", \ + " 90.2, 111.5, 156.9, 246.2, 419.0, 807.1", \ + " 119.4, 143.8, 196.0, 287.2, 451.4, 827.0", \ + " 159.7, 188.1, 247.7, 351.1, 508.0, 868.0", \ + " 216.7, 250.1, 318.9, 437.5, 602.0, 944.4", \ + " 298.6, 338.1, 419.1, 555.1, 744.5, 1078.7" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 48.1 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.7, 54.1, 91.2, 173.4, 318.6, 626.6", \ + " 45.6, 59.7, 96.9, 179.3, 324.7, 632.9", \ + " 51.3, 65.0, 101.8, 184.1, 329.5, 637.8", \ + " 58.8, 72.6, 108.9, 190.8, 336.1, 644.4", \ + " 69.3, 85.6, 122.1, 203.2, 348.1, 656.1", \ + " 82.0, 100.5, 141.8, 221.9, 365.8, 673.3", \ + " 98.7, 119.6, 166.7, 251.3, 393.6, 699.8", \ + " 120.6, 144.1, 197.3, 293.8, 436.1, 739.9", \ + " 149.7, 176.7, 237.0, 346.6, 502.2, 802.2", \ + " 189.0, 220.5, 289.7, 413.9, 592.6, 900.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.7, 133.1, 235.4, 465.3, 873.6, 1740.9", \ + " 98.0, 134.6, 234.9, 465.1, 873.5, 1740.9", \ + " 103.8, 139.4, 237.4, 464.7, 873.5, 1740.9", \ + " 112.6, 147.2, 243.0, 466.4, 873.4, 1740.9", \ + " 129.6, 162.9, 255.6, 473.9, 874.3, 1740.9", \ + " 157.4, 187.4, 276.9, 489.4, 882.4, 1740.6", \ + " 183.3, 224.7, 312.3, 518.1, 902.2, 1746.8", \ + " 221.9, 266.2, 367.6, 566.7, 940.0, 1768.6", \ + " 279.4, 326.3, 438.2, 645.5, 1007.6, 1816.4", \ + " 364.2, 414.9, 535.0, 766.7, 1122.6, 1907.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 30.2, 50.1, 93.7, 169.8, 330.8", \ + " 29.7, 37.4, 57.5, 101.3, 177.5, 338.6", \ + " 33.7, 43.0, 63.1, 106.9, 183.2, 344.3", \ + " 37.5, 48.6, 70.7, 114.4, 190.7, 351.9", \ + " 42.1, 55.5, 82.8, 127.7, 203.9, 365.1", \ + " 46.2, 61.9, 94.6, 147.0, 223.0, 384.0", \ + " 49.4, 67.7, 106.5, 170.3, 252.0, 412.6", \ + " 50.5, 71.8, 117.5, 193.9, 292.5, 455.1", \ + " 47.6, 72.6, 126.5, 217.4, 336.9, 519.5", \ + " 37.9, 67.2, 131.1, 239.3, 382.9, 605.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.2, 57.8, 105.4, 212.8, 403.9, 810.0", \ + " 46.4, 62.2, 107.2, 212.8, 403.9, 810.0", \ + " 54.0, 68.8, 111.8, 214.6, 403.9, 810.0", \ + " 65.9, 79.4, 120.2, 219.7, 405.1, 810.0", \ + " 83.7, 100.0, 138.1, 232.6, 412.4, 810.4", \ + " 106.9, 125.4, 167.0, 256.2, 428.9, 817.0", \ + " 139.2, 160.3, 208.5, 297.2, 461.3, 836.9", \ + " 183.3, 207.5, 262.5, 361.9, 517.9, 877.9", \ + " 244.8, 273.4, 336.4, 450.4, 612.1, 954.3", \ + " 332.0, 366.0, 439.9, 570.3, 756.0, 1088.6" ); }} +} +} +cell(aoi21v0x1) { /* 2008-01-06:07h23 characteristic delay 15.3 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 531 ; /* aoi21v0x1 */ +cell_footprint : aoi21 ; +pin(a1) { /* aoi21v0x1 FO4 effort 1.80 logical effort 1.88 */ +direction : input ; +capacitance : 4.34 ; +rise_capacitance : 4.33 ; +fall_capacitance : 4.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21v0x1 */ +} +pin(a2) { /* aoi21v0x1 FO4 effort 1.77 logical effort 1.90 */ +direction : input ; +capacitance : 4.38 ; +rise_capacitance : 4.50 ; +fall_capacitance : 4.26 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21v0x1 */ +} +pin(b) { /* aoi21v0x1 FO4 effort 1.41 logical effort 1.57 */ +direction : input ; +capacitance : 3.73 ; +rise_capacitance : 3.52 ; +fall_capacitance : 3.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 99 ; +max_fanout : 4 ; +function : "((a1*a2)+b)'" ; +internal_power(a1_z_n) { /* aoi21v0x1 15.40 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 7.93, 7.95, 7.96, 7.96, 7.95", \ + " 7.72, 7.76, 7.80, 7.83, 7.83", \ + " 7.65, 7.69, 7.74, 7.78, 7.79", \ + " 7.62, 7.65, 7.70, 7.74, 7.76", \ + " 7.67, 7.68, 7.70, 7.73, 7.74", \ + " 7.95, 7.90, 7.84, 7.80, 7.78", \ + " 8.66, 8.51, 8.29, 8.08, 7.95", \ + " 10.12, 9.82, 9.32, 8.80, 8.43", \ + " 12.76, 12.29, 11.42, 10.38, 9.54", \ + " 17.14, 16.51, 15.23, 13.46, 11.87" ); }} +internal_power(a2_z_n) { /* aoi21v0x1 13.47 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 6.94, 7.00, 7.05, 7.07, 7.06", \ + " 6.69, 6.76, 6.86, 6.93, 6.95", \ + " 6.63, 6.69, 6.79, 6.87, 6.91", \ + " 6.61, 6.65, 6.74, 6.83, 6.87", \ + " 6.72, 6.72, 6.74, 6.80, 6.85", \ + " 7.06, 6.98, 6.91, 6.88, 6.88", \ + " 7.82, 7.64, 7.39, 7.17, 7.04", \ + " 9.28, 8.96, 8.43, 7.89, 7.52", \ + " 11.85, 11.36, 10.48, 9.44, 8.62", \ + " 16.10, 15.44, 14.14, 12.40, 10.87" ); }} +internal_power(b_z_n) { /* aoi21v0x1 7.55 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 3.69, 3.81, 3.92, 3.98, 3.99", \ + " 3.54, 3.65, 3.81, 3.93, 3.98", \ + " 3.56, 3.63, 3.77, 3.90, 3.97", \ + " 3.67, 3.69, 3.78, 3.89, 3.96", \ + " 3.98, 3.91, 3.88, 3.93, 3.97", \ + " 4.55, 4.38, 4.18, 4.08, 4.06", \ + " 5.55, 5.24, 4.82, 4.48, 4.29", \ + " 7.18, 6.70, 5.98, 5.29, 4.83", \ + " 9.79, 9.12, 8.02, 6.83, 5.93", \ + " 13.91, 13.06, 11.49, 9.62, 8.07" ); }} +timing() { /* ring osc delay aoi21v0x1, path a1 to z 71.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.5, 66.9, 101.7, 179.2, 316.7, 608.7", \ + " 61.1, 74.5, 109.5, 187.2, 324.8, 616.8", \ + " 66.5, 79.9, 114.9, 192.7, 330.3, 622.4", \ + " 73.2, 86.7, 121.7, 199.5, 337.2, 629.3", \ + " 82.2, 97.4, 132.9, 210.7, 348.4, 640.5", \ + " 92.1, 109.1, 148.4, 226.3, 363.9, 656.0", \ + " 105.0, 124.1, 167.7, 250.2, 387.4, 679.2", \ + " 122.7, 144.1, 192.5, 283.2, 422.6, 713.6", \ + " 148.2, 172.4, 226.4, 326.1, 476.2, 766.5", \ + " 184.8, 212.5, 273.6, 384.1, 548.6, 848.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 91.8, 128.1, 226.0, 448.0, 843.8, 1685.3", \ + " 92.4, 128.5, 226.2, 448.0, 843.8, 1685.3", \ + " 94.9, 130.1, 226.8, 448.2, 843.8, 1685.3", \ + " 99.8, 134.4, 229.7, 448.9, 843.9, 1685.3", \ + " 110.6, 144.0, 237.3, 453.7, 845.0, 1685.3", \ + " 131.0, 161.6, 251.7, 464.3, 851.2, 1685.7", \ + " 160.6, 193.8, 279.1, 485.5, 866.0, 1692.2", \ + " 205.1, 239.4, 327.9, 524.4, 895.5, 1710.1", \ + " 273.7, 308.7, 399.8, 593.3, 950.9, 1748.5", \ + " 374.2, 410.8, 505.0, 706.2, 1049.5, 1823.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 51.7, 78.1, 134.7, 233.5, 441.7", \ + " 45.3, 56.0, 82.5, 139.2, 238.0, 446.3", \ + " 48.4, 59.0, 85.6, 142.4, 241.2, 449.6", \ + " 52.0, 63.2, 89.9, 146.7, 245.6, 454.0", \ + " 56.9, 69.1, 97.4, 154.4, 253.3, 461.7", \ + " 61.8, 75.4, 106.4, 165.7, 264.6, 472.9", \ + " 66.2, 81.8, 116.7, 181.4, 281.8, 489.9", \ + " 69.0, 87.1, 127.2, 199.4, 306.9, 515.3", \ + " 68.9, 89.9, 136.7, 219.3, 338.1, 554.0", \ + " 63.4, 88.0, 142.8, 239.2, 373.8, 609.4" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.8, 99.6, 166.7, 318.5, 589.0, 1164.6", \ + " 77.0, 101.3, 167.5, 318.6, 589.1, 1164.6", \ + " 80.7, 104.5, 169.8, 319.6, 589.2, 1164.6", \ + " 87.4, 110.4, 174.2, 322.3, 590.1, 1164.6", \ + " 102.6, 124.0, 185.1, 329.6, 594.2, 1165.2", \ + " 122.9, 146.2, 205.0, 344.4, 603.8, 1169.4", \ + " 153.1, 177.8, 239.2, 372.4, 624.1, 1180.8", \ + " 196.5, 222.9, 287.7, 421.0, 662.4, 1206.0", \ + " 259.1, 288.0, 357.3, 497.4, 731.2, 1256.5", \ + " 348.9, 381.7, 458.0, 607.0, 847.2, 1350.8" ); }} +timing() { /* ring osc delay aoi21v0x1, path a2 to z 68.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 53.6 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 60.7, 95.8, 173.5, 311.0, 603.0", \ + " 54.0, 67.8, 103.2, 181.3, 319.1, 611.3", \ + " 59.2, 73.0, 108.5, 186.7, 324.6, 616.8", \ + " 65.4, 79.6, 115.0, 193.3, 331.3, 623.6", \ + " 73.1, 89.4, 126.0, 204.2, 342.3, 634.7", \ + " 81.6, 100.0, 140.9, 219.6, 357.6, 650.1", \ + " 92.5, 113.3, 159.1, 243.2, 380.9, 673.1", \ + " 106.7, 130.7, 182.1, 275.2, 415.8, 707.3", \ + " 126.6, 154.3, 212.9, 316.3, 468.7, 759.8", \ + " 154.7, 187.0, 254.6, 371.0, 539.0, 841.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.3, 113.4, 211.2, 433.1, 828.7, 1669.9", \ + " 78.3, 114.0, 211.4, 433.1, 828.7, 1669.9", \ + " 81.1, 115.8, 212.0, 433.3, 828.7, 1669.9", \ + " 86.6, 120.4, 215.0, 433.9, 828.8, 1669.9", \ + " 98.5, 130.5, 222.9, 438.7, 829.8, 1669.9", \ + " 119.4, 149.1, 237.6, 449.4, 835.9, 1670.3", \ + " 147.2, 180.6, 265.4, 470.8, 850.8, 1676.6", \ + " 190.6, 224.7, 314.4, 509.8, 880.4, 1694.5", \ + " 258.5, 292.8, 384.4, 578.9, 935.9, 1733.1", \ + " 358.4, 394.1, 487.7, 690.7, 1034.4, 1808.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 48.2, 74.6, 131.3, 230.0, 438.3", \ + " 43.2, 53.8, 80.3, 137.1, 235.9, 444.2", \ + " 48.1, 58.5, 85.0, 141.8, 240.6, 448.9", \ + " 54.1, 65.3, 91.5, 148.2, 247.0, 455.3", \ + " 61.6, 74.7, 103.2, 159.7, 258.4, 466.6", \ + " 68.8, 84.1, 117.7, 176.6, 275.0, 483.0", \ + " 75.9, 93.7, 133.0, 201.4, 300.5, 508.0", \ + " 82.3, 102.8, 148.3, 228.7, 338.5, 545.2", \ + " 87.3, 110.9, 163.6, 257.2, 386.9, 602.1", \ + " 89.1, 116.6, 177.8, 286.5, 438.7, 687.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 73.3, 97.7, 163.3, 311.5, 576.0, 1138.6", \ + " 76.5, 99.8, 163.8, 311.6, 576.0, 1138.6", \ + " 82.4, 104.8, 167.1, 312.3, 576.0, 1138.6", \ + " 91.9, 113.6, 173.9, 315.9, 576.4, 1138.6", \ + " 111.1, 131.5, 189.3, 326.5, 581.2, 1138.7", \ + " 133.3, 158.1, 214.8, 346.8, 594.6, 1142.0", \ + " 164.4, 192.0, 255.7, 383.4, 622.5, 1157.1", \ + " 207.8, 238.4, 308.8, 441.7, 672.7, 1191.6", \ + " 269.4, 304.1, 382.1, 528.9, 757.5, 1258.5", \ + " 357.6, 397.9, 486.0, 647.9, 891.7, 1378.6" ); }} +timing() { /* ring osc delay aoi21v0x1, path b to z 43.3 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.9 ; */ +/* intrinsic_fall : 44.1 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.30 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.1, 33.1, 59.0, 116.6, 218.6, 435.2", \ + " 30.4, 40.1, 65.9, 123.6, 225.7, 442.3", \ + " 34.6, 46.0, 71.5, 129.1, 231.1, 447.8", \ + " 39.2, 52.4, 79.3, 136.6, 238.5, 455.1", \ + " 45.7, 61.1, 92.9, 150.1, 251.7, 468.1", \ + " 53.2, 71.1, 108.3, 170.0, 270.9, 486.9", \ + " 62.4, 83.2, 126.5, 199.0, 300.5, 515.6", \ + " 73.2, 97.6, 147.9, 232.6, 345.1, 558.5", \ + " 86.4, 115.1, 173.9, 272.4, 405.2, 624.3", \ + " 102.4, 136.3, 205.6, 320.5, 476.1, 725.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.3, 76.6, 149.1, 312.3, 602.4, 1218.8", \ + " 57.4, 81.9, 150.9, 312.2, 602.4, 1218.8", \ + " 65.6, 88.8, 155.5, 313.5, 602.3, 1218.8", \ + " 77.9, 99.5, 163.6, 317.9, 602.7, 1218.8", \ + " 97.3, 120.0, 180.4, 329.4, 608.1, 1218.8", \ + " 117.9, 147.3, 207.6, 350.4, 621.8, 1222.0", \ + " 148.2, 180.5, 251.5, 387.4, 649.4, 1236.7", \ + " 191.2, 226.7, 306.2, 447.1, 698.8, 1270.0", \ + " 252.9, 292.6, 380.7, 540.4, 783.3, 1334.3", \ + " 342.0, 387.2, 486.0, 665.1, 921.1, 1450.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.0, 36.4, 63.0, 121.8, 225.8, 446.6", \ + " 32.7, 43.0, 69.9, 129.1, 233.4, 454.3", \ + " 37.2, 48.5, 75.3, 134.6, 238.9, 460.0", \ + " 41.3, 55.0, 82.7, 141.9, 246.3, 467.4", \ + " 46.4, 63.0, 96.0, 155.1, 259.4, 480.5", \ + " 51.7, 71.1, 110.7, 174.3, 278.4, 499.4", \ + " 57.3, 79.9, 126.8, 202.7, 307.3, 527.9", \ + " 62.9, 89.3, 143.9, 234.5, 350.7, 570.3", \ + " 68.0, 98.9, 162.7, 269.3, 409.4, 635.0", \ + " 71.8, 108.1, 182.9, 307.7, 474.7, 734.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.3, 61.8, 127.3, 274.7, 536.8, 1093.8", \ + " 42.3, 64.8, 127.8, 274.7, 536.8, 1093.8", \ + " 49.7, 70.8, 131.4, 275.0, 536.8, 1093.8", \ + " 61.0, 80.6, 138.5, 278.3, 536.8, 1093.8", \ + " 76.8, 100.1, 154.5, 288.6, 540.5, 1093.8", \ + " 97.3, 124.4, 181.0, 308.8, 552.8, 1095.4", \ + " 126.1, 156.7, 222.5, 345.3, 579.6, 1108.0", \ + " 166.1, 200.6, 275.5, 404.8, 628.5, 1139.8", \ + " 223.2, 262.3, 346.8, 495.3, 713.4, 1203.7", \ + " 306.1, 350.6, 446.4, 615.8, 851.6, 1320.7" ); }} +timing() { /* ring osc delay aoi21v0x1, path b to z 58.5 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.9 ; */ +/* intrinsic_fall : 44.1 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.30 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 49.7, 84.8, 162.6, 300.2, 592.3", \ + " 42.3, 55.6, 90.8, 168.7, 306.5, 598.6", \ + " 47.9, 60.8, 95.7, 173.6, 311.3, 603.5", \ + " 54.5, 68.4, 102.7, 180.3, 318.0, 610.1", \ + " 63.5, 79.8, 115.6, 192.6, 329.9, 621.9", \ + " 74.1, 92.8, 134.1, 210.8, 347.4, 638.9", \ + " 87.5, 108.8, 156.2, 239.4, 374.6, 665.1", \ + " 104.0, 128.5, 182.6, 278.6, 416.0, 704.5", \ + " 124.9, 153.3, 215.3, 325.6, 479.6, 765.4", \ + " 151.5, 184.9, 256.9, 383.2, 561.5, 860.7" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 86.0, 122.4, 219.4, 437.3, 824.4, 1646.7", \ + " 89.9, 124.4, 219.2, 437.1, 824.4, 1646.7", \ + " 96.2, 129.6, 222.1, 436.8, 824.4, 1646.7", \ + " 105.9, 138.1, 228.1, 439.1, 824.2, 1646.7", \ + " 124.8, 155.3, 241.8, 447.4, 825.8, 1646.7", \ + " 151.4, 182.3, 265.0, 464.2, 835.1, 1646.7", \ + " 179.7, 219.6, 303.6, 495.5, 856.7, 1654.5", \ + " 221.6, 264.4, 363.1, 548.4, 897.8, 1678.8", \ + " 283.5, 329.5, 436.7, 633.8, 971.4, 1730.8", \ + " 373.8, 424.7, 541.1, 762.0, 1096.0, 1829.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.0, 38.9, 66.5, 126.0, 230.1, 451.0", \ + " 35.0, 45.8, 73.8, 133.6, 237.9, 458.9", \ + " 40.3, 51.4, 79.2, 139.1, 243.5, 464.6", \ + " 45.5, 58.7, 86.6, 146.5, 251.0, 472.1", \ + " 52.3, 68.1, 100.2, 159.6, 264.1, 485.3", \ + " 59.3, 77.7, 116.1, 178.8, 283.1, 504.1", \ + " 66.8, 87.9, 133.1, 207.5, 311.9, 532.6", \ + " 74.0, 98.3, 151.0, 240.0, 355.1, 574.9", \ + " 80.3, 108.6, 170.0, 275.1, 414.1, 639.4", \ + " 84.4, 117.5, 189.5, 313.1, 479.5, 738.5" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.6, 76.0, 141.4, 288.7, 550.8, 1107.8", \ + " 56.1, 78.6, 141.7, 288.7, 550.8, 1107.8", \ + " 63.0, 84.2, 145.0, 288.9, 550.8, 1107.8", \ + " 73.8, 93.7, 151.9, 292.0, 550.8, 1107.8", \ + " 93.5, 112.9, 167.5, 302.0, 554.2, 1107.8", \ + " 117.4, 140.8, 193.9, 321.8, 566.2, 1109.2", \ + " 150.3, 176.9, 237.2, 358.3, 592.7, 1121.5", \ + " 195.3, 225.3, 294.1, 418.6, 641.7, 1153.1", \ + " 258.4, 292.7, 370.5, 512.2, 727.3, 1217.1", \ + " 347.9, 387.9, 476.8, 638.5, 868.0, 1334.9" ); }} +timing() { /* ring osc delay aoi21v0x1, path b to z 50.2 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.9 ; */ +/* intrinsic_fall : 44.1 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.30 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.5, 42.0, 77.1, 154.8, 292.4, 584.4", \ + " 34.9, 47.9, 83.0, 160.9, 298.6, 590.7", \ + " 40.1, 53.3, 88.0, 165.8, 303.5, 595.6", \ + " 45.2, 60.7, 95.0, 172.5, 310.1, 602.2", \ + " 52.8, 70.6, 108.1, 184.8, 322.1, 614.0", \ + " 61.8, 82.2, 125.6, 203.2, 339.6, 631.1", \ + " 73.3, 96.6, 146.5, 231.9, 366.9, 657.3", \ + " 87.5, 114.5, 171.4, 270.0, 408.3, 696.7", \ + " 105.5, 137.0, 202.5, 315.5, 471.7, 757.6", \ + " 128.6, 165.7, 242.1, 371.7, 552.3, 853.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.1, 107.6, 204.6, 422.4, 809.3, 1631.4", \ + " 76.9, 110.8, 204.7, 422.2, 809.3, 1631.4", \ + " 84.1, 116.7, 208.1, 422.1, 809.3, 1631.4", \ + " 94.8, 125.9, 214.7, 424.7, 809.1, 1631.4", \ + " 115.8, 144.1, 229.1, 433.4, 811.0, 1631.4", \ + " 136.9, 172.8, 253.2, 450.8, 820.6, 1631.4", \ + " 164.7, 206.0, 292.6, 482.8, 842.7, 1639.5", \ + " 206.4, 249.9, 350.7, 536.4, 884.4, 1664.3", \ + " 267.6, 314.4, 423.1, 622.6, 958.8, 1716.8", \ + " 356.8, 408.7, 526.6, 749.5, 1084.1, 1816.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.2, 36.6, 63.2, 122.1, 226.1, 446.9", \ + " 33.1, 43.5, 70.5, 129.7, 233.9, 454.9", \ + " 37.7, 49.0, 75.9, 135.2, 239.5, 460.6", \ + " 41.9, 55.6, 83.3, 142.6, 247.0, 468.1", \ + " 46.9, 63.5, 96.6, 155.7, 260.1, 481.2", \ + " 51.7, 71.3, 111.2, 174.9, 279.1, 500.1", \ + " 56.4, 79.5, 126.9, 203.2, 307.9, 528.6", \ + " 60.4, 87.5, 143.2, 234.6, 351.1, 570.9", \ + " 62.9, 94.9, 160.3, 268.4, 409.4, 635.3", \ + " 62.4, 100.2, 177.5, 304.8, 473.6, 734.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.3, 61.8, 127.3, 274.7, 536.8, 1093.8", \ + " 41.8, 64.4, 127.7, 274.7, 536.8, 1093.8", \ + " 48.8, 70.1, 131.0, 274.9, 536.8, 1093.8", \ + " 59.9, 79.6, 137.8, 278.0, 536.8, 1093.8", \ + " 75.8, 98.9, 153.5, 288.0, 540.2, 1093.8", \ + " 96.6, 123.5, 179.9, 307.9, 552.3, 1095.3", \ + " 126.0, 156.5, 221.8, 344.3, 578.8, 1107.6", \ + " 167.0, 201.6, 275.9, 404.4, 627.8, 1139.2", \ + " 225.1, 265.0, 349.2, 496.5, 713.4, 1203.2", \ + " 309.1, 355.3, 452.0, 619.9, 853.7, 1321.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 44.9 ; */ +/* intrinsic_fall : 44.1 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.30 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 49.7, 84.8, 162.6, 300.2, 592.3", \ + " 42.3, 55.6, 90.8, 168.7, 306.5, 598.6", \ + " 47.9, 60.8, 95.7, 173.6, 311.3, 603.5", \ + " 54.5, 68.4, 102.7, 180.3, 318.0, 610.1", \ + " 63.5, 79.8, 115.6, 192.6, 329.9, 621.9", \ + " 74.1, 92.8, 134.1, 210.8, 347.4, 638.9", \ + " 87.5, 108.8, 156.2, 239.4, 374.6, 665.1", \ + " 104.0, 128.5, 182.6, 278.6, 416.0, 704.5", \ + " 124.9, 153.3, 215.3, 325.6, 479.6, 765.4", \ + " 151.5, 184.9, 256.9, 383.2, 561.5, 860.7" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 86.0, 122.4, 219.4, 437.3, 824.4, 1646.7", \ + " 89.9, 124.4, 219.2, 437.1, 824.4, 1646.7", \ + " 96.2, 129.6, 222.1, 436.8, 824.4, 1646.7", \ + " 105.9, 138.1, 228.1, 439.1, 824.2, 1646.7", \ + " 124.8, 155.3, 241.8, 447.4, 825.8, 1646.7", \ + " 151.4, 182.3, 265.0, 464.2, 835.1, 1646.7", \ + " 179.7, 219.6, 303.6, 495.5, 856.7, 1654.5", \ + " 221.6, 264.4, 363.1, 548.4, 897.8, 1678.8", \ + " 283.5, 329.5, 436.7, 633.8, 971.4, 1730.8", \ + " 373.8, 424.7, 541.1, 762.0, 1096.0, 1829.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.0, 38.9, 66.5, 126.0, 230.1, 451.0", \ + " 35.0, 45.8, 73.8, 133.6, 237.9, 458.9", \ + " 40.3, 51.4, 79.2, 139.1, 243.5, 464.6", \ + " 45.5, 58.7, 86.6, 146.5, 251.0, 472.1", \ + " 52.3, 68.1, 100.2, 159.6, 264.1, 485.3", \ + " 59.3, 77.7, 116.1, 178.8, 283.1, 504.1", \ + " 66.8, 87.9, 133.1, 207.5, 311.9, 532.6", \ + " 74.0, 98.3, 151.0, 240.0, 355.1, 574.9", \ + " 80.3, 108.6, 170.0, 275.1, 414.1, 639.4", \ + " 84.4, 117.5, 189.5, 313.1, 479.5, 738.5" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.6, 76.0, 141.4, 288.7, 550.8, 1107.8", \ + " 56.1, 78.6, 141.7, 288.7, 550.8, 1107.8", \ + " 63.0, 84.2, 145.0, 288.9, 550.8, 1107.8", \ + " 73.8, 93.7, 151.9, 292.0, 550.8, 1107.8", \ + " 93.5, 112.9, 167.5, 302.0, 554.2, 1107.8", \ + " 117.4, 140.8, 193.9, 321.8, 566.2, 1109.2", \ + " 150.3, 176.9, 237.2, 358.3, 592.7, 1121.5", \ + " 195.3, 225.3, 294.1, 418.6, 641.7, 1153.1", \ + " 258.4, 292.7, 370.5, 512.2, 727.3, 1217.1", \ + " 347.9, 387.9, 476.8, 638.5, 868.0, 1334.9" ); }} +} +} +cell(aoi21v0x2) { /* 2008-01-06:07h23 characteristic delay 15.0 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1086 ; /* aoi21v0x2 */ +cell_footprint : aoi21 ; +pin(a1) { /* aoi21v0x2 FO4 effort 1.78 logical effort 1.94 */ +direction : input ; +capacitance : 9.23 ; +rise_capacitance : 9.18 ; +fall_capacitance : 9.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21v0x2 */ +} +pin(a2) { /* aoi21v0x2 FO4 effort 1.67 logical effort 1.82 */ +direction : input ; +capacitance : 8.65 ; +rise_capacitance : 8.86 ; +fall_capacitance : 8.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21v0x2 */ +} +pin(b) { /* aoi21v0x2 FO4 effort 1.34 logical effort 1.49 */ +direction : input ; +capacitance : 7.29 ; +rise_capacitance : 6.86 ; +fall_capacitance : 7.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 200 ; +max_fanout : 6 ; +function : "((a1*a2)+b)'" ; +internal_power(a1_z_n) { /* aoi21v0x2 29.07 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 15.03, 15.08, 15.12, 15.13, 15.11", \ + " 14.55, 14.65, 14.77, 14.84, 14.85", \ + " 14.40, 14.49, 14.63, 14.74, 14.77", \ + " 14.34, 14.41, 14.53, 14.66, 14.71", \ + " 14.49, 14.49, 14.54, 14.62, 14.67", \ + " 15.14, 15.01, 14.87, 14.79, 14.75", \ + " 16.75, 16.40, 15.88, 15.43, 15.14", \ + " 19.93, 19.27, 18.17, 17.01, 16.19", \ + " 25.57, 24.56, 22.69, 20.43, 18.62", \ + " 34.79, 33.47, 30.77, 27.02, 23.62" ); }} +internal_power(a2_z_n) { /* aoi21v0x2 24.74 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 12.76, 12.89, 13.03, 13.08, 13.08", \ + " 12.23, 12.39, 12.62, 12.79, 12.86", \ + " 12.11, 12.24, 12.47, 12.67, 12.77", \ + " 12.12, 12.19, 12.37, 12.58, 12.70", \ + " 12.40, 12.37, 12.41, 12.54, 12.64", \ + " 13.19, 13.01, 12.80, 12.72, 12.72", \ + " 14.93, 14.50, 13.90, 13.39, 13.10", \ + " 18.13, 17.40, 16.22, 15.00, 14.16", \ + " 23.67, 22.59, 20.65, 18.36, 16.56", \ + " 32.64, 31.23, 28.45, 24.73, 21.41" ); }} +internal_power(b_z_n) { /* aoi21v0x2 14.15 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 6.85, 7.10, 7.34, 7.47, 7.50", \ + " 6.57, 6.79, 7.12, 7.37, 7.48", \ + " 6.65, 6.78, 7.05, 7.31, 7.45", \ + " 6.91, 6.92, 7.07, 7.29, 7.43", \ + " 7.59, 7.42, 7.32, 7.38, 7.47", \ + " 8.82, 8.42, 7.98, 7.73, 7.66", \ + " 10.96, 10.26, 9.34, 8.59, 8.17", \ + " 14.39, 13.35, 11.80, 10.33, 9.32", \ + " 19.86, 18.45, 16.10, 13.59, 11.68", \ + " 28.47, 26.68, 23.40, 19.46, 16.18" ); }} +timing() { /* ring osc delay aoi21v0x2, path a1 to z 66.3 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.7 ; */ +/* intrinsic_fall : 47.1 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.45 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.9, 65.3, 100.1, 177.7, 315.2, 607.2", \ + " 59.2, 72.7, 107.8, 185.6, 323.2, 615.3", \ + " 64.5, 78.0, 113.1, 190.9, 328.7, 620.8", \ + " 71.0, 84.6, 119.7, 197.6, 335.3, 627.5", \ + " 79.7, 95.1, 130.8, 208.6, 346.4, 638.6", \ + " 89.5, 106.7, 146.2, 224.3, 361.9, 654.0", \ + " 102.8, 121.9, 165.7, 248.3, 385.4, 677.2", \ + " 121.5, 142.8, 191.1, 281.7, 420.9, 711.8", \ + " 148.8, 172.8, 226.3, 325.4, 475.2, 765.1", \ + " 187.6, 215.2, 275.8, 385.2, 548.7, 848.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.3, 122.7, 220.8, 443.0, 838.9, 1680.4", \ + " 87.0, 123.1, 221.0, 443.1, 838.9, 1680.4", \ + " 89.8, 125.1, 221.6, 443.2, 839.0, 1680.4", \ + " 94.9, 129.6, 224.8, 444.0, 839.0, 1680.4", \ + " 106.3, 139.5, 232.8, 449.1, 840.3, 1680.4", \ + " 127.5, 157.9, 247.7, 460.0, 846.7, 1680.9", \ + " 156.6, 190.3, 275.6, 481.6, 861.8, 1687.6", \ + " 201.1, 235.7, 324.9, 520.7, 891.4, 1705.6", \ + " 268.5, 303.9, 395.8, 589.6, 946.7, 1744.1", \ + " 364.8, 402.5, 498.3, 701.0, 1044.7, 1819.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.5, 46.4, 70.7, 122.9, 214.0, 406.1", \ + " 40.7, 50.6, 75.1, 127.4, 218.5, 410.8", \ + " 43.8, 53.7, 78.2, 130.6, 221.8, 414.0", \ + " 46.9, 57.6, 82.5, 135.0, 226.2, 418.4", \ + " 51.0, 62.8, 89.7, 142.7, 233.9, 426.2", \ + " 54.8, 68.0, 97.8, 153.9, 245.1, 437.4", \ + " 57.5, 72.9, 106.8, 168.5, 262.3, 454.4", \ + " 58.0, 76.0, 115.4, 184.8, 286.6, 479.7", \ + " 54.9, 75.8, 121.9, 202.1, 315.4, 518.3", \ + " 45.2, 69.7, 123.8, 218.0, 347.6, 570.8" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 64.2, 87.0, 148.9, 289.0, 538.7, 1070.0", \ + " 66.8, 89.0, 149.9, 289.1, 538.7, 1070.0", \ + " 70.9, 92.6, 152.5, 290.4, 538.9, 1070.0", \ + " 78.3, 99.0, 157.4, 293.5, 540.2, 1070.0", \ + " 93.5, 113.6, 169.0, 301.5, 544.8, 1071.0", \ + " 113.5, 135.5, 190.0, 317.1, 555.2, 1075.9", \ + " 143.4, 166.7, 224.3, 346.6, 576.9, 1088.5", \ + " 186.2, 211.3, 272.2, 396.7, 617.1, 1115.6", \ + " 248.1, 275.7, 341.2, 472.4, 688.2, 1168.7", \ + " 336.8, 368.3, 440.9, 581.0, 805.5, 1266.9" ); }} +timing() { /* ring osc delay aoi21v0x2, path a2 to z 61.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 48.7 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.44 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.2, 58.1, 93.3, 171.0, 308.6, 600.6", \ + " 51.1, 65.0, 100.5, 178.7, 316.6, 608.8", \ + " 56.2, 70.0, 105.6, 183.9, 321.9, 614.2", \ + " 62.1, 76.5, 112.0, 190.3, 328.5, 620.9", \ + " 69.3, 85.9, 122.9, 201.1, 339.3, 631.8", \ + " 77.6, 96.3, 137.6, 216.6, 354.6, 647.1", \ + " 88.7, 109.8, 155.9, 240.4, 377.9, 670.1", \ + " 103.8, 128.0, 179.5, 272.6, 413.1, 704.5", \ + " 125.2, 153.1, 211.5, 314.5, 466.6, 757.4", \ + " 155.5, 188.0, 255.4, 370.9, 538.0, 839.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 70.8, 107.0, 205.0, 427.0, 822.7, 1663.9", \ + " 71.8, 107.6, 205.2, 427.0, 822.7, 1663.9", \ + " 75.2, 109.8, 205.9, 427.2, 822.7, 1663.9", \ + " 81.0, 114.7, 209.2, 427.9, 822.8, 1663.9", \ + " 93.6, 125.2, 217.4, 433.1, 823.9, 1663.9", \ + " 114.3, 144.6, 232.6, 444.1, 830.3, 1664.4", \ + " 142.1, 176.0, 261.0, 465.8, 845.4, 1670.9", \ + " 185.7, 220.0, 310.2, 505.2, 875.2, 1688.9", \ + " 252.8, 287.3, 379.4, 574.2, 930.7, 1727.5", \ + " 349.1, 385.4, 480.3, 684.6, 1028.8, 1802.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 42.4, 66.8, 119.0, 210.1, 402.3", \ + " 38.4, 48.2, 72.6, 124.9, 216.0, 408.2", \ + " 43.3, 52.9, 77.3, 129.6, 220.7, 412.9", \ + " 48.4, 59.4, 83.8, 136.0, 227.1, 419.4", \ + " 54.5, 67.5, 95.4, 147.6, 238.6, 430.7", \ + " 60.2, 75.4, 108.3, 164.5, 255.2, 447.1", \ + " 65.5, 83.1, 121.5, 187.9, 280.7, 472.1", \ + " 69.4, 89.8, 134.4, 212.4, 318.3, 509.4", \ + " 71.1, 94.6, 146.4, 237.4, 362.5, 566.3", \ + " 68.4, 95.8, 156.2, 262.0, 409.0, 648.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.7, 85.3, 145.8, 282.6, 526.7, 1046.0", \ + " 67.0, 88.2, 146.8, 282.7, 526.7, 1046.0", \ + " 73.4, 93.8, 150.6, 283.8, 526.8, 1046.0", \ + " 83.6, 103.2, 158.0, 288.1, 527.5, 1046.0", \ + " 102.3, 121.9, 174.4, 299.7, 533.4, 1046.2", \ + " 123.5, 147.3, 200.8, 321.3, 548.1, 1051.0", \ + " 154.0, 180.4, 241.1, 359.1, 577.7, 1068.1", \ + " 196.6, 226.2, 293.1, 418.5, 630.0, 1105.1", \ + " 257.1, 290.9, 365.5, 504.2, 716.7, 1175.2", \ + " 343.8, 383.4, 468.2, 621.6, 851.7, 1299.2" ); }} +timing() { /* ring osc delay aoi21v0x2, path b to z 39.5 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 1.97 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 32.0, 58.0, 115.6, 217.6, 434.1", \ + " 29.3, 39.0, 64.9, 122.6, 224.7, 441.3", \ + " 33.3, 45.0, 70.5, 128.0, 230.1, 446.7", \ + " 37.7, 51.2, 78.3, 135.5, 237.5, 454.1", \ + " 44.1, 59.8, 91.9, 149.1, 250.7, 467.1", \ + " 51.7, 69.8, 107.3, 169.1, 270.0, 485.9", \ + " 61.1, 82.1, 125.6, 198.2, 299.7, 514.7", \ + " 72.3, 97.0, 147.4, 232.0, 344.5, 557.7", \ + " 86.3, 115.3, 174.2, 272.3, 404.9, 623.8", \ + " 103.8, 138.1, 207.4, 321.7, 476.6, 725.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.5, 72.7, 145.2, 308.4, 598.5, 1214.9", \ + " 53.9, 78.3, 147.1, 308.3, 598.5, 1214.9", \ + " 62.3, 85.3, 151.8, 309.7, 598.5, 1214.9", \ + " 74.8, 96.0, 159.9, 314.2, 598.9, 1214.9", \ + " 92.5, 116.6, 176.8, 325.7, 604.3, 1214.9", \ + " 112.6, 142.6, 203.8, 346.6, 618.0, 1218.1", \ + " 142.1, 175.1, 247.4, 383.4, 645.5, 1232.9", \ + " 184.1, 220.3, 300.8, 442.7, 694.6, 1266.0", \ + " 244.4, 284.9, 374.0, 534.9, 778.5, 1330.0", \ + " 332.1, 377.8, 477.6, 657.9, 915.0, 1445.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.0, 32.8, 57.7, 112.6, 209.7, 415.8", \ + " 29.9, 39.5, 64.7, 119.9, 217.2, 423.4", \ + " 33.5, 45.1, 70.1, 125.4, 222.8, 429.0", \ + " 37.0, 50.8, 77.5, 132.7, 230.2, 436.5", \ + " 41.4, 57.8, 90.3, 145.9, 243.3, 449.6", \ + " 45.7, 64.9, 103.9, 165.3, 262.3, 468.5", \ + " 50.0, 72.5, 118.5, 192.5, 291.3, 497.1", \ + " 53.7, 80.1, 133.8, 222.0, 334.8, 539.5", \ + " 56.4, 87.3, 150.2, 253.9, 390.0, 604.3", \ + " 56.7, 93.1, 167.0, 288.7, 450.7, 702.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 55.1, 116.2, 253.8, 498.5, 1018.3", \ + " 38.3, 58.8, 117.2, 253.8, 498.5, 1018.3", \ + " 46.0, 65.2, 121.1, 254.5, 498.5, 1018.3", \ + " 56.9, 75.5, 128.8, 258.3, 498.6, 1018.3", \ + " 72.0, 95.0, 145.5, 269.4, 503.2, 1018.3", \ + " 92.1, 118.4, 172.7, 290.6, 516.7, 1020.9", \ + " 120.5, 150.2, 213.6, 328.3, 544.9, 1035.3", \ + " 160.1, 193.6, 265.6, 389.1, 595.7, 1069.3", \ + " 216.5, 254.8, 336.1, 478.5, 682.7, 1136.1", \ + " 298.8, 342.3, 435.1, 597.2, 823.1, 1256.9" ); }} +timing() { /* ring osc delay aoi21v0x2, path b to z 54.7 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 1.97 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.5, 49.1, 84.2, 162.0, 299.6, 591.7", \ + " 41.6, 55.0, 90.1, 168.1, 305.9, 598.0", \ + " 47.2, 60.2, 95.1, 173.0, 310.7, 602.9", \ + " 53.7, 67.8, 102.1, 179.7, 317.4, 609.5", \ + " 62.7, 79.2, 115.1, 192.0, 329.3, 621.3", \ + " 73.5, 92.3, 133.6, 210.4, 346.9, 638.4", \ + " 87.2, 108.6, 156.0, 239.1, 374.3, 664.6", \ + " 104.4, 128.8, 182.8, 278.6, 415.8, 704.2", \ + " 126.3, 154.7, 216.4, 326.2, 479.9, 765.4", \ + " 154.7, 188.0, 259.6, 385.2, 562.7, 861.2" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.4, 117.8, 214.8, 432.7, 819.8, 1642.1", \ + " 85.6, 120.0, 214.7, 432.5, 819.7, 1642.1", \ + " 91.9, 125.3, 217.6, 432.3, 819.7, 1642.1", \ + " 101.5, 133.7, 223.7, 434.6, 819.6, 1642.1", \ + " 120.4, 150.8, 237.3, 442.9, 821.3, 1642.1", \ + " 145.6, 177.7, 260.4, 459.7, 830.5, 1642.1", \ + " 173.2, 213.6, 298.6, 490.7, 852.1, 1649.9", \ + " 214.3, 257.5, 357.1, 543.1, 892.9, 1674.2", \ + " 274.9, 321.4, 429.4, 627.6, 965.8, 1725.9", \ + " 363.3, 414.8, 532.0, 754.0, 1089.1, 1824.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 35.2, 61.1, 116.7, 214.0, 420.1", \ + " 32.0, 42.2, 68.4, 124.3, 221.7, 428.0", \ + " 36.9, 47.8, 73.8, 129.8, 227.3, 433.7", \ + " 41.5, 54.7, 81.3, 137.3, 234.8, 441.2", \ + " 47.6, 63.2, 94.7, 150.4, 247.9, 454.3", \ + " 53.8, 71.8, 109.4, 169.7, 266.9, 473.2", \ + " 60.1, 80.9, 125.0, 197.4, 295.9, 501.7", \ + " 65.8, 89.7, 141.1, 227.6, 339.1, 544.1", \ + " 69.9, 97.7, 157.8, 260.0, 394.8, 608.6", \ + " 70.9, 103.4, 174.0, 294.3, 455.6, 706.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.6, 69.4, 130.4, 267.9, 512.5, 1032.3", \ + " 52.1, 72.7, 131.1, 267.9, 512.5, 1032.3", \ + " 59.4, 78.8, 134.8, 268.4, 512.5, 1032.3", \ + " 70.6, 88.7, 142.2, 272.0, 512.5, 1032.3", \ + " 89.9, 108.6, 158.6, 282.8, 517.0, 1032.3", \ + " 113.5, 135.8, 185.8, 303.7, 530.1, 1034.7", \ + " 146.2, 171.5, 229.1, 341.5, 558.2, 1048.9", \ + " 191.0, 219.6, 285.1, 403.0, 609.0, 1082.8", \ + " 253.7, 286.6, 360.9, 496.1, 696.9, 1149.7", \ + " 342.5, 381.2, 466.5, 620.8, 839.9, 1271.4" ); }} +timing() { /* ring osc delay aoi21v0x2, path b to z 46.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 1.97 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 40.8, 75.9, 153.6, 291.2, 583.2", \ + " 33.7, 46.7, 81.8, 159.7, 297.4, 589.5", \ + " 38.6, 52.2, 86.7, 164.5, 302.3, 594.4", \ + " 43.6, 59.4, 93.8, 171.3, 308.9, 601.0", \ + " 51.1, 69.2, 107.1, 183.7, 320.9, 612.8", \ + " 60.1, 80.8, 124.5, 202.1, 338.5, 629.9", \ + " 71.8, 95.4, 145.4, 231.0, 365.9, 656.2", \ + " 86.5, 113.7, 170.7, 269.3, 407.6, 695.8", \ + " 105.3, 137.1, 202.7, 315.4, 471.4, 757.0", \ + " 129.9, 167.4, 243.7, 372.8, 552.8, 853.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.5, 101.9, 198.9, 416.7, 803.5, 1625.6", \ + " 71.7, 105.4, 199.2, 416.5, 803.5, 1625.6", \ + " 79.0, 111.4, 202.6, 416.4, 803.5, 1625.6", \ + " 89.8, 120.7, 209.2, 419.1, 803.4, 1625.6", \ + " 111.3, 138.9, 223.7, 427.9, 805.4, 1625.6", \ + " 129.7, 167.7, 247.7, 445.2, 815.0, 1625.7", \ + " 156.9, 198.8, 286.8, 477.1, 837.1, 1633.8", \ + " 197.6, 241.8, 343.6, 530.2, 878.5, 1658.5", \ + " 257.5, 305.0, 414.7, 615.4, 952.2, 1710.7", \ + " 344.9, 397.4, 516.2, 740.4, 1076.2, 1809.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.3, 33.0, 57.9, 112.8, 209.9, 416.0", \ + " 30.3, 40.0, 65.2, 120.4, 217.7, 423.9", \ + " 34.1, 45.6, 70.6, 125.9, 223.3, 429.6", \ + " 37.6, 51.3, 78.1, 133.4, 230.8, 437.1", \ + " 41.7, 58.3, 90.9, 146.5, 243.9, 450.3", \ + " 45.5, 65.1, 104.3, 165.8, 262.9, 469.1", \ + " 48.8, 71.9, 118.5, 192.9, 291.8, 497.7", \ + " 50.9, 78.1, 133.0, 222.0, 335.1, 540.0", \ + " 50.8, 83.0, 147.6, 253.0, 389.8, 604.5", \ + " 46.7, 84.7, 161.2, 285.6, 449.4, 702.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 55.1, 116.2, 253.8, 498.5, 1018.3", \ + " 37.7, 58.4, 117.0, 253.8, 498.5, 1018.3", \ + " 45.1, 64.5, 120.7, 254.3, 498.5, 1018.3", \ + " 55.9, 74.5, 128.1, 258.0, 498.5, 1018.3", \ + " 71.1, 93.9, 144.5, 268.8, 502.9, 1018.3", \ + " 91.5, 117.6, 171.6, 289.7, 516.1, 1020.7", \ + " 120.5, 150.1, 213.0, 327.4, 544.2, 1034.9", \ + " 160.8, 194.7, 266.1, 388.8, 595.1, 1068.8", \ + " 218.1, 257.4, 338.7, 479.8, 682.9, 1135.7", \ + " 301.1, 346.6, 440.5, 601.4, 825.4, 1257.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 1.97 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.5, 49.1, 84.2, 162.0, 299.6, 591.7", \ + " 41.6, 55.0, 90.1, 168.1, 305.9, 598.0", \ + " 47.2, 60.2, 95.1, 173.0, 310.7, 602.9", \ + " 53.7, 67.8, 102.1, 179.7, 317.4, 609.5", \ + " 62.7, 79.2, 115.1, 192.0, 329.3, 621.3", \ + " 73.5, 92.3, 133.6, 210.4, 346.9, 638.4", \ + " 87.2, 108.6, 156.0, 239.1, 374.3, 664.6", \ + " 104.4, 128.8, 182.8, 278.6, 415.8, 704.2", \ + " 126.3, 154.7, 216.4, 326.2, 479.9, 765.4", \ + " 154.7, 188.0, 259.6, 385.2, 562.7, 861.2" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.4, 117.8, 214.8, 432.7, 819.8, 1642.1", \ + " 85.6, 120.0, 214.7, 432.5, 819.7, 1642.1", \ + " 91.9, 125.3, 217.6, 432.3, 819.7, 1642.1", \ + " 101.5, 133.7, 223.7, 434.6, 819.6, 1642.1", \ + " 120.4, 150.8, 237.3, 442.9, 821.3, 1642.1", \ + " 145.6, 177.7, 260.4, 459.7, 830.5, 1642.1", \ + " 173.2, 213.6, 298.6, 490.7, 852.1, 1649.9", \ + " 214.3, 257.5, 357.1, 543.1, 892.9, 1674.2", \ + " 274.9, 321.4, 429.4, 627.6, 965.8, 1725.9", \ + " 363.3, 414.8, 532.0, 754.0, 1089.1, 1824.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 35.2, 61.1, 116.7, 214.0, 420.1", \ + " 32.0, 42.2, 68.4, 124.3, 221.7, 428.0", \ + " 36.9, 47.8, 73.8, 129.8, 227.3, 433.7", \ + " 41.5, 54.7, 81.3, 137.3, 234.8, 441.2", \ + " 47.6, 63.2, 94.7, 150.4, 247.9, 454.3", \ + " 53.8, 71.8, 109.4, 169.7, 266.9, 473.2", \ + " 60.1, 80.9, 125.0, 197.4, 295.9, 501.7", \ + " 65.8, 89.7, 141.1, 227.6, 339.1, 544.1", \ + " 69.9, 97.7, 157.8, 260.0, 394.8, 608.6", \ + " 70.9, 103.4, 174.0, 294.3, 455.6, 706.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.6, 69.4, 130.4, 267.9, 512.5, 1032.3", \ + " 52.1, 72.7, 131.1, 267.9, 512.5, 1032.3", \ + " 59.4, 78.8, 134.8, 268.4, 512.5, 1032.3", \ + " 70.6, 88.7, 142.2, 272.0, 512.5, 1032.3", \ + " 89.9, 108.6, 158.6, 282.8, 517.0, 1032.3", \ + " 113.5, 135.8, 185.8, 303.7, 530.1, 1034.7", \ + " 146.2, 171.5, 229.1, 341.5, 558.2, 1048.9", \ + " 191.0, 219.6, 285.1, 403.0, 609.0, 1082.8", \ + " 253.7, 286.6, 360.9, 496.1, 696.9, 1149.7", \ + " 342.5, 381.2, 466.5, 620.8, 839.9, 1271.4" ); }} +} +} +cell(aoi21a2v0x05) { /* 2008-01-06:07h23 characteristic delay 15.3 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 543 ; /* aoi21a2v0x05 */ +cell_footprint : aoi21a2 ; +pin(a1) { /* aoi21a2v0x05 FO4 effort 1.92 logical effort 2.03 */ +direction : input ; +capacitance : 2.76 ; +rise_capacitance : 2.75 ; +fall_capacitance : 2.76 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21a2v0x05 */ +} +pin(a2) { /* aoi21a2v0x05 FO4 effort 2.28 */ +direction : input ; +capacitance : 2.44 ; +rise_capacitance : 2.48 ; +fall_capacitance : 2.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21a2v0x05 */ +internal_power(a2) { /* aoi21a2v0x05 7.06 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.54, 3.44, 3.46, 3.53, 3.74, 4.12, 4.80, 5.91, 7.71, 10.56" ); }} +} +pin(b) { /* aoi21a2v0x05 FO4 effort 1.39 logical effort 1.56 */ +direction : input ; +capacitance : 2.54 ; +rise_capacitance : 2.38 ; +fall_capacitance : 2.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21a2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1*a2')+b)'" ; +internal_power(a1_z_n) { /* aoi21a2v0x05 9.77 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 5.02, 5.03, 5.04, 5.04, 5.02", \ + " 4.90, 4.92, 4.94, 4.96, 4.96", \ + " 4.86, 4.88, 4.91, 4.93, 4.93", \ + " 4.84, 4.86, 4.88, 4.91, 4.92", \ + " 4.86, 4.87, 4.88, 4.90, 4.90", \ + " 5.01, 4.98, 4.95, 4.94, 4.92", \ + " 5.40, 5.32, 5.20, 5.09, 5.01", \ + " 6.22, 6.05, 5.77, 5.48, 5.28", \ + " 7.74, 7.47, 6.96, 6.37, 5.90", \ + " 10.29, 9.91, 9.15, 8.13, 7.22" ); }} +internal_power(a2_z_p) { /* aoi21a2v0x05 16.41 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.65, 4.69, 4.74, 4.76, 4.76", \ + " 4.63, 4.67, 4.72, 4.74, 4.75", \ + " 4.60, 4.64, 4.70, 4.73, 4.74", \ + " 4.58, 4.62, 4.68, 4.72, 4.73", \ + " 4.56, 4.59, 4.65, 4.70, 4.72", \ + " 4.54, 4.57, 4.63, 4.68, 4.70", \ + " 4.53, 4.56, 4.60, 4.65, 4.68", \ + " 4.54, 4.55, 4.58, 4.63, 4.66", \ + " 4.58, 4.57, 4.59, 4.62, 4.65", \ + " 4.67, 4.64, 4.62, 4.62, 4.64" ); }} +internal_power(b_z_n) { /* aoi21a2v0x05 5.09 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.48, 2.55, 2.63, 2.67, 2.69", \ + " 2.39, 2.45, 2.55, 2.63, 2.67", \ + " 2.42, 2.45, 2.53, 2.62, 2.66", \ + " 2.51, 2.51, 2.55, 2.61, 2.66", \ + " 2.74, 2.68, 2.64, 2.65, 2.67", \ + " 3.15, 3.03, 2.88, 2.79, 2.75", \ + " 3.88, 3.66, 3.36, 3.10, 2.94", \ + " 5.04, 4.73, 4.23, 3.72, 3.37", \ + " 6.90, 6.47, 5.73, 4.88, 4.22", \ + " 9.82, 9.28, 8.26, 6.95, 5.82" ); }} +timing() { /* ring osc delay aoi21a2v0x05, path a1 to z 91.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.9 ; */ +/* intrinsic_fall : 55.8 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 70.7, 107.5, 189.4, 334.4, 642.4", \ + " 64.3, 78.5, 115.4, 197.4, 342.6, 650.6", \ + " 69.8, 84.0, 120.9, 203.0, 348.2, 656.2", \ + " 76.6, 90.8, 127.7, 209.8, 355.1, 663.2", \ + " 86.2, 101.9, 139.0, 221.1, 366.3, 674.4", \ + " 96.6, 114.1, 154.7, 236.8, 381.9, 690.0", \ + " 109.8, 129.5, 174.7, 260.5, 405.3, 713.1", \ + " 127.8, 149.8, 200.0, 294.2, 440.4, 747.5", \ + " 153.4, 178.3, 234.2, 337.9, 494.2, 800.2", \ + " 190.2, 218.7, 281.8, 396.6, 567.9, 882.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 100.9, 139.0, 242.1, 476.1, 893.4, 1780.8", \ + " 101.4, 139.3, 242.2, 476.1, 893.4, 1780.8", \ + " 103.5, 140.7, 242.7, 476.2, 893.4, 1780.8", \ + " 108.1, 144.7, 245.3, 476.8, 893.5, 1780.8", \ + " 118.4, 153.8, 252.5, 481.1, 894.3, 1780.8", \ + " 137.7, 170.7, 266.4, 491.2, 899.9, 1781.1", \ + " 168.1, 202.7, 292.9, 511.7, 914.0, 1786.6", \ + " 212.5, 248.4, 340.4, 549.5, 942.5, 1803.4", \ + " 281.2, 317.8, 413.4, 617.1, 996.5, 1840.4", \ + " 382.8, 421.0, 519.5, 730.2, 1093.4, 1913.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.8, 57.2, 85.6, 146.3, 252.2, 475.4", \ + " 49.7, 61.2, 89.7, 150.6, 256.5, 479.7", \ + " 52.6, 64.1, 92.7, 153.7, 259.6, 482.9", \ + " 56.3, 68.1, 96.7, 157.8, 263.8, 487.1", \ + " 61.3, 74.1, 104.1, 165.3, 271.4, 494.8", \ + " 66.5, 80.7, 113.4, 176.4, 282.5, 505.9", \ + " 71.6, 87.7, 124.2, 192.4, 299.5, 522.8", \ + " 75.3, 93.9, 135.6, 211.2, 324.8, 547.9", \ + " 76.3, 98.0, 146.4, 232.4, 357.3, 586.4", \ + " 72.4, 97.7, 154.4, 254.3, 395.0, 643.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.5, 111.2, 183.3, 345.9, 635.7, 1252.4", \ + " 86.2, 112.5, 183.8, 345.9, 635.7, 1252.4", \ + " 89.5, 115.3, 185.8, 346.7, 635.8, 1252.4", \ + " 95.5, 120.6, 189.8, 349.1, 636.5, 1252.4", \ + " 109.7, 133.3, 199.8, 355.8, 640.1, 1252.7", \ + " 130.5, 155.3, 218.6, 369.6, 648.9, 1256.3", \ + " 160.8, 187.0, 252.2, 396.3, 668.1, 1266.7", \ + " 204.5, 232.3, 301.0, 443.3, 704.7, 1290.3", \ + " 267.8, 298.0, 371.1, 519.7, 771.2, 1338.4", \ + " 358.9, 392.9, 472.7, 630.3, 885.4, 1429.4" ); }} +timing() { /* ring osc delay aoi21a2v0x05, path a2 to z 121.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 94.1 ; */ +/* intrinsic_fall : 100.0 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.0, 94.7, 132.0, 214.0, 359.2, 667.2", \ + " 86.9, 101.5, 138.8, 221.0, 366.2, 674.2", \ + " 91.8, 106.4, 143.8, 226.0, 371.3, 679.3", \ + " 97.1, 111.8, 149.2, 231.5, 376.8, 684.9", \ + " 103.7, 118.5, 155.9, 238.4, 383.8, 691.9", \ + " 110.0, 124.9, 162.4, 245.0, 390.4, 698.7", \ + " 116.1, 131.1, 168.8, 251.5, 397.0, 705.3", \ + " 121.2, 136.5, 174.4, 257.1, 402.9, 711.3", \ + " 123.8, 139.8, 178.3, 261.3, 407.1, 715.7", \ + " 121.7, 138.7, 178.3, 262.1, 408.4, 717.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.3, 115.7, 212.4, 432.4, 824.9, 1659.6", \ + " 80.4, 115.8, 212.4, 432.4, 824.9, 1659.5", \ + " 80.6, 115.9, 212.5, 432.4, 825.0, 1659.5", \ + " 81.0, 116.2, 212.6, 432.5, 825.0, 1659.5", \ + " 82.3, 117.1, 213.1, 432.6, 825.0, 1659.6", \ + " 83.9, 118.4, 213.8, 433.0, 825.1, 1659.6", \ + " 86.2, 120.2, 215.1, 433.5, 825.4, 1659.7", \ + " 90.2, 123.5, 217.1, 434.6, 825.8, 1659.9", \ + " 96.6, 129.1, 221.2, 436.9, 826.9, 1660.3", \ + " 106.3, 138.0, 228.7, 441.8, 829.9, 1661.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.2, 90.8, 119.3, 180.1, 286.0, 509.2", \ + " 86.8, 98.4, 127.0, 187.8, 293.7, 516.9", \ + " 92.9, 104.5, 133.1, 194.0, 299.9, 523.1", \ + " 100.8, 112.4, 141.0, 201.9, 307.8, 531.0", \ + " 113.0, 124.6, 153.2, 214.1, 320.0, 543.2", \ + " 127.2, 139.1, 168.0, 228.9, 334.8, 558.0", \ + " 145.4, 157.4, 186.5, 247.8, 353.7, 576.8", \ + " 168.7, 181.0, 210.4, 271.7, 377.9, 601.0", \ + " 199.3, 212.2, 242.3, 303.9, 410.1, 633.4", \ + " 240.0, 253.6, 285.2, 348.1, 454.6, 678.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.6, 108.5, 175.2, 327.1, 599.3, 1179.5", \ + " 83.7, 108.5, 175.2, 327.1, 599.3, 1179.5", \ + " 83.9, 108.7, 175.3, 327.1, 599.3, 1179.5", \ + " 84.6, 109.2, 175.6, 327.2, 599.3, 1179.5", \ + " 87.3, 111.5, 177.0, 327.7, 599.4, 1179.5", \ + " 90.8, 114.7, 179.5, 329.2, 600.0, 1179.5", \ + " 95.1, 118.7, 182.9, 331.4, 601.2, 1180.0", \ + " 101.4, 124.6, 187.5, 334.5, 602.8, 1180.8", \ + " 110.8, 133.9, 195.4, 339.7, 605.9, 1182.1", \ + " 124.1, 147.5, 208.3, 349.7, 612.3, 1185.2" ); }} +timing() { /* ring osc delay aoi21a2v0x05, path b to z 68.0 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.0 ; */ +/* intrinsic_fall : 38.7 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 53.7, 91.0, 173.3, 318.5, 626.6", \ + " 45.2, 59.3, 96.6, 179.2, 324.6, 632.9", \ + " 50.9, 64.6, 101.5, 184.0, 329.5, 637.8", \ + " 58.5, 72.4, 108.6, 190.7, 336.1, 644.3", \ + " 68.9, 85.3, 121.9, 203.1, 348.0, 656.1", \ + " 81.5, 100.2, 141.7, 221.7, 365.8, 673.2", \ + " 98.3, 119.2, 166.5, 251.2, 393.5, 699.7", \ + " 120.0, 143.7, 197.1, 293.6, 436.0, 739.8", \ + " 149.1, 176.2, 236.6, 346.4, 502.2, 802.2", \ + " 188.4, 220.0, 289.3, 413.7, 592.5, 900.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.2, 132.5, 234.8, 464.6, 872.9, 1740.1", \ + " 97.7, 134.1, 234.2, 464.4, 872.8, 1740.1", \ + " 103.5, 139.0, 236.9, 464.0, 872.8, 1740.1", \ + " 112.4, 146.8, 242.5, 465.8, 872.7, 1740.1", \ + " 129.4, 162.6, 255.1, 473.3, 873.6, 1740.1", \ + " 157.2, 187.1, 276.4, 488.8, 881.7, 1739.9", \ + " 183.0, 224.4, 311.9, 517.5, 901.6, 1746.0", \ + " 221.5, 265.8, 367.2, 566.2, 939.4, 1767.9", \ + " 279.0, 325.9, 437.7, 645.0, 1007.0, 1815.7", \ + " 363.7, 414.4, 534.5, 766.2, 1122.0, 1906.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 29.9, 49.9, 93.5, 169.7, 330.8", \ + " 29.5, 37.2, 57.2, 101.1, 177.4, 338.6", \ + " 33.4, 42.7, 62.8, 106.7, 183.1, 344.3", \ + " 37.0, 48.2, 70.5, 114.2, 190.6, 351.8", \ + " 41.3, 54.9, 82.4, 127.6, 203.8, 365.0", \ + " 45.3, 61.2, 94.3, 146.9, 222.9, 384.0", \ + " 48.5, 67.1, 106.1, 170.1, 251.9, 412.6", \ + " 49.7, 71.2, 117.1, 193.7, 292.4, 455.0", \ + " 46.8, 71.9, 126.1, 217.2, 336.8, 519.4", \ + " 37.1, 66.6, 130.7, 239.1, 382.7, 605.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 57.6, 105.2, 212.6, 403.7, 809.8", \ + " 46.1, 62.0, 106.9, 212.6, 403.7, 809.8", \ + " 53.7, 68.6, 111.6, 214.4, 403.7, 809.8", \ + " 65.6, 79.2, 119.9, 219.5, 404.9, 809.8", \ + " 83.4, 99.7, 137.8, 232.4, 412.1, 810.1", \ + " 106.5, 125.1, 166.7, 256.0, 428.7, 816.8", \ + " 138.7, 159.9, 208.3, 297.0, 461.1, 836.6", \ + " 182.8, 207.2, 262.2, 361.7, 517.7, 877.6", \ + " 244.4, 272.9, 336.1, 450.2, 611.9, 954.0", \ + " 331.6, 365.5, 439.5, 570.1, 755.8, 1088.4" ); }} +timing() { /* ring osc delay aoi21a2v0x05, path b to z 50.0 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.0 ; */ +/* intrinsic_fall : 38.7 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.5, 36.1, 63.6, 124.5, 232.1, 460.6", \ + " 32.8, 43.0, 70.3, 131.4, 239.1, 467.7", \ + " 37.9, 49.0, 75.9, 136.8, 244.6, 473.1", \ + " 43.3, 56.3, 83.8, 144.3, 251.9, 480.5", \ + " 51.2, 66.4, 98.1, 157.9, 265.1, 493.4", \ + " 61.0, 78.3, 115.3, 178.2, 284.6, 512.3", \ + " 73.8, 93.7, 136.1, 208.8, 314.6, 541.3", \ + " 90.2, 113.1, 161.8, 246.0, 360.1, 584.8", \ + " 111.6, 138.5, 194.7, 291.6, 424.9, 651.8", \ + " 140.4, 172.0, 237.8, 349.3, 504.0, 756.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.8, 83.6, 160.1, 332.3, 638.3, 1288.4", \ + " 62.0, 88.2, 161.4, 332.2, 638.2, 1288.4", \ + " 69.6, 94.7, 165.8, 333.0, 638.2, 1288.4", \ + " 80.7, 104.5, 173.3, 337.0, 638.3, 1288.4", \ + " 101.1, 123.5, 188.9, 347.7, 642.9, 1288.4", \ + " 120.6, 151.0, 214.2, 367.2, 655.5, 1290.6", \ + " 149.1, 182.5, 254.9, 401.7, 681.2, 1303.7", \ + " 189.8, 225.9, 308.8, 457.6, 727.2, 1334.5", \ + " 248.6, 288.2, 379.2, 545.8, 806.2, 1394.4", \ + " 334.3, 378.3, 478.5, 665.3, 935.2, 1503.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.2, 28.7, 48.0, 90.9, 166.8, 327.8", \ + " 28.0, 35.7, 55.2, 98.3, 174.3, 335.4", \ + " 31.3, 40.9, 60.8, 103.9, 179.9, 341.1", \ + " 34.3, 45.8, 68.3, 111.3, 187.4, 348.6", \ + " 37.6, 51.5, 79.5, 124.6, 200.6, 361.7", \ + " 40.3, 56.7, 90.4, 143.8, 219.7, 380.6", \ + " 41.9, 61.4, 101.4, 166.3, 248.8, 409.3", \ + " 41.6, 64.6, 111.8, 189.4, 289.0, 451.8", \ + " 37.9, 65.0, 120.9, 212.8, 333.1, 516.5", \ + " 28.5, 60.5, 126.6, 235.5, 379.3, 602.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.6, 47.5, 95.2, 202.7, 393.8, 800.0", \ + " 36.4, 52.2, 97.1, 202.7, 393.8, 799.9", \ + " 44.6, 59.2, 102.0, 204.6, 393.8, 800.0", \ + " 55.1, 70.1, 110.6, 209.9, 395.1, 799.9", \ + " 70.4, 89.1, 128.8, 223.1, 402.6, 800.3", \ + " 90.8, 112.2, 157.8, 246.9, 419.3, 807.2", \ + " 119.7, 144.0, 196.5, 287.8, 451.9, 827.2", \ + " 159.5, 187.5, 247.3, 351.2, 508.4, 868.3", \ + " 215.9, 248.5, 317.0, 436.4, 601.6, 944.5", \ + " 297.6, 335.4, 415.2, 551.5, 742.3, 1077.8" ); }} +timing() { /* ring osc delay aoi21a2v0x05, path b to z 61.2 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.0 ; */ +/* intrinsic_fall : 38.7 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.5, 45.8, 83.1, 165.3, 310.4, 618.5", \ + " 37.8, 51.6, 88.8, 171.2, 316.6, 624.8", \ + " 43.8, 57.1, 93.7, 176.0, 321.5, 629.7", \ + " 49.8, 65.2, 100.9, 182.7, 328.1, 636.3", \ + " 58.9, 76.5, 114.3, 195.2, 340.0, 648.1", \ + " 70.2, 90.1, 133.5, 213.9, 357.8, 665.2", \ + " 85.4, 107.8, 157.1, 243.5, 385.6, 691.7", \ + " 105.2, 130.8, 186.4, 285.2, 428.2, 731.9", \ + " 131.7, 161.4, 224.6, 336.8, 494.4, 794.3", \ + " 167.9, 202.6, 275.6, 402.7, 583.6, 892.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.9, 117.5, 219.8, 449.7, 857.8, 1724.8", \ + " 84.0, 120.0, 219.6, 449.4, 857.8, 1724.8", \ + " 90.5, 125.5, 222.6, 449.0, 857.7, 1724.8", \ + " 100.1, 133.9, 228.7, 451.1, 857.6, 1724.8", \ + " 118.6, 150.5, 241.9, 459.1, 858.7, 1724.8", \ + " 142.1, 176.2, 264.0, 475.1, 867.1, 1724.6", \ + " 167.3, 210.2, 300.1, 504.4, 887.4, 1731.0", \ + " 205.6, 250.7, 356.2, 553.7, 925.7, 1753.2", \ + " 262.7, 310.3, 423.7, 633.1, 994.0, 1801.4", \ + " 347.0, 398.3, 519.5, 753.3, 1109.6, 1892.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.3, 28.9, 48.2, 91.1, 167.0, 328.0", \ + " 28.3, 36.1, 55.6, 98.7, 174.7, 335.8", \ + " 31.8, 41.3, 61.2, 104.3, 180.3, 341.5", \ + " 34.8, 46.3, 68.7, 111.8, 187.8, 349.0", \ + " 37.9, 51.9, 79.9, 125.1, 201.1, 362.2", \ + " 40.1, 56.8, 90.7, 144.2, 220.1, 381.1", \ + " 40.8, 60.7, 101.3, 166.6, 249.1, 409.7", \ + " 38.8, 62.5, 110.8, 189.3, 289.2, 452.2", \ + " 32.3, 60.5, 118.0, 211.6, 332.8, 516.6", \ + " 18.3, 51.8, 120.3, 232.1, 377.8, 602.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.6, 47.5, 95.2, 202.7, 393.8, 800.0", \ + " 35.9, 51.8, 96.9, 202.7, 393.8, 799.9", \ + " 43.8, 58.5, 101.6, 204.5, 393.8, 800.0", \ + " 54.1, 69.2, 109.9, 209.5, 395.0, 800.0", \ + " 69.4, 88.1, 127.9, 222.5, 402.3, 800.3", \ + " 90.0, 111.3, 156.8, 246.1, 418.8, 807.0", \ + " 119.1, 143.6, 195.8, 287.0, 451.2, 826.8", \ + " 159.5, 187.8, 247.5, 350.9, 507.8, 867.8", \ + " 216.4, 249.8, 318.7, 437.4, 601.8, 944.2", \ + " 298.2, 337.8, 418.9, 554.9, 744.4, 1078.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 48.0 ; */ +/* intrinsic_fall : 38.7 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 53.7, 91.0, 173.3, 318.5, 626.6", \ + " 45.2, 59.3, 96.6, 179.2, 324.6, 632.9", \ + " 50.9, 64.6, 101.5, 184.0, 329.5, 637.8", \ + " 58.5, 72.4, 108.6, 190.7, 336.1, 644.3", \ + " 68.9, 85.3, 121.9, 203.1, 348.0, 656.1", \ + " 81.5, 100.2, 141.7, 221.7, 365.8, 673.2", \ + " 98.3, 119.2, 166.5, 251.2, 393.5, 699.7", \ + " 120.0, 143.7, 197.1, 293.6, 436.0, 739.8", \ + " 149.1, 176.2, 236.6, 346.4, 502.2, 802.2", \ + " 188.4, 220.0, 289.3, 413.7, 592.5, 900.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.2, 132.5, 234.8, 464.6, 872.9, 1740.1", \ + " 97.7, 134.1, 234.2, 464.4, 872.8, 1740.1", \ + " 103.5, 139.0, 236.9, 464.0, 872.8, 1740.1", \ + " 112.4, 146.8, 242.5, 465.8, 872.7, 1740.1", \ + " 129.4, 162.6, 255.1, 473.3, 873.6, 1740.1", \ + " 157.2, 187.1, 276.4, 488.8, 881.7, 1739.9", \ + " 183.0, 224.4, 311.9, 517.5, 901.6, 1746.0", \ + " 221.5, 265.8, 367.2, 566.2, 939.4, 1767.9", \ + " 279.0, 325.9, 437.7, 645.0, 1007.0, 1815.7", \ + " 363.7, 414.4, 534.5, 766.2, 1122.0, 1906.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 29.9, 49.9, 93.5, 169.7, 330.8", \ + " 29.5, 37.2, 57.2, 101.1, 177.4, 338.6", \ + " 33.4, 42.7, 62.8, 106.7, 183.1, 344.3", \ + " 37.0, 48.2, 70.5, 114.2, 190.6, 351.8", \ + " 41.3, 54.9, 82.4, 127.6, 203.8, 365.0", \ + " 45.3, 61.2, 94.3, 146.9, 222.9, 384.0", \ + " 48.5, 67.1, 106.1, 170.1, 251.9, 412.6", \ + " 49.7, 71.2, 117.1, 193.7, 292.4, 455.0", \ + " 46.8, 71.9, 126.1, 217.2, 336.8, 519.4", \ + " 37.1, 66.6, 130.7, 239.1, 382.7, 605.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 57.6, 105.2, 212.6, 403.7, 809.8", \ + " 46.1, 62.0, 106.9, 212.6, 403.7, 809.8", \ + " 53.7, 68.6, 111.6, 214.4, 403.7, 809.8", \ + " 65.6, 79.2, 119.9, 219.5, 404.9, 809.8", \ + " 83.4, 99.7, 137.8, 232.4, 412.1, 810.1", \ + " 106.5, 125.1, 166.7, 256.0, 428.7, 816.8", \ + " 138.7, 159.9, 208.3, 297.0, 461.1, 836.6", \ + " 182.8, 207.2, 262.2, 361.7, 517.7, 877.6", \ + " 244.4, 272.9, 336.1, 450.2, 611.9, 954.0", \ + " 331.6, 365.5, 439.5, 570.1, 755.8, 1088.4" ); }} +} +} +cell(aoi21a2bv0x05) { /* 2008-01-06:07h24 */ +area : 9 ; /* tracks */ +cell_leakage_power : 751 ; /* aoi21a2bv0x05 */ +cell_footprint : aoi21a2b ; +pin(a1) { /* aoi21a2bv0x05 FO4 effort 1.89 logical effort 1.95 */ +direction : input ; +capacitance : 2.65 ; +rise_capacitance : 2.64 ; +fall_capacitance : 2.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21a2bv0x05 */ +} +pin(a2) { /* aoi21a2bv0x05 FO4 effort 2.33 */ +direction : input ; +capacitance : 2.44 ; +rise_capacitance : 2.48 ; +fall_capacitance : 2.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21a2bv0x05 */ +internal_power(a2) { /* aoi21a2bv0x05 7.58 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.79, 3.70, 3.72, 3.79, 3.99, 4.36, 5.02, 6.11, 7.88, 10.72" ); }} +} +pin(b) { /* aoi21a2bv0x05 FO4 effort 1.85 */ +direction : input ; +capacitance : 2.51 ; +rise_capacitance : 2.55 ; +fall_capacitance : 2.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21a2bv0x05 */ +internal_power(b) { /* aoi21a2bv0x05 7.70 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.80, 3.72, 3.76, 3.85, 4.08, 4.49, 5.20, 6.34, 8.19, 11.09" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1'+a2)*b)" ; +internal_power(a1_z_n) { /* aoi21a2bv0x05 9.70 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 4.98, 5.00, 5.00, 5.00, 4.99", \ + " 4.86, 4.88, 4.91, 4.92, 4.92", \ + " 4.82, 4.84, 4.87, 4.90, 4.90", \ + " 4.80, 4.82, 4.85, 4.87, 4.88", \ + " 4.83, 4.83, 4.84, 4.86, 4.87", \ + " 4.98, 4.95, 4.92, 4.90, 4.89", \ + " 5.37, 5.28, 5.16, 5.05, 4.98", \ + " 6.19, 6.02, 5.73, 5.44, 5.24", \ + " 7.71, 7.43, 6.92, 6.33, 5.86", \ + " 10.26, 9.88, 9.12, 8.08, 7.17" ); }} +internal_power(a2_z_p) { /* aoi21a2bv0x05 16.98 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.65, 4.71, 4.76, 4.80, 4.80", \ + " 4.63, 4.68, 4.74, 4.78, 4.79", \ + " 4.61, 4.66, 4.72, 4.77, 4.78", \ + " 4.59, 4.63, 4.70, 4.75, 4.77", \ + " 4.57, 4.61, 4.67, 4.73, 4.76", \ + " 4.55, 4.58, 4.65, 4.71, 4.74", \ + " 4.55, 4.57, 4.62, 4.68, 4.72", \ + " 4.55, 4.57, 4.60, 4.65, 4.69", \ + " 4.60, 4.59, 4.60, 4.64, 4.68", \ + " 4.70, 4.66, 4.64, 4.64, 4.67" ); }} +internal_power(b_z_p) { /* aoi21a2bv0x05 12.52 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.32, 2.41, 2.52, 2.58, 2.60", \ + " 2.28, 2.36, 2.47, 2.55, 2.59", \ + " 2.26, 2.33, 2.44, 2.53, 2.57", \ + " 2.25, 2.31, 2.41, 2.51, 2.55", \ + " 2.25, 2.29, 2.38, 2.47, 2.53", \ + " 2.27, 2.29, 2.35, 2.44, 2.50", \ + " 2.31, 2.30, 2.34, 2.41, 2.47", \ + " 2.39, 2.34, 2.34, 2.38, 2.44", \ + " 2.51, 2.43, 2.37, 2.37, 2.40", \ + " 2.75, 2.60, 2.46, 2.39, 2.39" ); }} +timing() { /* ring osc delay aoi21a2bv0x05, path a1 to z 75.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.9 ; */ +/* intrinsic_fall : 55.4 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.7, 72.0, 108.9, 190.9, 336.0, 644.0", \ + " 65.3, 79.6, 116.7, 198.8, 344.0, 652.1", \ + " 70.7, 85.0, 122.2, 204.3, 349.6, 657.6", \ + " 77.3, 91.7, 128.9, 211.1, 356.4, 664.5", \ + " 86.7, 102.5, 140.0, 222.2, 367.5, 675.7", \ + " 96.7, 114.5, 155.4, 237.7, 383.0, 691.1", \ + " 109.6, 129.5, 175.1, 261.2, 406.2, 714.2", \ + " 127.2, 149.4, 200.0, 294.7, 441.1, 748.4", \ + " 152.5, 177.6, 233.9, 338.1, 494.8, 801.0", \ + " 189.0, 217.7, 281.2, 396.4, 568.2, 882.7" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 101.5, 139.4, 242.2, 476.0, 893.3, 1780.7", \ + " 102.1, 139.8, 242.4, 476.0, 893.3, 1780.7", \ + " 104.0, 141.0, 242.9, 476.1, 893.3, 1780.7", \ + " 108.4, 144.9, 245.3, 476.7, 893.3, 1780.7", \ + " 118.1, 153.6, 252.4, 481.0, 894.1, 1780.7", \ + " 136.7, 169.9, 266.0, 491.0, 899.8, 1780.9", \ + " 166.4, 201.4, 292.0, 511.3, 913.8, 1786.5", \ + " 210.2, 246.4, 339.2, 548.9, 942.2, 1803.3", \ + " 278.6, 315.6, 411.8, 616.1, 996.0, 1840.2", \ + " 380.6, 418.8, 517.7, 729.0, 1092.6, 1913.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.5, 57.0, 85.5, 146.4, 252.3, 475.5", \ + " 49.4, 61.0, 89.6, 150.6, 256.6, 479.8", \ + " 52.2, 63.8, 92.5, 153.6, 259.6, 482.9", \ + " 55.7, 67.7, 96.5, 157.7, 263.8, 487.2", \ + " 60.5, 73.5, 103.8, 165.2, 271.4, 494.8", \ + " 65.6, 80.0, 112.9, 176.2, 282.4, 505.8", \ + " 70.6, 86.9, 123.6, 192.1, 299.4, 522.7", \ + " 74.2, 93.0, 134.8, 210.8, 324.6, 547.9", \ + " 75.1, 97.0, 145.6, 231.8, 357.0, 586.3", \ + " 71.1, 96.5, 153.4, 253.6, 394.5, 643.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.5, 111.0, 182.7, 345.0, 634.7, 1251.2", \ + " 86.3, 112.3, 183.2, 345.1, 634.7, 1251.2", \ + " 89.5, 115.1, 185.2, 345.9, 634.8, 1251.2", \ + " 95.6, 120.4, 189.2, 348.3, 635.5, 1251.2", \ + " 109.7, 133.1, 199.3, 355.0, 639.1, 1251.6", \ + " 130.4, 155.0, 218.1, 368.8, 648.0, 1255.2", \ + " 160.4, 186.5, 251.6, 395.5, 667.1, 1265.7", \ + " 203.9, 231.6, 300.1, 442.5, 703.7, 1289.2", \ + " 266.9, 297.1, 370.1, 518.8, 770.2, 1337.3", \ + " 357.7, 391.7, 471.5, 629.2, 884.4, 1428.3" ); }} +timing() { /* ring osc delay aoi21a2bv0x05, path a2 to z 108.3 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.0 ; */ +/* intrinsic_fall : 102.7 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.9, 98.0, 135.6, 217.9, 363.2, 671.2", \ + " 89.9, 104.9, 142.6, 224.9, 370.2, 678.3", \ + " 94.9, 109.9, 147.6, 230.1, 375.4, 683.6", \ + " 100.6, 115.6, 153.3, 235.8, 381.3, 689.5", \ + " 107.7, 122.8, 160.5, 243.2, 388.7, 697.0", \ + " 114.5, 129.6, 167.5, 250.3, 395.9, 704.2", \ + " 121.1, 136.5, 174.4, 257.3, 403.0, 711.5", \ + " 126.7, 142.4, 180.7, 263.6, 409.5, 718.0", \ + " 130.0, 146.4, 185.3, 268.5, 414.5, 723.2", \ + " 128.7, 146.1, 186.2, 270.1, 416.5, 725.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.5, 115.8, 212.4, 432.4, 824.9, 1659.5", \ + " 80.6, 115.9, 212.4, 432.4, 824.9, 1659.5", \ + " 80.8, 116.0, 212.5, 432.4, 824.9, 1659.5", \ + " 81.2, 116.3, 212.6, 432.4, 824.9, 1659.5", \ + " 82.4, 117.2, 213.1, 432.5, 824.9, 1659.5", \ + " 84.1, 118.6, 213.9, 432.9, 825.0, 1659.5", \ + " 86.5, 120.5, 215.2, 433.5, 825.3, 1659.6", \ + " 90.6, 123.8, 217.3, 434.6, 825.7, 1659.8", \ + " 97.0, 129.5, 221.5, 437.0, 826.9, 1660.2", \ + " 106.9, 138.6, 229.1, 442.0, 829.8, 1661.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.4, 93.2, 121.9, 182.9, 288.8, 512.1", \ + " 89.1, 100.9, 129.6, 190.6, 296.6, 519.9", \ + " 95.2, 107.0, 135.8, 196.8, 302.8, 526.1", \ + " 103.2, 115.0, 143.8, 204.8, 310.8, 534.1", \ + " 115.8, 127.6, 156.4, 217.5, 323.5, 546.8", \ + " 130.7, 142.7, 171.8, 232.8, 338.8, 562.1", \ + " 149.5, 161.7, 191.0, 252.4, 358.3, 581.6", \ + " 173.4, 185.9, 215.6, 277.1, 383.3, 606.4", \ + " 204.8, 217.9, 248.3, 310.1, 416.3, 639.7", \ + " 246.4, 260.3, 292.2, 355.3, 461.8, 685.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 84.7, 109.1, 175.2, 326.5, 598.4, 1178.4", \ + " 84.8, 109.2, 175.2, 326.6, 598.4, 1178.4", \ + " 85.0, 109.3, 175.3, 326.6, 598.4, 1178.4", \ + " 85.6, 109.8, 175.6, 326.7, 598.4, 1178.4", \ + " 88.2, 111.9, 177.0, 327.2, 598.5, 1178.4", \ + " 92.0, 115.4, 179.6, 328.7, 599.1, 1178.4", \ + " 96.6, 119.8, 183.3, 331.0, 600.3, 1178.8", \ + " 103.2, 126.1, 188.2, 334.3, 602.1, 1179.7", \ + " 112.9, 135.6, 196.5, 339.9, 605.2, 1180.9", \ + " 126.5, 149.6, 209.8, 350.1, 611.8, 1184.0" ); }} +timing() { /* ring osc delay aoi21a2bv0x05, path b to z 82.6 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.1 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.1, 79.7, 117.3, 199.7, 345.1, 653.2", \ + " 71.7, 86.2, 123.8, 206.4, 351.8, 660.0", \ + " 76.2, 90.6, 128.2, 210.9, 356.4, 664.6", \ + " 81.2, 95.4, 132.8, 215.5, 361.1, 669.4", \ + " 87.2, 101.5, 138.7, 221.3, 366.9, 675.2", \ + " 93.1, 107.2, 144.3, 226.7, 372.3, 680.7", \ + " 99.0, 113.0, 149.8, 232.1, 377.5, 685.9", \ + " 103.9, 118.2, 154.8, 236.7, 382.2, 690.3", \ + " 106.8, 121.5, 158.4, 240.4, 385.5, 693.6", \ + " 105.4, 120.9, 158.6, 241.2, 386.7, 694.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.3, 127.7, 225.7, 447.0, 840.0, 1674.9", \ + " 91.4, 127.8, 225.7, 447.0, 840.0, 1674.9", \ + " 91.8, 127.9, 225.7, 447.0, 840.0, 1674.9", \ + " 92.9, 128.7, 225.9, 446.9, 840.0, 1674.9", \ + " 95.2, 130.3, 226.8, 447.2, 840.0, 1674.9", \ + " 97.8, 132.7, 228.0, 447.6, 840.3, 1674.9", \ + " 102.0, 136.0, 230.2, 448.5, 840.7, 1675.2", \ + " 108.2, 141.6, 234.0, 450.4, 841.5, 1675.6", \ + " 117.0, 150.0, 241.1, 454.6, 843.8, 1676.6", \ + " 129.4, 162.2, 252.6, 463.4, 849.5, 1679.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 67.8, 89.3, 133.6, 209.9, 371.1", \ + " 66.3, 75.6, 97.1, 141.5, 217.9, 379.1", \ + " 72.5, 81.8, 103.3, 147.7, 224.2, 385.4", \ + " 80.5, 89.8, 111.4, 155.8, 232.3, 393.6", \ + " 92.3, 102.0, 123.9, 168.3, 244.8, 406.1", \ + " 106.2, 116.2, 138.7, 183.5, 259.9, 421.2", \ + " 124.0, 134.5, 157.4, 202.7, 279.4, 440.5", \ + " 146.7, 157.7, 181.5, 227.1, 304.0, 465.4", \ + " 176.3, 188.1, 213.4, 260.1, 337.1, 498.8", \ + " 215.5, 228.3, 255.7, 304.7, 382.8, 544.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.5, 65.5, 109.3, 210.9, 394.4, 787.0", \ + " 49.7, 65.6, 109.4, 210.9, 394.4, 787.0", \ + " 50.1, 65.9, 109.6, 211.0, 394.4, 787.0", \ + " 51.7, 67.0, 110.1, 211.2, 394.5, 787.1", \ + " 55.7, 70.6, 112.5, 212.3, 394.8, 787.1", \ + " 60.2, 75.0, 116.2, 214.6, 396.0, 787.2", \ + " 66.3, 80.6, 120.8, 217.8, 397.7, 788.2", \ + " 74.3, 88.4, 127.4, 222.3, 400.5, 789.4", \ + " 85.6, 99.7, 137.8, 229.8, 405.3, 791.6", \ + " 100.9, 115.5, 153.4, 243.1, 414.5, 796.9" ); }} +timing() { /* ring osc delay aoi21a2bv0x05, path b to z 70.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.1 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.9, 63.0, 90.9, 152.0, 259.7, 488.2", \ + " 58.7, 69.7, 97.6, 158.8, 266.6, 495.1", \ + " 63.6, 74.5, 102.3, 163.5, 271.3, 499.9", \ + " 68.7, 79.6, 107.3, 168.4, 276.3, 504.9", \ + " 74.8, 85.8, 113.6, 174.6, 282.5, 511.1", \ + " 80.7, 91.9, 119.6, 180.7, 288.4, 517.0", \ + " 86.3, 97.9, 125.6, 186.5, 294.3, 522.9", \ + " 90.8, 103.0, 131.2, 191.9, 299.7, 528.2", \ + " 92.9, 105.9, 135.1, 196.3, 304.1, 532.6", \ + " 90.5, 104.5, 135.3, 197.8, 306.5, 535.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.5, 83.0, 155.4, 320.4, 614.8, 1240.8", \ + " 56.7, 83.1, 155.4, 320.4, 614.8, 1240.8", \ + " 57.5, 83.6, 155.6, 320.4, 614.8, 1240.8", \ + " 59.5, 85.1, 156.3, 320.5, 614.8, 1240.8", \ + " 62.8, 87.7, 157.8, 321.2, 615.0, 1240.8", \ + " 66.4, 90.9, 160.0, 322.1, 615.5, 1240.9", \ + " 71.9, 95.7, 163.2, 323.8, 616.1, 1241.3", \ + " 79.4, 102.7, 168.8, 327.0, 617.6, 1242.0", \ + " 89.5, 112.9, 177.7, 333.1, 621.3, 1243.7", \ + " 103.3, 127.1, 191.2, 344.5, 629.1, 1248.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 66.1, 87.2, 130.8, 206.9, 368.0", \ + " 64.6, 73.9, 95.0, 138.7, 214.8, 375.9", \ + " 70.8, 80.1, 101.2, 144.9, 221.1, 382.3", \ + " 78.8, 88.0, 109.2, 153.0, 229.1, 390.4", \ + " 90.4, 100.1, 121.6, 165.4, 241.6, 402.9", \ + " 104.0, 114.1, 136.3, 180.6, 256.8, 418.0", \ + " 121.4, 132.0, 155.0, 199.7, 276.2, 437.3", \ + " 143.4, 154.9, 178.9, 224.1, 300.8, 462.1", \ + " 172.3, 184.7, 210.4, 257.0, 333.8, 495.5", \ + " 210.4, 224.1, 252.3, 301.4, 379.5, 541.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.9, 55.3, 99.5, 201.1, 384.6, 777.3", \ + " 39.1, 55.5, 99.5, 201.1, 384.6, 777.3", \ + " 39.5, 55.9, 99.8, 201.2, 384.6, 777.3", \ + " 41.2, 57.1, 100.4, 201.4, 384.7, 777.3", \ + " 45.0, 60.6, 102.9, 202.6, 385.0, 777.3", \ + " 49.2, 64.8, 106.6, 204.9, 386.2, 777.5", \ + " 54.7, 70.3, 111.2, 208.2, 388.0, 778.5", \ + " 62.2, 77.9, 117.9, 212.7, 390.8, 779.7", \ + " 72.4, 88.5, 128.1, 220.3, 395.7, 781.9", \ + " 86.4, 103.3, 143.4, 233.6, 404.9, 787.2" ); }} +timing() { /* ring osc delay aoi21a2bv0x05, path b to z 76.1 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.1 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.2, 71.8, 109.4, 191.8, 337.1, 645.1", \ + " 63.9, 78.3, 115.9, 198.4, 343.8, 651.9", \ + " 68.5, 82.8, 120.3, 202.9, 348.4, 656.6", \ + " 73.6, 87.7, 125.0, 207.6, 353.1, 661.3", \ + " 79.6, 93.7, 130.9, 213.3, 358.9, 667.2", \ + " 85.4, 99.6, 136.4, 218.8, 364.3, 672.6", \ + " 91.1, 105.4, 142.0, 224.2, 369.6, 677.9", \ + " 95.8, 110.5, 147.1, 228.8, 374.2, 682.4", \ + " 98.2, 113.6, 150.6, 232.5, 377.5, 685.6", \ + " 96.1, 112.5, 150.7, 233.3, 378.7, 686.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.7, 112.9, 210.8, 432.0, 824.9, 1659.5", \ + " 76.9, 113.0, 210.8, 431.9, 824.9, 1659.5", \ + " 77.4, 113.2, 210.8, 431.9, 824.9, 1659.5", \ + " 78.9, 114.3, 211.1, 431.9, 824.9, 1659.5", \ + " 81.7, 116.2, 212.0, 432.2, 824.8, 1659.5", \ + " 84.6, 119.0, 213.5, 432.7, 825.2, 1659.5", \ + " 89.4, 122.6, 216.0, 433.6, 825.6, 1659.8", \ + " 96.1, 128.7, 220.0, 435.6, 826.4, 1660.2", \ + " 105.3, 137.5, 227.5, 440.0, 828.8, 1661.2", \ + " 117.9, 150.1, 239.2, 449.0, 834.6, 1664.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 66.4, 87.5, 131.1, 207.1, 368.3", \ + " 64.9, 74.2, 95.3, 139.0, 215.1, 376.2", \ + " 71.1, 80.4, 101.5, 145.2, 221.4, 382.6", \ + " 79.0, 88.3, 109.5, 153.3, 229.5, 390.7", \ + " 90.7, 100.4, 122.0, 165.8, 242.0, 403.2", \ + " 104.3, 114.4, 136.7, 181.0, 257.2, 418.4", \ + " 121.7, 132.4, 155.3, 200.1, 276.6, 437.7", \ + " 143.8, 155.2, 179.2, 224.5, 301.2, 462.5", \ + " 172.5, 185.0, 210.8, 257.4, 334.3, 495.9", \ + " 210.5, 224.3, 252.6, 301.8, 380.0, 541.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.7, 55.2, 99.4, 201.1, 384.6, 777.3", \ + " 38.9, 55.3, 99.5, 201.1, 384.6, 777.3", \ + " 39.3, 55.7, 99.6, 201.2, 384.7, 777.3", \ + " 40.8, 56.8, 100.2, 201.4, 384.7, 777.3", \ + " 44.6, 60.3, 102.6, 202.5, 385.0, 777.3", \ + " 48.7, 64.4, 106.3, 204.8, 386.2, 777.5", \ + " 54.3, 69.9, 110.8, 208.0, 388.0, 778.4", \ + " 61.7, 77.4, 117.4, 212.4, 390.7, 779.6", \ + " 72.0, 88.0, 127.6, 219.9, 395.5, 781.9", \ + " 86.2, 102.9, 142.8, 233.2, 404.7, 787.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.1 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.1, 79.7, 117.3, 199.7, 345.1, 653.2", \ + " 71.7, 86.2, 123.8, 206.4, 351.8, 660.0", \ + " 76.2, 90.6, 128.2, 210.9, 356.4, 664.6", \ + " 81.2, 95.4, 132.8, 215.5, 361.1, 669.4", \ + " 87.2, 101.5, 138.7, 221.3, 366.9, 675.2", \ + " 93.1, 107.2, 144.3, 226.7, 372.3, 680.7", \ + " 99.0, 113.0, 149.8, 232.1, 377.5, 685.9", \ + " 103.9, 118.2, 154.8, 236.7, 382.2, 690.3", \ + " 106.8, 121.5, 158.4, 240.4, 385.5, 693.6", \ + " 105.4, 120.9, 158.6, 241.2, 386.7, 694.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.3, 127.7, 225.7, 447.0, 840.0, 1674.9", \ + " 91.4, 127.8, 225.7, 447.0, 840.0, 1674.9", \ + " 91.8, 127.9, 225.7, 447.0, 840.0, 1674.9", \ + " 92.9, 128.7, 225.9, 446.9, 840.0, 1674.9", \ + " 95.2, 130.3, 226.8, 447.2, 840.0, 1674.9", \ + " 97.8, 132.7, 228.0, 447.6, 840.3, 1674.9", \ + " 102.0, 136.0, 230.2, 448.5, 840.7, 1675.2", \ + " 108.2, 141.6, 234.0, 450.4, 841.5, 1675.6", \ + " 117.0, 150.0, 241.1, 454.6, 843.8, 1676.6", \ + " 129.4, 162.2, 252.6, 463.4, 849.5, 1679.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 67.8, 89.3, 133.6, 209.9, 371.1", \ + " 66.3, 75.6, 97.1, 141.5, 217.9, 379.1", \ + " 72.5, 81.8, 103.3, 147.7, 224.2, 385.4", \ + " 80.5, 89.8, 111.4, 155.8, 232.3, 393.6", \ + " 92.3, 102.0, 123.9, 168.3, 244.8, 406.1", \ + " 106.2, 116.2, 138.7, 183.5, 259.9, 421.2", \ + " 124.0, 134.5, 157.4, 202.7, 279.4, 440.5", \ + " 146.7, 157.7, 181.5, 227.1, 304.0, 465.4", \ + " 176.3, 188.1, 213.4, 260.1, 337.1, 498.8", \ + " 215.5, 228.3, 255.7, 304.7, 382.8, 544.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.5, 65.5, 109.3, 210.9, 394.4, 787.0", \ + " 49.7, 65.6, 109.4, 210.9, 394.4, 787.0", \ + " 50.1, 65.9, 109.6, 211.0, 394.4, 787.0", \ + " 51.7, 67.0, 110.1, 211.2, 394.5, 787.1", \ + " 55.7, 70.6, 112.5, 212.3, 394.8, 787.1", \ + " 60.2, 75.0, 116.2, 214.6, 396.0, 787.2", \ + " 66.3, 80.6, 120.8, 217.8, 397.7, 788.2", \ + " 74.3, 88.4, 127.4, 222.3, 400.5, 789.4", \ + " 85.6, 99.7, 137.8, 229.8, 405.3, 791.6", \ + " 100.9, 115.5, 153.4, 243.1, 414.5, 796.9" ); }} +} +} +cell(aoi21a2bv5x05) { /* 2008-01-06:07h24 */ +area : 9 ; /* tracks */ +cell_leakage_power : 751 ; /* aoi21a2bv5x05 */ +cell_footprint : aoi21a2b ; +pin(a1) { /* aoi21a2bv5x05 FO4 effort 1.91 logical effort 1.99 */ +direction : input ; +capacitance : 2.69 ; +rise_capacitance : 2.69 ; +fall_capacitance : 2.69 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21a2bv5x05 */ +} +pin(a2) { /* aoi21a2bv5x05 FO4 effort 2.34 */ +direction : input ; +capacitance : 2.45 ; +rise_capacitance : 2.49 ; +fall_capacitance : 2.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21a2bv5x05 */ +internal_power(a2) { /* aoi21a2bv5x05 7.64 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.82, 3.73, 3.75, 3.82, 4.02, 4.39, 5.05, 6.14, 7.91, 10.74" ); }} +} +pin(b) { /* aoi21a2bv5x05 FO4 effort 1.84 */ +direction : input ; +capacitance : 2.50 ; +rise_capacitance : 2.54 ; +fall_capacitance : 2.45 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21a2bv5x05 */ +internal_power(b) { /* aoi21a2bv5x05 7.77 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.85, 3.76, 3.80, 3.89, 4.12, 4.52, 5.23, 6.38, 8.22, 11.12" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1'+a2)*b)" ; +internal_power(a1_z_n) { /* aoi21a2bv5x05 9.73 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 5.00, 5.01, 5.02, 5.02, 5.01", \ + " 4.88, 4.90, 4.93, 4.94, 4.94", \ + " 4.84, 4.86, 4.89, 4.91, 4.92", \ + " 4.82, 4.84, 4.87, 4.89, 4.90", \ + " 4.85, 4.85, 4.86, 4.88, 4.89", \ + " 4.99, 4.96, 4.93, 4.92, 4.90", \ + " 5.38, 5.30, 5.17, 5.06, 4.99", \ + " 6.20, 6.03, 5.74, 5.46, 5.25", \ + " 7.71, 7.44, 6.93, 6.34, 5.87", \ + " 10.27, 9.89, 9.12, 8.09, 7.18" ); }} +internal_power(a2_z_p) { /* aoi21a2bv5x05 17.08 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.67, 4.72, 4.78, 4.81, 4.82", \ + " 4.65, 4.70, 4.76, 4.80, 4.81", \ + " 4.62, 4.67, 4.74, 4.79, 4.80", \ + " 4.60, 4.65, 4.72, 4.77, 4.79", \ + " 4.58, 4.62, 4.69, 4.75, 4.78", \ + " 4.57, 4.60, 4.66, 4.72, 4.76", \ + " 4.56, 4.59, 4.64, 4.70, 4.74", \ + " 4.57, 4.58, 4.62, 4.67, 4.71", \ + " 4.61, 4.61, 4.62, 4.66, 4.70", \ + " 4.71, 4.68, 4.65, 4.66, 4.69" ); }} +internal_power(b_z_p) { /* aoi21a2bv5x05 12.50 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.27, 2.36, 2.47, 2.53, 2.56", \ + " 2.23, 2.31, 2.43, 2.51, 2.54", \ + " 2.21, 2.28, 2.39, 2.49, 2.53", \ + " 2.20, 2.26, 2.37, 2.46, 2.51", \ + " 2.21, 2.24, 2.33, 2.43, 2.49", \ + " 2.23, 2.24, 2.31, 2.40, 2.46", \ + " 2.27, 2.26, 2.29, 2.36, 2.43", \ + " 2.35, 2.30, 2.29, 2.34, 2.39", \ + " 2.48, 2.39, 2.32, 2.33, 2.36", \ + " 2.71, 2.56, 2.41, 2.35, 2.35" ); }} +timing() { /* ring osc delay aoi21a2bv5x05, path a1 to z 75.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.1 ; */ +/* intrinsic_fall : 55.5 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.40 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.9, 72.2, 109.1, 191.1, 336.2, 644.1", \ + " 65.4, 79.8, 116.9, 199.0, 344.2, 652.2", \ + " 70.8, 85.2, 122.3, 204.5, 349.8, 657.8", \ + " 77.5, 91.9, 129.1, 211.3, 356.6, 664.7", \ + " 86.9, 102.7, 140.2, 222.4, 367.7, 675.9", \ + " 96.9, 114.7, 155.6, 237.9, 383.2, 691.3", \ + " 109.8, 129.7, 175.3, 261.4, 406.5, 714.4", \ + " 127.3, 149.6, 200.1, 294.9, 441.3, 748.6", \ + " 152.5, 177.7, 234.0, 338.2, 494.9, 801.2", \ + " 189.0, 217.7, 281.3, 396.6, 568.3, 882.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 101.3, 139.2, 242.0, 475.8, 893.1, 1780.5", \ + " 101.8, 139.6, 242.2, 475.8, 893.1, 1780.5", \ + " 103.7, 140.8, 242.6, 475.9, 893.1, 1780.5", \ + " 108.1, 144.6, 245.0, 476.5, 893.1, 1780.5", \ + " 117.9, 153.4, 252.1, 480.7, 893.9, 1780.5", \ + " 136.4, 169.6, 265.7, 490.7, 899.5, 1780.7", \ + " 166.1, 201.1, 291.7, 511.0, 913.5, 1786.2", \ + " 209.8, 246.1, 338.8, 548.5, 941.9, 1803.0", \ + " 278.3, 315.2, 411.4, 615.7, 995.6, 1839.9", \ + " 380.4, 418.5, 517.3, 728.6, 1092.2, 1912.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.5, 57.0, 85.5, 146.5, 252.4, 475.6", \ + " 49.4, 61.0, 89.7, 150.7, 256.7, 480.0", \ + " 52.2, 63.8, 92.6, 153.7, 259.7, 483.1", \ + " 55.8, 67.8, 96.6, 157.8, 263.9, 487.3", \ + " 60.6, 73.6, 103.9, 165.3, 271.5, 494.9", \ + " 65.7, 80.1, 113.1, 176.3, 282.5, 506.0", \ + " 70.7, 87.0, 123.7, 192.3, 299.5, 522.9", \ + " 74.4, 93.1, 135.0, 210.9, 324.8, 548.0", \ + " 75.3, 97.2, 145.8, 232.0, 357.1, 586.4", \ + " 71.3, 96.8, 153.6, 253.8, 394.7, 643.2" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.7, 111.2, 182.9, 345.2, 634.9, 1251.4", \ + " 86.4, 112.4, 183.4, 345.3, 634.9, 1251.4", \ + " 89.7, 115.3, 185.4, 346.0, 635.0, 1251.4", \ + " 95.8, 120.6, 189.4, 348.5, 635.7, 1251.4", \ + " 109.9, 133.3, 199.4, 355.2, 639.3, 1251.8", \ + " 130.6, 155.2, 218.2, 368.9, 648.1, 1255.4", \ + " 160.6, 186.6, 251.8, 395.7, 667.3, 1265.8", \ + " 204.1, 231.8, 300.3, 442.6, 703.9, 1289.4", \ + " 267.1, 297.3, 370.3, 518.9, 770.4, 1337.5", \ + " 357.9, 391.9, 471.7, 629.3, 884.5, 1428.5" ); }} +timing() { /* ring osc delay aoi21a2bv5x05, path a2 to z 108.7 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.4 ; */ +/* intrinsic_fall : 103.2 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.3, 98.4, 136.1, 218.4, 363.6, 671.7", \ + " 90.3, 105.3, 143.0, 225.4, 370.7, 678.8", \ + " 95.3, 110.3, 148.0, 230.5, 375.9, 684.0", \ + " 101.0, 116.0, 153.8, 236.3, 381.8, 690.0", \ + " 108.2, 123.3, 161.1, 243.7, 389.3, 697.5", \ + " 115.1, 130.2, 168.2, 250.9, 396.5, 704.9", \ + " 121.8, 137.1, 175.1, 258.1, 403.7, 712.2", \ + " 127.4, 143.2, 181.5, 264.4, 410.3, 718.8", \ + " 130.8, 147.2, 186.2, 269.4, 415.4, 724.0", \ + " 129.6, 147.0, 187.1, 271.1, 417.5, 726.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.4, 115.7, 212.2, 432.2, 824.7, 1659.3", \ + " 80.5, 115.7, 212.3, 432.2, 824.7, 1659.3", \ + " 80.7, 115.9, 212.3, 432.2, 824.7, 1659.3", \ + " 81.0, 116.1, 212.4, 432.2, 824.7, 1659.3", \ + " 82.3, 117.0, 212.9, 432.3, 824.7, 1659.3", \ + " 84.0, 118.4, 213.7, 432.7, 824.8, 1659.3", \ + " 86.3, 120.3, 215.0, 433.3, 825.1, 1659.4", \ + " 90.4, 123.6, 217.1, 434.4, 825.5, 1659.6", \ + " 96.9, 129.3, 221.3, 436.8, 826.6, 1660.0", \ + " 106.8, 138.4, 228.9, 441.8, 829.6, 1661.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.9, 93.6, 122.4, 183.4, 289.4, 512.6", \ + " 89.5, 101.3, 130.1, 191.1, 297.1, 520.4", \ + " 95.6, 107.4, 136.3, 197.3, 303.3, 526.6", \ + " 103.6, 115.4, 144.3, 205.4, 311.4, 534.7", \ + " 116.3, 128.1, 156.9, 218.0, 324.1, 547.4", \ + " 131.2, 143.3, 172.4, 233.4, 339.4, 562.7", \ + " 150.1, 162.3, 191.7, 253.1, 359.1, 582.3", \ + " 174.1, 186.7, 216.4, 277.9, 384.1, 607.3", \ + " 205.6, 218.7, 249.2, 311.0, 417.2, 640.6", \ + " 247.2, 261.2, 293.2, 356.3, 462.8, 686.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.0, 109.4, 175.5, 326.8, 598.6, 1178.6", \ + " 85.0, 109.4, 175.5, 326.8, 598.6, 1178.6", \ + " 85.3, 109.6, 175.6, 326.8, 598.6, 1178.6", \ + " 85.9, 110.1, 175.9, 326.9, 598.7, 1178.6", \ + " 88.5, 112.2, 177.2, 327.4, 598.8, 1178.6", \ + " 92.3, 115.7, 179.9, 329.0, 599.3, 1178.7", \ + " 96.9, 120.1, 183.6, 331.3, 600.5, 1179.1", \ + " 103.6, 126.4, 188.6, 334.6, 602.3, 1179.9", \ + " 113.2, 136.0, 196.8, 340.2, 605.5, 1181.1", \ + " 126.8, 149.9, 210.1, 350.5, 612.1, 1184.2" ); }} +timing() { /* ring osc delay aoi21a2bv5x05, path b to z 82.5 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.2 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.0, 79.6, 117.2, 199.7, 345.0, 653.2", \ + " 71.6, 86.1, 123.7, 206.3, 351.8, 660.0", \ + " 76.2, 90.6, 128.1, 210.8, 356.3, 664.6", \ + " 81.1, 95.4, 132.8, 215.5, 361.1, 669.4", \ + " 87.2, 101.5, 138.7, 221.3, 366.9, 675.3", \ + " 93.2, 107.3, 144.4, 226.8, 372.4, 680.7", \ + " 99.0, 113.1, 149.9, 232.3, 377.7, 686.0", \ + " 104.1, 118.3, 155.0, 236.9, 382.4, 690.5", \ + " 107.0, 121.7, 158.6, 240.6, 385.7, 693.9", \ + " 105.6, 121.2, 158.9, 241.5, 387.0, 694.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.1, 127.5, 225.5, 446.8, 839.8, 1674.7", \ + " 91.2, 127.5, 225.5, 446.7, 839.8, 1674.7", \ + " 91.5, 127.7, 225.5, 446.7, 839.8, 1674.7", \ + " 92.7, 128.5, 225.7, 446.7, 839.8, 1674.7", \ + " 95.0, 130.1, 226.5, 447.0, 839.8, 1674.7", \ + " 97.7, 132.6, 227.8, 447.4, 840.1, 1674.7", \ + " 101.9, 135.9, 230.1, 448.3, 840.5, 1675.0", \ + " 108.1, 141.4, 233.9, 450.2, 841.4, 1675.4", \ + " 116.8, 149.9, 241.0, 454.5, 843.7, 1676.4", \ + " 129.1, 162.0, 252.4, 463.2, 849.4, 1679.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 67.9, 89.4, 133.7, 210.1, 371.3", \ + " 66.3, 75.6, 97.2, 141.6, 218.0, 379.2", \ + " 72.6, 81.9, 103.4, 147.9, 224.3, 385.6", \ + " 80.6, 89.9, 111.5, 155.9, 232.4, 393.7", \ + " 92.4, 102.1, 124.0, 168.5, 245.0, 406.3", \ + " 106.3, 116.4, 138.8, 183.7, 260.1, 421.4", \ + " 124.1, 134.6, 157.6, 202.9, 279.6, 440.8", \ + " 146.8, 157.9, 181.8, 227.4, 304.3, 465.7", \ + " 176.4, 188.3, 213.6, 260.4, 337.4, 499.2", \ + " 215.7, 228.5, 256.0, 305.0, 383.2, 545.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.4, 65.3, 109.1, 210.7, 394.2, 786.8", \ + " 49.5, 65.4, 109.2, 210.7, 394.2, 786.8", \ + " 50.0, 65.7, 109.4, 210.7, 394.2, 786.8", \ + " 51.5, 66.8, 109.9, 211.0, 394.2, 786.8", \ + " 55.5, 70.4, 112.3, 212.1, 394.5, 786.8", \ + " 60.1, 74.8, 116.0, 214.3, 395.7, 787.0", \ + " 66.1, 80.5, 120.7, 217.6, 397.5, 787.9", \ + " 74.2, 88.3, 127.3, 222.1, 400.3, 789.1", \ + " 85.4, 99.5, 137.7, 229.6, 405.1, 791.4", \ + " 100.7, 115.3, 153.3, 242.9, 414.3, 796.6" ); }} +timing() { /* ring osc delay aoi21a2bv5x05, path b to z 70.1 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.2 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.9, 63.0, 90.9, 152.0, 259.7, 488.2", \ + " 58.7, 69.7, 97.6, 158.8, 266.6, 495.1", \ + " 63.6, 74.5, 102.3, 163.5, 271.3, 499.9", \ + " 68.7, 79.6, 107.3, 168.5, 276.4, 505.0", \ + " 74.8, 85.9, 113.7, 174.7, 282.6, 511.2", \ + " 80.8, 92.0, 119.7, 180.8, 288.6, 517.2", \ + " 86.5, 98.0, 125.8, 186.7, 294.5, 523.1", \ + " 91.0, 103.2, 131.4, 192.2, 299.9, 528.4", \ + " 93.1, 106.1, 135.4, 196.6, 304.4, 532.9", \ + " 90.7, 104.7, 135.7, 198.1, 306.8, 535.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.3, 82.8, 155.1, 320.1, 614.6, 1240.5", \ + " 56.5, 82.9, 155.2, 320.1, 614.6, 1240.5", \ + " 57.3, 83.4, 155.3, 320.1, 614.6, 1240.5", \ + " 59.3, 84.9, 156.0, 320.2, 614.6, 1240.5", \ + " 62.6, 87.5, 157.6, 320.9, 614.7, 1240.5", \ + " 66.3, 90.7, 159.8, 321.9, 615.2, 1240.6", \ + " 71.7, 95.5, 163.1, 323.6, 615.9, 1241.1", \ + " 79.2, 102.5, 168.6, 326.8, 617.4, 1241.7", \ + " 89.3, 112.7, 177.5, 332.9, 621.0, 1243.4", \ + " 103.0, 126.8, 191.0, 344.3, 628.8, 1248.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 66.2, 87.3, 130.9, 207.0, 368.2", \ + " 64.7, 73.9, 95.1, 138.8, 214.9, 376.1", \ + " 70.9, 80.1, 101.3, 145.0, 221.2, 382.4", \ + " 78.8, 88.1, 109.3, 153.1, 229.3, 390.5", \ + " 90.4, 100.2, 121.8, 165.6, 241.8, 403.0", \ + " 104.0, 114.2, 136.5, 180.8, 257.0, 418.2", \ + " 121.5, 132.2, 155.2, 199.9, 276.4, 437.5", \ + " 143.6, 155.0, 179.1, 224.4, 301.1, 462.4", \ + " 172.4, 184.9, 210.7, 257.3, 334.1, 495.8", \ + " 210.6, 224.3, 252.6, 301.8, 379.9, 541.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.7, 55.1, 99.3, 200.9, 384.4, 777.0", \ + " 38.9, 55.3, 99.4, 200.9, 384.4, 777.0", \ + " 39.3, 55.7, 99.6, 201.0, 384.4, 777.0", \ + " 40.9, 56.9, 100.2, 201.2, 384.5, 777.0", \ + " 44.8, 60.5, 102.7, 202.3, 384.8, 777.1", \ + " 49.0, 64.7, 106.4, 204.7, 386.0, 777.2", \ + " 54.5, 70.2, 111.1, 208.0, 387.8, 778.2", \ + " 62.0, 77.7, 117.7, 212.5, 390.6, 779.4", \ + " 72.1, 88.3, 128.0, 220.1, 395.5, 781.7", \ + " 86.1, 103.0, 143.2, 233.5, 404.7, 787.0" ); }} +timing() { /* ring osc delay aoi21a2bv5x05, path b to z 76.0 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.2 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 71.7, 109.3, 191.7, 337.0, 645.1", \ + " 63.8, 78.2, 115.8, 198.3, 343.7, 651.9", \ + " 68.5, 82.8, 120.2, 202.8, 348.3, 656.5", \ + " 73.5, 87.7, 124.9, 207.5, 353.1, 661.3", \ + " 79.6, 93.7, 130.9, 213.3, 358.9, 667.2", \ + " 85.5, 99.6, 136.5, 218.9, 364.4, 672.7", \ + " 91.2, 105.5, 142.1, 224.3, 369.7, 678.0", \ + " 95.9, 110.6, 147.2, 229.0, 374.4, 682.5", \ + " 98.3, 113.8, 150.8, 232.7, 377.8, 685.9", \ + " 96.3, 112.8, 151.0, 233.5, 379.0, 686.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.5, 112.7, 210.6, 431.7, 824.7, 1659.3", \ + " 76.7, 112.8, 210.6, 431.7, 824.7, 1659.3", \ + " 77.2, 113.0, 210.6, 431.7, 824.7, 1659.3", \ + " 78.7, 114.0, 210.9, 431.7, 824.7, 1659.3", \ + " 81.5, 116.0, 211.8, 432.0, 824.6, 1659.3", \ + " 84.5, 118.8, 213.3, 432.5, 825.0, 1659.3", \ + " 89.2, 122.5, 215.8, 433.4, 825.4, 1659.6", \ + " 95.9, 128.5, 219.9, 435.4, 826.3, 1660.0", \ + " 105.1, 137.3, 227.3, 439.9, 828.6, 1661.0", \ + " 117.7, 149.9, 239.0, 448.8, 834.4, 1664.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 66.4, 87.6, 131.2, 207.3, 368.4", \ + " 64.9, 74.2, 95.4, 139.1, 215.2, 376.4", \ + " 71.1, 80.4, 101.6, 145.4, 221.5, 382.7", \ + " 79.1, 88.4, 109.7, 153.4, 229.6, 390.8", \ + " 90.7, 100.5, 122.1, 166.0, 242.2, 403.4", \ + " 104.4, 114.6, 136.9, 181.2, 257.4, 418.6", \ + " 121.8, 132.5, 155.5, 200.3, 276.8, 437.9", \ + " 143.9, 155.4, 179.5, 224.8, 301.5, 462.8", \ + " 172.6, 185.2, 211.0, 257.7, 334.6, 496.3", \ + " 210.7, 224.5, 252.9, 302.2, 380.4, 542.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.5, 55.0, 99.2, 200.9, 384.4, 777.0", \ + " 38.7, 55.1, 99.3, 200.9, 384.4, 777.0", \ + " 39.1, 55.5, 99.4, 200.9, 384.4, 777.0", \ + " 40.6, 56.6, 100.0, 201.1, 384.5, 777.0", \ + " 44.4, 60.1, 102.4, 202.3, 384.7, 777.1", \ + " 48.6, 64.3, 106.1, 204.5, 385.9, 777.2", \ + " 54.1, 69.7, 110.7, 207.8, 387.8, 778.2", \ + " 61.5, 77.2, 117.2, 212.3, 390.5, 779.4", \ + " 71.7, 87.9, 127.4, 219.8, 395.3, 781.6", \ + " 85.9, 102.7, 142.7, 233.0, 404.5, 786.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 80.2 ; */ +/* rise_resistance : 6.69 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.0, 79.6, 117.2, 199.7, 345.0, 653.2", \ + " 71.6, 86.1, 123.7, 206.3, 351.8, 660.0", \ + " 76.2, 90.6, 128.1, 210.8, 356.3, 664.6", \ + " 81.1, 95.4, 132.8, 215.5, 361.1, 669.4", \ + " 87.2, 101.5, 138.7, 221.3, 366.9, 675.3", \ + " 93.2, 107.3, 144.4, 226.8, 372.4, 680.7", \ + " 99.0, 113.1, 149.9, 232.3, 377.7, 686.0", \ + " 104.1, 118.3, 155.0, 236.9, 382.4, 690.5", \ + " 107.0, 121.7, 158.6, 240.6, 385.7, 693.9", \ + " 105.6, 121.2, 158.9, 241.5, 387.0, 694.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.1, 127.5, 225.5, 446.8, 839.8, 1674.7", \ + " 91.2, 127.5, 225.5, 446.7, 839.8, 1674.7", \ + " 91.5, 127.7, 225.5, 446.7, 839.8, 1674.7", \ + " 92.7, 128.5, 225.7, 446.7, 839.8, 1674.7", \ + " 95.0, 130.1, 226.5, 447.0, 839.8, 1674.7", \ + " 97.7, 132.6, 227.8, 447.4, 840.1, 1674.7", \ + " 101.9, 135.9, 230.1, 448.3, 840.5, 1675.0", \ + " 108.1, 141.4, 233.9, 450.2, 841.4, 1675.4", \ + " 116.8, 149.9, 241.0, 454.5, 843.7, 1676.4", \ + " 129.1, 162.0, 252.4, 463.2, 849.4, 1679.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 67.9, 89.4, 133.7, 210.1, 371.3", \ + " 66.3, 75.6, 97.2, 141.6, 218.0, 379.2", \ + " 72.6, 81.9, 103.4, 147.9, 224.3, 385.6", \ + " 80.6, 89.9, 111.5, 155.9, 232.4, 393.7", \ + " 92.4, 102.1, 124.0, 168.5, 245.0, 406.3", \ + " 106.3, 116.4, 138.8, 183.7, 260.1, 421.4", \ + " 124.1, 134.6, 157.6, 202.9, 279.6, 440.8", \ + " 146.8, 157.9, 181.8, 227.4, 304.3, 465.7", \ + " 176.4, 188.3, 213.6, 260.4, 337.4, 499.2", \ + " 215.7, 228.5, 256.0, 305.0, 383.2, 545.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.4, 65.3, 109.1, 210.7, 394.2, 786.8", \ + " 49.5, 65.4, 109.2, 210.7, 394.2, 786.8", \ + " 50.0, 65.7, 109.4, 210.7, 394.2, 786.8", \ + " 51.5, 66.8, 109.9, 211.0, 394.2, 786.8", \ + " 55.5, 70.4, 112.3, 212.1, 394.5, 786.8", \ + " 60.1, 74.8, 116.0, 214.3, 395.7, 787.0", \ + " 66.1, 80.5, 120.7, 217.6, 397.5, 787.9", \ + " 74.2, 88.3, 127.3, 222.1, 400.3, 789.1", \ + " 85.4, 99.5, 137.7, 229.6, 405.1, 791.4", \ + " 100.7, 115.3, 153.3, 242.9, 414.3, 796.6" ); }} +} +} +cell(aoi21bv0x05) { /* 2008-01-06:07h24 */ +area : 7 ; /* tracks */ +cell_leakage_power : 543 ; /* aoi21bv0x05 */ +cell_footprint : aoi21b ; +pin(a1) { /* aoi21bv0x05 FO4 effort 1.93 logical effort 2.05 */ +direction : input ; +capacitance : 2.78 ; +rise_capacitance : 2.77 ; +fall_capacitance : 2.78 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi21bv0x05 */ +} +pin(a2) { /* aoi21bv0x05 FO4 effort 1.93 logical effort 2.11 */ +direction : input ; +capacitance : 2.86 ; +rise_capacitance : 2.93 ; +fall_capacitance : 2.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi21bv0x05 */ +} +pin(b) { /* aoi21bv0x05 FO4 effort 1.83 */ +direction : input ; +capacitance : 2.38 ; +rise_capacitance : 2.42 ; +fall_capacitance : 2.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi21bv0x05 */ +internal_power(b) { /* aoi21bv0x05 7.79 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.86, 3.78, 3.81, 3.89, 4.12, 4.52, 5.22, 6.35, 8.18, 11.08" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1*a2)+b')'" ; +internal_power(a1_z_n) { /* aoi21bv0x05 9.80 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 5.04, 5.05, 5.06, 5.06, 5.05", \ + " 4.91, 4.94, 4.96, 4.98, 4.97", \ + " 4.87, 4.89, 4.93, 4.95, 4.95", \ + " 4.85, 4.87, 4.90, 4.93, 4.93", \ + " 4.88, 4.88, 4.89, 4.91, 4.92", \ + " 5.02, 5.00, 4.97, 4.95, 4.94", \ + " 5.42, 5.33, 5.21, 5.10, 5.03", \ + " 6.24, 6.07, 5.79, 5.50, 5.29", \ + " 7.75, 7.48, 6.98, 6.38, 5.92", \ + " 10.31, 9.93, 9.17, 8.14, 7.23" ); }} +internal_power(a2_z_n) { /* aoi21bv0x05 8.62 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.43, 4.47, 4.50, 4.51, 4.51", \ + " 4.28, 4.33, 4.39, 4.43, 4.44", \ + " 4.24, 4.28, 4.34, 4.40, 4.42", \ + " 4.23, 4.26, 4.31, 4.37, 4.40", \ + " 4.28, 4.29, 4.31, 4.35, 4.38", \ + " 4.47, 4.43, 4.39, 4.38, 4.39", \ + " 4.89, 4.79, 4.66, 4.54, 4.48", \ + " 5.72, 5.54, 5.24, 4.94, 4.74", \ + " 7.20, 6.92, 6.41, 5.81, 5.35", \ + " 9.67, 9.28, 8.51, 7.50, 6.63" ); }} +internal_power(b_z_p) { /* aoi21bv0x05 12.78 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.41, 2.49, 2.59, 2.65, 2.67", \ + " 2.38, 2.45, 2.55, 2.63, 2.66", \ + " 2.36, 2.42, 2.52, 2.61, 2.65", \ + " 2.35, 2.40, 2.50, 2.58, 2.63", \ + " 2.35, 2.39, 2.47, 2.55, 2.61", \ + " 2.37, 2.39, 2.44, 2.53, 2.58", \ + " 2.42, 2.40, 2.43, 2.50, 2.55", \ + " 2.49, 2.45, 2.44, 2.47, 2.52", \ + " 2.62, 2.54, 2.47, 2.46, 2.49", \ + " 2.85, 2.71, 2.57, 2.49, 2.49" ); }} +timing() { /* ring osc delay aoi21bv0x05, path a1 to z 75.0 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.4 ; */ +/* intrinsic_fall : 54.8 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 72.8, 109.5, 191.4, 336.4, 644.4", \ + " 66.1, 80.3, 117.3, 199.3, 344.4, 652.4", \ + " 71.4, 85.7, 122.6, 204.7, 349.9, 657.9", \ + " 78.0, 92.3, 129.3, 211.4, 356.6, 664.7", \ + " 87.4, 103.0, 140.3, 222.4, 367.7, 675.8", \ + " 97.5, 115.1, 155.8, 237.9, 383.2, 691.3", \ + " 110.6, 130.2, 175.5, 261.5, 406.4, 714.3", \ + " 128.3, 150.3, 200.6, 295.0, 441.3, 748.6", \ + " 153.9, 178.8, 234.7, 338.6, 495.0, 801.2", \ + " 190.7, 219.1, 282.2, 397.1, 568.6, 882.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.1, 140.3, 243.5, 477.6, 895.0, 1782.5", \ + " 102.7, 140.7, 243.7, 477.6, 895.0, 1782.5", \ + " 104.6, 141.9, 244.2, 477.7, 895.0, 1782.5", \ + " 109.0, 145.8, 246.6, 478.3, 895.1, 1782.5", \ + " 118.9, 154.7, 253.7, 482.6, 895.9, 1782.5", \ + " 137.7, 171.2, 267.5, 492.7, 901.5, 1782.8", \ + " 167.9, 202.9, 293.7, 513.1, 915.6, 1788.3", \ + " 212.1, 248.4, 341.0, 550.7, 944.0, 1805.1", \ + " 281.0, 317.9, 413.9, 618.0, 997.8, 1842.1", \ + " 382.9, 421.1, 519.9, 731.0, 1094.6, 1915.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 55.6, 83.8, 144.4, 250.2, 473.3", \ + " 48.5, 59.9, 88.2, 149.0, 254.8, 477.9", \ + " 51.7, 63.0, 91.3, 152.2, 258.0, 481.2", \ + " 55.5, 67.2, 95.6, 156.5, 262.4, 485.6", \ + " 60.7, 73.4, 103.2, 164.2, 270.1, 493.3", \ + " 66.2, 80.2, 112.7, 175.4, 281.3, 504.5", \ + " 71.3, 87.4, 123.7, 191.7, 298.5, 521.5", \ + " 75.2, 93.7, 135.2, 210.7, 324.0, 546.8", \ + " 76.3, 97.9, 146.1, 232.0, 356.6, 585.5", \ + " 72.4, 97.6, 154.1, 254.0, 394.5, 642.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 82.9, 109.3, 181.0, 343.5, 633.3, 1249.9", \ + " 84.8, 110.8, 181.6, 343.5, 633.3, 1249.9", \ + " 88.4, 113.8, 183.7, 344.4, 633.4, 1249.9", \ + " 94.9, 119.5, 187.9, 346.9, 634.1, 1249.9", \ + " 109.4, 132.6, 198.3, 353.7, 637.8, 1250.3", \ + " 130.5, 154.8, 217.5, 367.7, 646.7, 1253.9", \ + " 160.9, 186.7, 251.4, 394.8, 666.1, 1264.4", \ + " 204.7, 232.2, 300.4, 442.2, 702.9, 1288.1", \ + " 267.9, 297.9, 370.6, 518.9, 769.8, 1336.4", \ + " 358.8, 392.7, 472.3, 629.6, 884.4, 1427.7" ); }} +timing() { /* ring osc delay aoi21bv0x05, path a2 to z 71.1 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.2 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.0, 66.5, 103.6, 185.6, 330.7, 638.7", \ + " 58.8, 73.5, 111.0, 193.3, 338.7, 646.8", \ + " 63.9, 78.5, 116.0, 198.6, 344.1, 652.3", \ + " 70.1, 84.9, 122.4, 205.1, 350.7, 659.0", \ + " 78.2, 95.0, 133.2, 215.8, 361.6, 670.0", \ + " 87.0, 105.8, 148.2, 231.1, 376.8, 685.3", \ + " 98.1, 119.4, 166.8, 254.4, 399.8, 708.1", \ + " 112.6, 137.1, 190.2, 287.0, 434.4, 742.2", \ + " 132.8, 161.1, 221.4, 328.8, 487.4, 794.4", \ + " 161.3, 194.2, 263.6, 384.1, 559.0, 875.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.1, 125.2, 228.3, 462.3, 879.5, 1766.7", \ + " 88.0, 125.7, 228.5, 462.3, 879.5, 1766.7", \ + " 90.2, 127.0, 229.0, 462.4, 879.6, 1766.7", \ + " 95.1, 131.2, 231.5, 463.0, 879.6, 1766.7", \ + " 106.0, 140.7, 238.9, 467.2, 880.3, 1766.7", \ + " 126.5, 158.0, 252.9, 477.4, 885.8, 1767.0", \ + " 154.6, 189.9, 279.6, 498.0, 900.0, 1772.4", \ + " 197.6, 233.9, 327.8, 535.8, 928.5, 1789.1", \ + " 265.5, 301.9, 398.6, 603.3, 982.5, 1826.1", \ + " 366.7, 404.1, 502.5, 715.7, 1079.2, 1899.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.7, 52.0, 80.2, 140.8, 246.6, 469.8", \ + " 46.2, 57.6, 85.9, 146.7, 252.5, 475.7", \ + " 51.1, 62.3, 90.6, 151.3, 257.2, 480.4", \ + " 57.5, 68.9, 97.0, 157.7, 263.6, 486.7", \ + " 65.6, 79.2, 108.7, 169.2, 274.9, 498.1", \ + " 73.6, 89.4, 124.1, 186.1, 291.5, 514.4", \ + " 81.6, 99.9, 140.5, 211.5, 316.9, 539.4", \ + " 89.1, 110.1, 157.2, 240.6, 355.0, 576.5", \ + " 95.4, 119.7, 174.1, 271.4, 406.1, 633.3", \ + " 99.0, 127.2, 190.3, 303.2, 461.5, 720.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.1, 107.1, 177.2, 336.0, 619.3, 1222.0", \ + " 83.9, 108.8, 177.4, 336.0, 619.3, 1222.0", \ + " 89.6, 113.6, 180.4, 336.3, 619.3, 1222.0", \ + " 99.0, 122.1, 186.8, 339.5, 619.4, 1222.0", \ + " 117.4, 139.6, 201.6, 349.4, 623.4, 1222.0", \ + " 141.4, 167.0, 226.7, 369.0, 635.8, 1224.3", \ + " 173.1, 201.5, 267.1, 404.6, 662.4, 1237.8", \ + " 217.2, 248.7, 322.0, 462.3, 711.1, 1270.3", \ + " 279.8, 315.4, 396.5, 550.5, 794.6, 1334.6", \ + " 369.3, 410.4, 501.7, 671.5, 928.0, 1451.8" ); }} +timing() { /* ring osc delay aoi21bv0x05, path b to z 71.1 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 6.68 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 64.3, 92.1, 153.1, 260.8, 489.3", \ + " 60.1, 71.1, 98.9, 160.0, 267.7, 496.3", \ + " 65.0, 75.9, 103.6, 164.8, 272.6, 501.1", \ + " 70.4, 81.2, 108.8, 169.9, 277.8, 506.3", \ + " 76.7, 87.8, 115.5, 176.4, 284.3, 512.8", \ + " 82.9, 94.1, 121.7, 182.8, 290.5, 519.1", \ + " 88.8, 100.4, 128.1, 188.9, 296.7, 525.2", \ + " 93.6, 105.7, 134.0, 194.7, 302.4, 530.9", \ + " 96.0, 109.0, 138.3, 199.5, 307.2, 535.7", \ + " 93.9, 107.9, 138.9, 201.4, 310.0, 538.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 84.5, 156.7, 321.6, 616.0, 1241.9", \ + " 58.3, 84.6, 156.8, 321.6, 616.0, 1241.9", \ + " 59.0, 85.1, 156.9, 321.6, 616.0, 1241.9", \ + " 60.9, 86.5, 157.6, 321.7, 616.0, 1241.9", \ + " 64.2, 89.1, 159.1, 322.4, 616.1, 1241.9", \ + " 67.8, 92.3, 161.4, 323.4, 616.6, 1242.0", \ + " 73.2, 97.1, 164.7, 325.1, 617.3, 1242.5", \ + " 80.5, 104.0, 170.2, 328.4, 618.8, 1243.1", \ + " 90.5, 114.0, 179.0, 334.4, 622.4, 1244.7", \ + " 103.9, 128.0, 192.4, 345.7, 630.1, 1249.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 67.7, 88.8, 132.4, 208.4, 369.6", \ + " 66.2, 75.4, 96.5, 140.2, 216.3, 377.4", \ + " 72.4, 81.6, 102.7, 146.5, 222.6, 383.8", \ + " 80.4, 89.6, 110.8, 154.6, 230.7, 391.9", \ + " 92.2, 101.9, 123.5, 167.3, 243.5, 404.7", \ + " 106.0, 116.1, 138.4, 182.7, 258.9, 420.0", \ + " 123.6, 134.3, 157.3, 202.1, 278.6, 439.7", \ + " 145.9, 157.3, 181.4, 226.8, 303.5, 464.8", \ + " 175.0, 187.4, 213.3, 260.0, 336.9, 498.6", \ + " 213.4, 227.1, 255.5, 304.8, 383.0, 544.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 39.9, 56.4, 100.4, 201.9, 385.2, 777.8", \ + " 40.1, 56.5, 100.5, 201.9, 385.3, 777.8", \ + " 40.4, 56.9, 100.7, 202.0, 385.3, 777.8", \ + " 42.0, 58.0, 101.3, 202.2, 385.3, 777.8", \ + " 45.8, 61.5, 103.7, 203.3, 385.6, 777.9", \ + " 50.0, 65.8, 107.5, 205.7, 386.9, 778.0", \ + " 55.5, 71.2, 112.2, 209.1, 388.7, 779.0", \ + " 62.8, 78.7, 118.8, 213.7, 391.5, 780.2", \ + " 72.8, 89.2, 129.0, 221.3, 396.5, 782.5", \ + " 86.6, 103.7, 144.2, 234.5, 405.7, 787.7" ); }} +timing() { /* ring osc delay aoi21bv0x05, path b to z 83.9 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 6.68 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.9, 81.3, 118.7, 201.0, 346.3, 654.4", \ + " 73.5, 87.9, 125.2, 207.7, 353.1, 661.2", \ + " 78.2, 92.5, 129.8, 212.3, 357.7, 665.9", \ + " 83.3, 97.5, 134.7, 217.2, 362.7, 670.9", \ + " 89.6, 103.8, 140.8, 223.2, 368.7, 677.0", \ + " 95.8, 109.8, 146.8, 229.0, 374.5, 682.8", \ + " 101.9, 115.9, 152.5, 234.8, 380.1, 688.3", \ + " 107.1, 121.3, 157.9, 239.7, 385.1, 693.2", \ + " 110.3, 125.0, 161.9, 243.7, 388.8, 696.8", \ + " 109.3, 124.8, 162.5, 245.0, 390.4, 698.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.9, 129.3, 227.4, 448.6, 841.7, 1676.6", \ + " 93.0, 129.4, 227.4, 448.6, 841.7, 1676.6", \ + " 93.3, 129.5, 227.4, 448.6, 841.7, 1676.6", \ + " 94.5, 130.3, 227.6, 448.6, 841.7, 1676.6", \ + " 96.7, 132.0, 228.4, 448.8, 841.7, 1676.6", \ + " 99.4, 134.4, 229.8, 449.3, 842.0, 1676.6", \ + " 103.4, 137.6, 232.0, 450.2, 842.4, 1676.9", \ + " 109.5, 143.1, 235.8, 452.1, 843.2, 1677.3", \ + " 118.2, 151.4, 242.8, 456.3, 845.5, 1678.3", \ + " 130.3, 163.5, 254.1, 465.0, 851.1, 1681.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.4, 69.7, 91.2, 135.3, 211.5, 372.7", \ + " 68.2, 77.5, 98.9, 143.2, 219.4, 380.6", \ + " 74.4, 83.7, 105.2, 149.5, 225.8, 387.0", \ + " 82.5, 91.8, 113.3, 157.6, 233.9, 395.2", \ + " 94.6, 104.2, 126.0, 170.3, 246.7, 407.9", \ + " 108.7, 118.7, 141.1, 185.8, 262.1, 423.3", \ + " 126.7, 137.1, 160.1, 205.2, 281.8, 443.0", \ + " 149.6, 160.6, 184.4, 230.0, 306.8, 468.1", \ + " 179.5, 191.3, 216.5, 263.2, 340.2, 501.9", \ + " 219.0, 231.8, 259.2, 308.2, 386.3, 548.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.6, 66.5, 110.2, 211.6, 395.0, 787.5", \ + " 50.8, 66.6, 110.3, 211.6, 395.0, 787.5", \ + " 51.2, 66.9, 110.5, 211.7, 395.0, 787.5", \ + " 52.6, 68.0, 111.0, 211.9, 395.0, 787.5", \ + " 56.5, 71.4, 113.4, 213.0, 395.3, 787.6", \ + " 61.1, 75.9, 117.1, 215.3, 396.5, 787.7", \ + " 67.1, 81.5, 121.8, 218.6, 398.4, 788.7", \ + " 75.0, 89.3, 128.4, 223.2, 401.2, 789.9", \ + " 86.1, 100.4, 138.7, 230.7, 406.0, 792.2", \ + " 101.1, 116.0, 154.2, 243.9, 415.2, 797.4" ); }} +timing() { /* ring osc delay aoi21bv0x05, path b to z 77.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 6.68 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 73.2, 110.7, 193.0, 338.2, 646.3", \ + " 65.4, 79.8, 117.2, 199.7, 345.0, 653.1", \ + " 70.2, 84.5, 121.8, 204.3, 349.7, 657.9", \ + " 75.4, 89.5, 126.7, 209.1, 354.6, 662.8", \ + " 81.7, 95.9, 132.9, 215.2, 360.7, 668.9", \ + " 87.8, 101.9, 138.8, 221.0, 366.4, 674.7", \ + " 93.8, 108.1, 144.6, 226.7, 372.0, 680.3", \ + " 98.7, 113.4, 150.0, 231.7, 377.0, 685.1", \ + " 101.5, 116.9, 154.0, 235.7, 380.7, 688.8", \ + " 99.8, 116.2, 154.5, 237.0, 382.4, 690.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 78.6, 114.7, 212.4, 433.3, 826.2, 1660.8", \ + " 78.8, 114.8, 212.4, 433.3, 826.2, 1660.8", \ + " 79.2, 115.0, 212.4, 433.3, 826.2, 1660.8", \ + " 80.7, 116.0, 212.7, 433.3, 826.2, 1660.8", \ + " 83.4, 118.0, 213.7, 433.6, 826.2, 1660.8", \ + " 86.4, 120.8, 215.1, 434.1, 826.5, 1660.8", \ + " 91.1, 124.4, 217.7, 435.1, 826.9, 1661.1", \ + " 97.6, 130.3, 221.7, 437.1, 827.8, 1661.5", \ + " 106.7, 139.1, 229.1, 441.5, 830.1, 1662.5", \ + " 119.1, 151.5, 240.7, 450.5, 835.8, 1665.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 67.9, 89.0, 132.6, 208.7, 369.8", \ + " 66.4, 75.7, 96.8, 140.5, 216.6, 377.7", \ + " 72.7, 81.9, 103.1, 146.8, 222.9, 384.1", \ + " 80.7, 89.9, 111.2, 154.9, 231.1, 392.3", \ + " 92.5, 102.2, 123.8, 167.6, 243.8, 405.0", \ + " 106.3, 116.5, 138.8, 183.1, 259.3, 420.4", \ + " 123.9, 134.6, 157.7, 202.5, 279.0, 440.1", \ + " 146.2, 157.7, 181.8, 227.2, 304.0, 465.3", \ + " 175.2, 187.7, 213.6, 260.4, 337.3, 499.1", \ + " 213.5, 227.3, 255.8, 305.2, 383.4, 545.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 39.7, 56.2, 100.4, 201.9, 385.3, 777.8", \ + " 39.9, 56.3, 100.4, 201.9, 385.3, 777.8", \ + " 40.2, 56.6, 100.6, 201.9, 385.3, 777.8", \ + " 41.6, 57.7, 101.1, 202.1, 385.3, 777.8", \ + " 45.4, 61.1, 103.5, 203.2, 385.6, 777.9", \ + " 49.5, 65.3, 107.2, 205.6, 386.8, 778.0", \ + " 55.0, 70.7, 111.8, 208.9, 388.7, 779.0", \ + " 62.3, 78.1, 118.4, 213.4, 391.4, 780.2", \ + " 72.4, 88.7, 128.5, 220.9, 396.3, 782.5", \ + " 86.4, 103.3, 143.6, 234.1, 405.4, 787.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 6.68 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.9, 81.3, 118.7, 201.0, 346.3, 654.4", \ + " 73.5, 87.9, 125.2, 207.7, 353.1, 661.2", \ + " 78.2, 92.5, 129.8, 212.3, 357.7, 665.9", \ + " 83.3, 97.5, 134.7, 217.2, 362.7, 670.9", \ + " 89.6, 103.8, 140.8, 223.2, 368.7, 677.0", \ + " 95.8, 109.8, 146.8, 229.0, 374.5, 682.8", \ + " 101.9, 115.9, 152.5, 234.8, 380.1, 688.3", \ + " 107.1, 121.3, 157.9, 239.7, 385.1, 693.2", \ + " 110.3, 125.0, 161.9, 243.7, 388.8, 696.8", \ + " 109.3, 124.8, 162.5, 245.0, 390.4, 698.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.9, 129.3, 227.4, 448.6, 841.7, 1676.6", \ + " 93.0, 129.4, 227.4, 448.6, 841.7, 1676.6", \ + " 93.3, 129.5, 227.4, 448.6, 841.7, 1676.6", \ + " 94.5, 130.3, 227.6, 448.6, 841.7, 1676.6", \ + " 96.7, 132.0, 228.4, 448.8, 841.7, 1676.6", \ + " 99.4, 134.4, 229.8, 449.3, 842.0, 1676.6", \ + " 103.4, 137.6, 232.0, 450.2, 842.4, 1676.9", \ + " 109.5, 143.1, 235.8, 452.1, 843.2, 1677.3", \ + " 118.2, 151.4, 242.8, 456.3, 845.5, 1678.3", \ + " 130.3, 163.5, 254.1, 465.0, 851.1, 1681.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.4, 69.7, 91.2, 135.3, 211.5, 372.7", \ + " 68.2, 77.5, 98.9, 143.2, 219.4, 380.6", \ + " 74.4, 83.7, 105.2, 149.5, 225.8, 387.0", \ + " 82.5, 91.8, 113.3, 157.6, 233.9, 395.2", \ + " 94.6, 104.2, 126.0, 170.3, 246.7, 407.9", \ + " 108.7, 118.7, 141.1, 185.8, 262.1, 423.3", \ + " 126.7, 137.1, 160.1, 205.2, 281.8, 443.0", \ + " 149.6, 160.6, 184.4, 230.0, 306.8, 468.1", \ + " 179.5, 191.3, 216.5, 263.2, 340.2, 501.9", \ + " 219.0, 231.8, 259.2, 308.2, 386.3, 548.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.6, 66.5, 110.2, 211.6, 395.0, 787.5", \ + " 50.8, 66.6, 110.3, 211.6, 395.0, 787.5", \ + " 51.2, 66.9, 110.5, 211.7, 395.0, 787.5", \ + " 52.6, 68.0, 111.0, 211.9, 395.0, 787.5", \ + " 56.5, 71.4, 113.4, 213.0, 395.3, 787.6", \ + " 61.1, 75.9, 117.1, 215.3, 396.5, 787.7", \ + " 67.1, 81.5, 121.8, 218.6, 398.4, 788.7", \ + " 75.0, 89.3, 128.4, 223.2, 401.2, 789.9", \ + " 86.1, 100.4, 138.7, 230.7, 406.0, 792.2", \ + " 101.1, 116.0, 154.2, 243.9, 415.2, 797.4" ); }} +} +} +cell(aoi211v0x05) { /* 2008-01-06:07h24 characteristic delay 20.6 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 566 ; /* aoi211v0x05 */ +cell_footprint : aoi211 ; +pin(a1) { /* aoi211v0x05 FO4 effort 2.52 logical effort 2.62 */ +direction : input ; +capacitance : 4.21 ; +rise_capacitance : 4.25 ; +fall_capacitance : 4.16 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi211v0x05 */ +} +pin(a2) { /* aoi211v0x05 FO4 effort 2.44 logical effort 2.57 */ +direction : input ; +capacitance : 4.12 ; +rise_capacitance : 4.29 ; +fall_capacitance : 3.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi211v0x05 */ +} +pin(b) { /* aoi211v0x05 FO4 effort 2.07 logical effort 2.23 */ +direction : input ; +capacitance : 3.91 ; +rise_capacitance : 3.83 ; +fall_capacitance : 3.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi211v0x05 */ +} +pin(c) { /* aoi211v0x05 FO4 effort 1.82 logical effort 2.19 */ +direction : input ; +capacitance : 3.85 ; +rise_capacitance : 3.53 ; +fall_capacitance : 4.16 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of aoi211v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 65 ; +max_fanout : 2 ; +function : "((a1*a2)+b+c)'" ; +internal_power(a1_z_n) { /* aoi211v0x05 19.76 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_65_5x10) { +values( " 10.17, 10.17, 10.18, 10.18, 10.17", \ + " 9.97, 9.98, 10.00, 10.02, 10.02", \ + " 9.90, 9.91, 9.94, 9.96, 9.97", \ + " 9.84, 9.85, 9.88, 9.91, 9.93", \ + " 9.81, 9.82, 9.84, 9.86, 9.88", \ + " 9.89, 9.88, 9.87, 9.88, 9.88", \ + " 10.20, 10.17, 10.10, 10.03, 9.98", \ + " 11.02, 10.93, 10.76, 10.53, 10.33", \ + " 12.81, 12.64, 12.28, 11.76, 11.27", \ + " 16.14, 15.87, 15.28, 14.33, 13.33" ); }} +internal_power(a2_z_n) { /* aoi211v0x05 18.30 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_65_5x10) { +values( " 9.44, 9.46, 9.48, 9.50, 9.50", \ + " 9.22, 9.24, 9.29, 9.33, 9.35", \ + " 9.14, 9.16, 9.21, 9.26, 9.29", \ + " 9.09, 9.11, 9.15, 9.20, 9.24", \ + " 9.08, 9.09, 9.11, 9.16, 9.19", \ + " 9.19, 9.18, 9.17, 9.17, 9.19", \ + " 9.55, 9.51, 9.43, 9.35, 9.29", \ + " 10.39, 10.29, 10.10, 9.86, 9.65", \ + " 12.13, 11.96, 11.59, 11.07, 10.58", \ + " 15.30, 15.03, 14.44, 13.52, 12.56" ); }} +internal_power(b_z_n) { /* aoi211v0x05 12.29 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 6.30, 6.32, 6.34, 6.36, 6.36", \ + " 6.15, 6.18, 6.23, 6.28, 6.31", \ + " 6.10, 6.12, 6.18, 6.24, 6.29", \ + " 6.07, 6.09, 6.14, 6.21, 6.26", \ + " 6.12, 6.13, 6.15, 6.20, 6.24", \ + " 6.34, 6.31, 6.28, 6.27, 6.28", \ + " 6.86, 6.79, 6.66, 6.53, 6.44", \ + " 7.89, 7.75, 7.49, 7.15, 6.88", \ + " 9.72, 9.50, 9.06, 8.43, 7.85", \ + " 12.75, 12.45, 11.81, 10.81, 9.77" ); }} +internal_power(c_z_n) { /* aoi211v0x05 8.16 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_65_5x10) { +values( " 4.07, 4.14, 4.25, 4.33, 4.37", \ + " 3.90, 3.98, 4.12, 4.26, 4.36", \ + " 3.90, 3.96, 4.08, 4.23, 4.34", \ + " 3.97, 4.00, 4.08, 4.21, 4.32", \ + " 4.20, 4.18, 4.19, 4.24, 4.33", \ + " 4.64, 4.57, 4.47, 4.41, 4.42", \ + " 5.44, 5.30, 5.07, 4.83, 4.68", \ + " 6.77, 6.55, 6.14, 5.65, 5.27", \ + " 8.92, 8.61, 7.99, 7.17, 6.44", \ + " 12.36, 11.96, 11.10, 9.84, 8.61" ); }} +timing() { /* ring osc delay aoi211v0x05, path a1 to z 113.6 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 99.8 ; */ +/* intrinsic_fall : 71.1 ; */ +/* rise_resistance : 6.27 ; */ +/* fall_resistance : 4.43 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.2, 92.9, 118.3, 174.6, 274.2, 485.5", \ + " 90.6, 100.4, 125.9, 182.4, 282.2, 493.5", \ + " 95.9, 105.7, 131.2, 187.8, 287.6, 499.1", \ + " 102.3, 112.0, 137.6, 194.2, 294.1, 505.6", \ + " 111.8, 121.7, 147.4, 204.0, 303.9, 515.5", \ + " 121.4, 132.3, 159.5, 216.3, 316.2, 527.8", \ + " 131.7, 143.6, 173.1, 233.4, 333.2, 544.6", \ + " 144.4, 157.5, 189.5, 254.1, 358.1, 568.8", \ + " 161.9, 176.7, 212.1, 281.7, 392.3, 606.3", \ + " 187.8, 204.6, 244.5, 321.0, 438.9, 664.3" ); } +rise_transition(x05_65_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 142.6, 169.1, 240.8, 403.5, 694.4, 1314.0", \ + " 143.0, 169.5, 241.0, 403.6, 694.4, 1314.0", \ + " 143.5, 169.9, 241.2, 403.7, 694.4, 1314.0", \ + " 145.3, 171.3, 242.2, 404.2, 694.6, 1314.0", \ + " 151.5, 177.2, 247.0, 407.3, 695.8, 1314.1", \ + " 163.2, 187.9, 256.4, 414.9, 701.3, 1316.2", \ + " 187.9, 210.4, 275.2, 430.2, 713.4, 1324.0", \ + " 225.0, 249.0, 313.4, 460.8, 738.1, 1341.6", \ + " 287.9, 311.4, 375.9, 521.7, 787.5, 1378.7", \ + " 395.4, 417.6, 479.7, 624.9, 882.4, 1453.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.9, 67.6, 87.1, 127.8, 196.5, 337.9", \ + " 63.8, 71.6, 91.1, 131.9, 200.5, 342.0", \ + " 66.8, 74.5, 94.0, 134.8, 203.5, 345.1", \ + " 71.1, 78.7, 98.2, 139.0, 207.7, 349.3", \ + " 78.4, 86.3, 105.8, 146.5, 215.2, 356.8", \ + " 86.7, 95.2, 116.1, 157.7, 226.4, 367.9", \ + " 96.3, 105.7, 128.4, 173.3, 243.6, 385.0", \ + " 106.2, 116.8, 142.1, 191.5, 267.4, 410.6", \ + " 115.6, 127.7, 156.7, 212.4, 296.1, 449.0", \ + " 123.2, 137.0, 170.6, 234.7, 329.4, 497.6" ); } +fall_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 128.8, 144.9, 189.1, 289.8, 469.4, 851.9", \ + " 129.4, 145.2, 189.0, 289.5, 469.2, 851.9", \ + " 132.0, 147.5, 190.8, 290.5, 469.4, 851.9", \ + " 137.0, 152.3, 194.7, 293.2, 470.8, 852.1", \ + " 148.8, 163.6, 204.7, 300.7, 475.8, 854.1", \ + " 169.7, 183.8, 223.3, 316.1, 487.0, 860.4", \ + " 201.8, 217.0, 256.6, 345.1, 510.1, 875.6", \ + " 248.0, 264.2, 305.9, 394.8, 552.6, 906.8", \ + " 315.9, 333.2, 377.5, 470.8, 626.4, 966.1", \ + " 415.2, 434.2, 482.2, 581.2, 743.8, 1072.7" ); }} +timing() { /* ring osc delay aoi211v0x05, path a2 to z 111.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.9 ; */ +/* intrinsic_fall : 72.7 ; */ +/* rise_resistance : 6.31 ; */ +/* fall_resistance : 4.42 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.8, 85.7, 111.3, 167.8, 267.5, 478.8", \ + " 82.7, 92.8, 118.7, 175.5, 275.5, 487.0", \ + " 87.8, 97.9, 123.8, 180.8, 280.9, 492.5", \ + " 94.1, 104.1, 130.0, 187.0, 287.2, 499.0", \ + " 103.2, 113.5, 139.5, 196.5, 296.9, 508.8", \ + " 111.7, 123.2, 151.3, 208.6, 308.9, 520.9", \ + " 121.0, 133.6, 164.1, 225.4, 325.7, 537.6", \ + " 131.8, 145.9, 179.3, 245.3, 350.3, 561.5", \ + " 145.0, 161.3, 199.2, 271.2, 383.4, 598.6", \ + " 162.7, 181.8, 225.7, 306.7, 427.7, 655.4" ); } +rise_transition(x05_65_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 126.7, 153.2, 224.7, 387.3, 678.0, 1297.4", \ + " 127.4, 153.7, 224.9, 387.4, 678.0, 1297.4", \ + " 128.0, 154.2, 225.3, 387.5, 678.1, 1297.4", \ + " 130.1, 155.9, 226.4, 388.0, 678.2, 1297.4", \ + " 136.8, 162.0, 231.3, 391.1, 679.4, 1297.5", \ + " 149.4, 173.4, 240.9, 398.8, 684.8, 1299.5", \ + " 175.0, 197.0, 260.1, 414.2, 697.0, 1307.2", \ + " 210.8, 234.5, 299.2, 444.9, 721.6, 1324.7", \ + " 272.8, 295.6, 359.6, 506.0, 770.8, 1361.7", \ + " 379.6, 400.9, 461.5, 606.7, 865.0, 1435.7" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 64.1, 83.6, 124.3, 193.0, 334.4", \ + " 61.6, 69.3, 88.9, 129.6, 198.3, 339.8", \ + " 66.2, 73.9, 93.3, 134.1, 202.7, 344.2", \ + " 73.0, 80.4, 99.6, 140.3, 208.9, 350.4", \ + " 85.0, 92.8, 111.5, 151.7, 220.2, 361.6", \ + " 97.9, 106.9, 128.2, 168.7, 236.8, 378.0", \ + " 111.9, 122.2, 147.0, 193.6, 262.5, 403.1", \ + " 126.6, 138.4, 166.9, 221.1, 299.8, 440.9", \ + " 142.3, 155.8, 188.3, 250.9, 342.9, 498.7", \ + " 158.7, 174.2, 211.5, 283.3, 389.9, 573.1" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.0, 142.0, 185.5, 284.1, 459.5, 833.3", \ + " 126.1, 141.7, 184.6, 283.3, 459.2, 833.3", \ + " 130.1, 145.2, 187.0, 283.8, 459.1, 833.3", \ + " 137.6, 152.3, 192.9, 287.5, 460.0, 833.3", \ + " 153.5, 167.9, 207.1, 298.4, 466.5, 834.2", \ + " 179.1, 193.1, 231.5, 319.5, 482.2, 841.9", \ + " 215.3, 231.6, 271.2, 356.8, 513.3, 862.8", \ + " 263.8, 282.0, 326.8, 415.6, 567.5, 904.5", \ + " 333.0, 353.3, 402.8, 501.3, 655.4, 980.9", \ + " 432.5, 455.5, 511.1, 619.6, 788.2, 1110.6" ); }} +timing() { /* ring osc delay aoi211v0x05, path b to z 80.5 */ +related_pin : "b" ; +when : "(a1'*a2'*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 62.3 ; */ +/* rise_resistance : 5.92 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.9, 57.0, 77.9, 124.5, 207.0, 382.0", \ + " 55.6, 63.7, 84.8, 131.5, 214.2, 389.3", \ + " 60.3, 68.4, 89.5, 136.3, 219.0, 394.2", \ + " 65.8, 74.3, 95.5, 142.4, 225.1, 400.3", \ + " 72.1, 82.0, 105.7, 152.7, 235.4, 410.7", \ + " 79.4, 90.5, 117.0, 167.6, 250.2, 425.4", \ + " 88.6, 101.3, 131.0, 187.4, 272.9, 447.8", \ + " 100.2, 114.9, 148.7, 211.6, 305.4, 481.3", \ + " 115.5, 132.5, 171.4, 242.4, 346.4, 532.6", \ + " 135.4, 155.3, 200.5, 282.1, 398.9, 604.5" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 93.2, 114.9, 173.2, 305.4, 541.5, 1043.9", \ + " 94.1, 115.5, 173.5, 305.5, 541.5, 1043.9", \ + " 97.7, 118.5, 175.4, 306.1, 541.6, 1044.0", \ + " 103.6, 124.0, 179.9, 309.1, 542.3, 1044.0", \ + " 116.6, 135.8, 190.0, 316.9, 547.4, 1044.6", \ + " 140.6, 157.5, 208.2, 331.6, 558.2, 1050.3", \ + " 169.1, 190.3, 242.1, 358.9, 579.7, 1064.2", \ + " 212.7, 234.2, 290.5, 406.3, 618.7, 1092.6", \ + " 279.4, 301.0, 358.1, 482.1, 686.7, 1146.3", \ + " 374.4, 397.5, 457.1, 585.5, 801.0, 1242.3" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.7, 48.9, 65.0, 100.1, 161.4, 290.7", \ + " 49.2, 55.5, 71.8, 107.0, 168.5, 297.9", \ + " 54.5, 60.8, 77.1, 112.4, 173.9, 303.4", \ + " 61.9, 68.2, 84.4, 119.7, 181.3, 310.8", \ + " 71.9, 79.7, 97.7, 133.0, 194.4, 323.9", \ + " 82.3, 91.6, 113.2, 152.4, 213.5, 342.8", \ + " 93.8, 104.6, 130.3, 177.5, 242.9, 371.7", \ + " 106.2, 118.8, 148.7, 204.6, 283.1, 414.8", \ + " 119.9, 134.6, 169.3, 234.6, 328.1, 480.2", \ + " 134.7, 151.8, 192.4, 268.5, 378.3, 561.1" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.8, 81.1, 119.4, 205.4, 358.3, 683.5", \ + " 68.8, 82.6, 120.0, 205.5, 358.4, 683.5", \ + " 73.8, 87.1, 123.3, 206.7, 358.5, 683.5", \ + " 82.9, 95.5, 130.2, 211.2, 359.9, 683.5", \ + " 101.6, 113.5, 146.0, 223.4, 367.5, 684.7", \ + " 127.0, 140.7, 172.8, 245.9, 384.4, 693.3", \ + " 160.6, 176.5, 213.8, 285.5, 417.0, 715.4", \ + " 205.4, 223.8, 266.9, 347.3, 473.3, 758.8", \ + " 267.5, 288.9, 338.7, 431.4, 565.4, 837.4", \ + " 355.1, 380.4, 438.4, 545.2, 700.0, 972.0" ); }} +timing() { /* ring osc delay aoi211v0x05, path b to z 99.6 */ +related_pin : "b" ; +when : "(a1'*a2*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 62.3 ; */ +/* rise_resistance : 5.92 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 77.3, 102.7, 159.1, 258.8, 470.1", \ + " 73.5, 83.3, 108.8, 165.4, 265.2, 476.7", \ + " 77.9, 87.7, 113.3, 169.9, 269.8, 481.3", \ + " 83.5, 93.4, 118.9, 175.6, 275.5, 487.1", \ + " 91.8, 102.7, 128.8, 185.4, 285.4, 497.0", \ + " 100.6, 112.6, 141.9, 199.7, 299.5, 511.1", \ + " 112.4, 125.7, 157.9, 221.2, 321.4, 532.6", \ + " 128.0, 142.9, 178.6, 248.0, 354.6, 565.1", \ + " 149.4, 166.3, 206.5, 283.2, 399.8, 615.4", \ + " 178.0, 197.6, 243.7, 330.1, 458.8, 691.7" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 137.1, 163.1, 233.0, 391.7, 675.0, 1278.3", \ + " 137.2, 163.2, 233.0, 391.7, 675.0, 1278.3", \ + " 139.2, 164.7, 233.7, 391.9, 675.1, 1278.3", \ + " 144.1, 169.2, 237.4, 393.6, 675.4, 1278.3", \ + " 154.8, 179.2, 246.0, 400.2, 678.9, 1278.5", \ + " 174.4, 197.4, 261.9, 413.0, 687.9, 1282.1", \ + " 210.1, 232.3, 291.7, 437.4, 706.7, 1293.4", \ + " 251.2, 277.3, 344.5, 480.9, 742.0, 1317.9", \ + " 316.0, 342.2, 410.9, 555.8, 805.1, 1366.2", \ + " 411.4, 438.3, 508.6, 661.4, 912.6, 1455.2" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 52.1, 68.5, 103.9, 165.3, 294.6", \ + " 52.5, 59.0, 75.6, 111.2, 172.7, 302.1", \ + " 57.8, 64.3, 80.9, 116.6, 178.2, 307.7", \ + " 65.5, 71.8, 88.3, 124.0, 185.6, 315.2", \ + " 76.8, 84.3, 101.7, 137.2, 198.8, 328.3", \ + " 88.4, 97.3, 118.2, 156.5, 217.8, 347.2", \ + " 101.0, 111.4, 136.2, 182.3, 247.1, 376.0", \ + " 114.3, 126.3, 155.3, 210.0, 287.6, 419.0", \ + " 128.3, 142.3, 176.0, 240.3, 333.0, 484.2", \ + " 142.6, 159.0, 198.4, 273.6, 383.0, 565.2" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 79.7, 93.9, 131.9, 217.8, 370.7, 695.8", \ + " 81.3, 95.1, 132.4, 217.9, 370.7, 695.8", \ + " 86.1, 99.3, 135.4, 218.9, 370.8, 695.8", \ + " 94.7, 107.2, 142.0, 223.1, 372.1, 695.8", \ + " 113.1, 124.9, 157.4, 234.9, 379.3, 696.9", \ + " 140.9, 153.3, 184.0, 257.2, 395.9, 705.2", \ + " 177.7, 192.1, 226.7, 296.7, 428.3, 727.0", \ + " 226.7, 243.2, 283.2, 359.7, 484.7, 770.3", \ + " 294.0, 313.3, 359.4, 447.6, 578.0, 849.1", \ + " 388.5, 411.2, 464.9, 566.6, 716.6, 985.1" ); }} +timing() { /* ring osc delay aoi211v0x05, path b to z 90.9 */ +related_pin : "b" ; +when : "(a1*a2'*c')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 62.3 ; */ +/* rise_resistance : 5.92 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.0, 68.8, 94.2, 150.6, 250.2, 461.5", \ + " 64.9, 74.7, 100.3, 156.9, 256.6, 468.0", \ + " 69.3, 79.1, 104.7, 161.3, 261.2, 472.7", \ + " 74.7, 84.7, 110.4, 167.0, 266.9, 478.5", \ + " 81.6, 93.1, 120.2, 176.8, 276.7, 488.3", \ + " 89.3, 102.0, 132.3, 191.1, 290.9, 502.4", \ + " 99.6, 113.8, 147.3, 212.1, 312.8, 524.0", \ + " 113.5, 129.6, 167.0, 238.1, 346.0, 556.5", \ + " 132.7, 151.1, 193.4, 272.3, 390.3, 606.8", \ + " 158.7, 180.0, 228.8, 317.9, 448.4, 682.8" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.6, 147.5, 217.2, 375.6, 658.8, 1261.7", \ + " 121.9, 147.6, 217.3, 375.6, 658.8, 1261.7", \ + " 124.5, 149.6, 218.1, 375.9, 658.8, 1261.7", \ + " 129.7, 154.5, 222.2, 377.9, 659.2, 1261.8", \ + " 141.3, 165.1, 231.2, 384.8, 662.9, 1262.0", \ + " 162.8, 184.6, 247.8, 397.9, 672.2, 1265.8", \ + " 196.1, 221.5, 279.0, 423.1, 691.5, 1277.3", \ + " 237.6, 263.6, 331.5, 467.5, 727.3, 1302.2", \ + " 303.4, 329.1, 397.5, 544.0, 791.3, 1351.1", \ + " 398.9, 425.5, 495.3, 647.9, 899.8, 1440.6" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 49.3, 65.4, 100.4, 161.7, 291.0", \ + " 49.8, 56.1, 72.4, 107.7, 169.1, 298.5", \ + " 55.2, 61.5, 77.8, 113.1, 174.7, 304.1", \ + " 62.5, 68.9, 85.1, 120.5, 182.1, 311.6", \ + " 72.6, 80.4, 98.4, 133.7, 195.2, 324.7", \ + " 82.8, 92.1, 113.8, 153.0, 214.3, 343.7", \ + " 93.7, 104.7, 130.6, 178.0, 243.5, 372.4", \ + " 105.1, 117.9, 148.3, 204.6, 283.4, 415.4", \ + " 116.9, 131.9, 167.4, 233.6, 327.9, 480.5", \ + " 128.4, 146.0, 187.7, 265.4, 376.7, 560.7" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.8, 81.2, 119.4, 205.4, 358.3, 683.5", \ + " 68.4, 82.3, 119.8, 205.5, 358.4, 683.5", \ + " 73.2, 86.5, 122.8, 206.5, 358.5, 683.5", \ + " 81.8, 94.5, 129.4, 210.7, 359.7, 683.5", \ + " 100.1, 112.1, 144.9, 222.5, 366.9, 684.5", \ + " 125.6, 139.3, 171.3, 244.7, 383.5, 692.8", \ + " 159.6, 175.5, 212.6, 284.2, 415.9, 714.7", \ + " 205.2, 223.5, 266.5, 346.5, 472.4, 757.9", \ + " 268.6, 290.0, 339.7, 431.9, 565.3, 836.8", \ + " 358.0, 383.4, 441.5, 548.0, 701.8, 972.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 62.3 ; */ +/* rise_resistance : 5.92 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 77.3, 102.7, 159.1, 258.8, 470.1", \ + " 73.5, 83.3, 108.8, 165.4, 265.2, 476.7", \ + " 77.9, 87.7, 113.3, 169.9, 269.8, 481.3", \ + " 83.5, 93.4, 118.9, 175.6, 275.5, 487.1", \ + " 91.8, 102.7, 128.8, 185.4, 285.4, 497.0", \ + " 100.6, 112.6, 141.9, 199.7, 299.5, 511.1", \ + " 112.4, 125.7, 157.9, 221.2, 321.4, 532.6", \ + " 128.0, 142.9, 178.6, 248.0, 354.6, 565.1", \ + " 149.4, 166.3, 206.5, 283.2, 399.8, 615.4", \ + " 178.0, 197.6, 243.7, 330.1, 458.8, 691.7" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 137.1, 163.1, 233.0, 391.7, 675.0, 1278.3", \ + " 137.2, 163.2, 233.0, 391.7, 675.0, 1278.3", \ + " 139.2, 164.7, 233.7, 391.9, 675.1, 1278.3", \ + " 144.1, 169.2, 237.4, 393.6, 675.4, 1278.3", \ + " 154.8, 179.2, 246.0, 400.2, 678.9, 1278.5", \ + " 174.4, 197.4, 261.9, 413.0, 687.9, 1282.1", \ + " 210.1, 232.3, 291.7, 437.4, 706.7, 1293.4", \ + " 251.2, 277.3, 344.5, 480.9, 742.0, 1317.9", \ + " 316.0, 342.2, 410.9, 555.8, 805.1, 1366.2", \ + " 411.4, 438.3, 508.6, 661.4, 912.6, 1455.2" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 52.1, 68.5, 103.9, 165.3, 294.6", \ + " 52.5, 59.0, 75.6, 111.2, 172.7, 302.1", \ + " 57.8, 64.3, 80.9, 116.6, 178.2, 307.7", \ + " 65.5, 71.8, 88.3, 124.0, 185.6, 315.2", \ + " 76.8, 84.3, 101.7, 137.2, 198.8, 328.3", \ + " 88.4, 97.3, 118.2, 156.5, 217.8, 347.2", \ + " 101.0, 111.4, 136.2, 182.3, 247.1, 376.0", \ + " 114.3, 126.3, 155.3, 210.0, 287.6, 419.0", \ + " 128.3, 142.3, 176.0, 240.3, 333.0, 484.2", \ + " 142.6, 159.0, 198.4, 273.6, 383.0, 565.2" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 79.7, 93.9, 131.9, 217.8, 370.7, 695.8", \ + " 81.3, 95.1, 132.4, 217.9, 370.7, 695.8", \ + " 86.1, 99.3, 135.4, 218.9, 370.8, 695.8", \ + " 94.7, 107.2, 142.0, 223.1, 372.1, 695.8", \ + " 113.1, 124.9, 157.4, 234.9, 379.3, 696.9", \ + " 140.9, 153.3, 184.0, 257.2, 395.9, 705.2", \ + " 177.7, 192.1, 226.7, 296.7, 428.3, 727.0", \ + " 226.7, 243.2, 283.2, 359.7, 484.7, 770.3", \ + " 294.0, 313.3, 359.4, 447.6, 578.0, 849.1", \ + " 388.5, 411.2, 464.9, 566.6, 716.6, 985.1" ); }} +timing() { /* ring osc delay aoi211v0x05, path c to z 64.3 */ +related_pin : "c" ; +when : "(a1'*a2'*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.0 ; */ +/* intrinsic_fall : 49.7 ; */ +/* rise_resistance : 5.88 ; */ +/* fall_resistance : 3.91 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.8, 43.0, 64.3, 111.2, 193.9, 369.0", \ + " 40.1, 48.1, 69.3, 116.5, 199.4, 374.7", \ + " 45.6, 53.1, 74.0, 120.9, 203.8, 379.2", \ + " 50.7, 59.9, 80.7, 127.3, 210.0, 385.3", \ + " 58.2, 68.7, 93.0, 139.2, 221.3, 396.4", \ + " 67.3, 79.2, 106.8, 157.2, 238.4, 412.7", \ + " 78.9, 92.4, 123.7, 181.5, 265.2, 438.0", \ + " 93.2, 108.7, 144.3, 210.0, 304.7, 476.7", \ + " 111.0, 129.1, 170.2, 245.0, 353.2, 537.0", \ + " 133.6, 154.8, 202.8, 289.0, 412.2, 623.4" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 87.3, 109.1, 166.9, 297.1, 528.2, 1019.3", \ + " 91.7, 112.0, 167.7, 296.6, 528.1, 1019.3", \ + " 98.5, 118.0, 172.0, 298.1, 527.9, 1019.3", \ + " 108.7, 127.4, 179.7, 302.8, 528.9, 1019.2", \ + " 128.7, 145.9, 195.6, 314.6, 535.7, 1019.4", \ + " 156.4, 175.5, 221.3, 335.7, 550.7, 1025.8", \ + " 181.7, 206.7, 263.3, 372.0, 579.6, 1044.0", \ + " 222.5, 248.4, 312.2, 429.6, 629.9, 1080.6", \ + " 284.5, 311.7, 378.8, 513.2, 712.9, 1148.5", \ + " 375.6, 405.5, 477.7, 621.3, 844.9, 1267.2" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.9, 37.1, 53.0, 87.7, 148.6, 277.6", \ + " 37.5, 43.7, 59.8, 95.0, 156.3, 285.5", \ + " 42.8, 49.1, 65.2, 100.4, 161.8, 291.1", \ + " 47.6, 55.5, 72.5, 107.6, 169.1, 298.6", \ + " 53.6, 63.1, 84.3, 120.7, 182.1, 311.6", \ + " 59.6, 70.8, 96.1, 139.5, 201.1, 330.4", \ + " 65.8, 79.0, 108.7, 161.0, 230.3, 359.1", \ + " 71.9, 87.3, 122.1, 183.8, 267.5, 402.0", \ + " 77.1, 95.4, 136.3, 208.6, 308.2, 466.4", \ + " 80.4, 102.0, 150.4, 235.6, 353.0, 543.2" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.5, 57.8, 96.0, 182.0, 334.9, 659.8", \ + " 46.5, 59.8, 96.5, 182.0, 334.9, 659.8", \ + " 52.7, 65.3, 100.4, 183.1, 334.9, 659.8", \ + " 62.9, 74.6, 108.0, 187.9, 336.2, 659.8", \ + " 80.4, 93.9, 124.7, 200.6, 343.8, 660.8", \ + " 101.6, 117.1, 152.2, 223.7, 361.0, 669.3", \ + " 131.7, 149.2, 190.0, 263.8, 394.1, 691.5", \ + " 173.8, 193.6, 239.5, 324.5, 451.0, 735.2", \ + " 233.6, 256.4, 308.5, 405.0, 543.6, 814.4", \ + " 319.5, 346.1, 406.4, 515.8, 674.8, 949.5" ); }} +timing() { /* ring osc delay aoi211v0x05, path c to z 79.4 */ +related_pin : "c" ; +when : "(a1'*a2*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.0 ; */ +/* intrinsic_fall : 49.7 ; */ +/* rise_resistance : 5.88 ; */ +/* fall_resistance : 3.91 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 56.8, 82.5, 139.2, 239.0, 450.5", \ + " 51.7, 61.3, 87.0, 144.0, 244.1, 455.8", \ + " 56.5, 65.9, 91.2, 148.1, 248.2, 460.0", \ + " 63.9, 72.8, 97.5, 154.0, 254.0, 465.7", \ + " 73.4, 84.2, 109.7, 165.1, 264.6, 476.0", \ + " 85.0, 97.2, 126.2, 182.1, 280.6, 491.2", \ + " 99.9, 113.5, 146.2, 208.7, 306.0, 515.1", \ + " 118.6, 134.0, 170.7, 241.2, 345.3, 551.7", \ + " 142.7, 160.4, 202.1, 281.3, 399.4, 609.4", \ + " 173.7, 194.4, 242.6, 332.6, 465.9, 699.1" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.6, 153.8, 223.7, 380.5, 658.5, 1248.2", \ + " 129.3, 154.5, 222.7, 379.6, 658.2, 1248.2", \ + " 134.6, 159.1, 225.8, 379.5, 657.8, 1248.2", \ + " 143.1, 166.9, 232.0, 382.8, 657.3, 1248.1", \ + " 160.0, 182.9, 245.6, 392.4, 661.7, 1247.5", \ + " 186.9, 208.1, 268.4, 410.4, 673.8, 1250.5", \ + " 221.6, 251.0, 305.8, 443.0, 698.6, 1264.3", \ + " 258.2, 288.4, 363.2, 495.8, 743.4, 1295.2", \ + " 317.8, 348.8, 426.6, 580.2, 819.9, 1354.9", \ + " 408.7, 441.5, 522.7, 688.8, 943.1, 1462.9" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 39.0, 55.5, 90.9, 152.1, 281.2", \ + " 39.4, 45.8, 62.6, 98.4, 160.0, 289.3", \ + " 45.1, 51.4, 68.0, 103.9, 165.6, 295.0", \ + " 51.0, 58.6, 75.4, 111.2, 173.0, 302.5", \ + " 58.4, 67.6, 88.1, 124.4, 186.1, 315.6", \ + " 66.0, 76.7, 101.1, 143.6, 205.1, 334.5", \ + " 73.9, 86.3, 114.9, 166.0, 234.2, 363.1", \ + " 81.5, 95.9, 129.2, 189.5, 272.1, 405.9", \ + " 88.0, 104.9, 143.9, 214.7, 313.3, 470.3", \ + " 92.1, 111.9, 157.9, 241.4, 358.0, 547.4" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.2, 70.4, 108.5, 194.4, 347.3, 672.1", \ + " 59.0, 72.2, 108.9, 194.4, 347.3, 672.1", \ + " 65.0, 77.5, 112.6, 195.4, 347.3, 672.1", \ + " 74.6, 86.5, 119.9, 200.0, 348.4, 672.1", \ + " 94.3, 105.1, 136.3, 212.4, 355.9, 673.0", \ + " 117.9, 131.7, 163.5, 235.2, 372.8, 681.4", \ + " 151.0, 166.7, 204.2, 275.3, 405.7, 703.4", \ + " 196.9, 214.6, 256.9, 337.8, 462.7, 747.0", \ + " 261.7, 282.0, 330.0, 421.9, 556.2, 826.4", \ + " 353.7, 377.5, 433.2, 537.4, 691.6, 962.7" ); }} +timing() { /* ring osc delay aoi211v0x05, path c to z 70.8 */ +related_pin : "c" ; +when : "(a1*a2'*b')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.0 ; */ +/* intrinsic_fall : 49.7 ; */ +/* rise_resistance : 5.88 ; */ +/* fall_resistance : 3.91 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.4, 48.2, 73.9, 130.6, 230.5, 441.9", \ + " 43.4, 52.8, 78.4, 135.4, 235.5, 447.2", \ + " 48.7, 57.6, 82.7, 139.5, 239.6, 451.3", \ + " 54.8, 65.1, 89.1, 145.4, 245.4, 457.0", \ + " 63.2, 74.7, 101.7, 156.6, 256.0, 467.3", \ + " 73.5, 86.4, 116.8, 173.8, 272.0, 482.6", \ + " 86.8, 101.4, 135.6, 199.9, 297.6, 506.5", \ + " 103.7, 120.3, 158.8, 231.2, 337.1, 543.2", \ + " 125.3, 144.5, 188.6, 270.1, 390.0, 601.0", \ + " 153.3, 175.8, 227.0, 319.9, 455.2, 690.4" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 111.3, 137.6, 207.5, 364.2, 642.1, 1231.7", \ + " 114.3, 139.2, 207.0, 363.3, 641.9, 1231.7", \ + " 120.4, 144.5, 210.6, 363.6, 641.4, 1231.6", \ + " 129.7, 153.0, 217.3, 367.3, 641.1, 1231.5", \ + " 147.8, 170.0, 231.7, 377.4, 645.9, 1230.9", \ + " 177.3, 196.6, 255.4, 396.1, 658.4, 1234.2", \ + " 204.3, 234.7, 293.8, 429.5, 683.8, 1248.5", \ + " 241.3, 272.0, 348.0, 483.0, 729.3, 1279.8", \ + " 301.2, 332.4, 410.9, 568.5, 806.5, 1340.1", \ + " 391.8, 424.9, 506.7, 673.9, 930.3, 1448.9" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.9, 37.1, 53.0, 87.7, 148.7, 277.6", \ + " 37.7, 43.9, 60.1, 95.2, 156.5, 285.7", \ + " 43.1, 49.4, 65.5, 100.7, 162.1, 291.4", \ + " 48.1, 55.9, 72.9, 108.0, 169.5, 298.9", \ + " 54.2, 63.7, 84.7, 121.2, 182.6, 312.0", \ + " 59.9, 71.2, 96.5, 140.0, 201.6, 330.9", \ + " 65.5, 78.9, 108.9, 161.4, 230.7, 359.6", \ + " 70.4, 86.2, 121.5, 183.7, 267.8, 402.3", \ + " 73.7, 92.4, 134.1, 207.6, 308.0, 466.5", \ + " 73.8, 95.9, 145.5, 232.5, 351.4, 542.6" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.5, 57.8, 96.0, 182.0, 334.9, 659.8", \ + " 46.3, 59.7, 96.5, 182.0, 334.9, 659.8", \ + " 52.3, 64.9, 100.2, 183.0, 334.9, 659.8", \ + " 62.2, 74.0, 107.5, 187.6, 336.1, 659.8", \ + " 79.5, 92.9, 123.9, 200.0, 343.5, 660.7", \ + " 100.5, 116.0, 151.1, 222.9, 360.5, 669.1", \ + " 130.7, 148.2, 189.0, 262.8, 393.4, 691.1", \ + " 173.1, 193.1, 239.0, 323.9, 450.3, 734.7", \ + " 233.5, 256.7, 309.1, 405.4, 543.6, 814.1", \ + " 320.3, 347.5, 408.6, 518.1, 676.5, 950.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 54.0 ; */ +/* intrinsic_fall : 49.7 ; */ +/* rise_resistance : 5.88 ; */ +/* fall_resistance : 3.91 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 56.8, 82.5, 139.2, 239.0, 450.5", \ + " 51.7, 61.3, 87.0, 144.0, 244.1, 455.8", \ + " 56.5, 65.9, 91.2, 148.1, 248.2, 460.0", \ + " 63.9, 72.8, 97.5, 154.0, 254.0, 465.7", \ + " 73.4, 84.2, 109.7, 165.1, 264.6, 476.0", \ + " 85.0, 97.2, 126.2, 182.1, 280.6, 491.2", \ + " 99.9, 113.5, 146.2, 208.7, 306.0, 515.1", \ + " 118.6, 134.0, 170.7, 241.2, 345.3, 551.7", \ + " 142.7, 160.4, 202.1, 281.3, 399.4, 609.4", \ + " 173.7, 194.4, 242.6, 332.6, 465.9, 699.1" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.6, 153.8, 223.7, 380.5, 658.5, 1248.2", \ + " 129.3, 154.5, 222.7, 379.6, 658.2, 1248.2", \ + " 134.6, 159.1, 225.8, 379.5, 657.8, 1248.2", \ + " 143.1, 166.9, 232.0, 382.8, 657.3, 1248.1", \ + " 160.0, 182.9, 245.6, 392.4, 661.7, 1247.5", \ + " 186.9, 208.1, 268.4, 410.4, 673.8, 1250.5", \ + " 221.6, 251.0, 305.8, 443.0, 698.6, 1264.3", \ + " 258.2, 288.4, 363.2, 495.8, 743.4, 1295.2", \ + " 317.8, 348.8, 426.6, 580.2, 819.9, 1354.9", \ + " 408.7, 441.5, 522.7, 688.8, 943.1, 1462.9" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 39.0, 55.5, 90.9, 152.1, 281.2", \ + " 39.4, 45.8, 62.6, 98.4, 160.0, 289.3", \ + " 45.1, 51.4, 68.0, 103.9, 165.6, 295.0", \ + " 51.0, 58.6, 75.4, 111.2, 173.0, 302.5", \ + " 58.4, 67.6, 88.1, 124.4, 186.1, 315.6", \ + " 66.0, 76.7, 101.1, 143.6, 205.1, 334.5", \ + " 73.9, 86.3, 114.9, 166.0, 234.2, 363.1", \ + " 81.5, 95.9, 129.2, 189.5, 272.1, 405.9", \ + " 88.0, 104.9, 143.9, 214.7, 313.3, 470.3", \ + " 92.1, 111.9, 157.9, 241.4, 358.0, 547.4" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.2, 70.4, 108.5, 194.4, 347.3, 672.1", \ + " 59.0, 72.2, 108.9, 194.4, 347.3, 672.1", \ + " 65.0, 77.5, 112.6, 195.4, 347.3, 672.1", \ + " 74.6, 86.5, 119.9, 200.0, 348.4, 672.1", \ + " 94.3, 105.1, 136.3, 212.4, 355.9, 673.0", \ + " 117.9, 131.7, 163.5, 235.2, 372.8, 681.4", \ + " 151.0, 166.7, 204.2, 275.3, 405.7, 703.4", \ + " 196.9, 214.6, 256.9, 337.8, 462.7, 747.0", \ + " 261.7, 282.0, 330.0, 421.9, 556.2, 826.4", \ + " 353.7, 377.5, 433.2, 537.4, 691.6, 962.7" ); }} +} +} +cell(aoi211v0x1) { /* 2008-01-06:07h25 characteristic delay 20.3 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1074 ; /* aoi211v0x1 */ +cell_footprint : aoi211 ; +pin(a1) { /* aoi211v0x1 FO4 effort 2.41 logical effort 2.53 */ +direction : input ; +capacitance : 7.98 ; +rise_capacitance : 8.09 ; +fall_capacitance : 7.86 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi211v0x1 */ +} +pin(a2) { /* aoi211v0x1 FO4 effort 2.39 logical effort 2.56 */ +direction : input ; +capacitance : 8.07 ; +rise_capacitance : 8.42 ; +fall_capacitance : 7.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi211v0x1 */ +} +pin(b) { /* aoi211v0x1 FO4 effort 2.06 logical effort 2.26 */ +direction : input ; +capacitance : 7.35 ; +rise_capacitance : 7.25 ; +fall_capacitance : 7.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi211v0x1 */ +} +pin(c) { /* aoi211v0x1 FO4 effort 1.73 logical effort 2.11 */ +direction : input ; +capacitance : 6.89 ; +rise_capacitance : 6.34 ; +fall_capacitance : 7.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of aoi211v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 135 ; +max_fanout : 4 ; +function : "((a1*a2)+b+c)'" ; +internal_power(a1_z_n) { /* aoi211v0x1 36.27 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_162_5x10) { +values( " 18.67, 18.68, 18.69, 18.68, 18.65", \ + " 18.30, 18.32, 18.36, 18.38, 18.37", \ + " 18.16, 18.19, 18.24, 18.27, 18.27", \ + " 18.06, 18.09, 18.14, 18.18, 18.19", \ + " 18.03, 18.04, 18.07, 18.11, 18.12", \ + " 18.20, 18.18, 18.15, 18.13, 18.12", \ + " 18.85, 18.75, 18.59, 18.41, 18.28", \ + " 20.50, 20.26, 19.82, 19.30, 18.89", \ + " 24.04, 23.59, 22.71, 21.55, 20.54", \ + " 30.56, 29.87, 28.42, 26.28, 24.21" ); }} +internal_power(a2_z_n) { /* aoi211v0x1 33.68 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_162_5x10) { +values( " 17.38, 17.43, 17.48, 17.51, 17.49", \ + " 16.93, 17.00, 17.11, 17.19, 17.21", \ + " 16.78, 16.85, 16.96, 17.06, 17.11", \ + " 16.69, 16.74, 16.84, 16.95, 17.01", \ + " 16.70, 16.72, 16.77, 16.86, 16.92", \ + " 16.93, 16.90, 16.87, 16.88, 16.90", \ + " 17.66, 17.55, 17.36, 17.18, 17.06", \ + " 19.34, 19.08, 18.62, 18.08, 17.66", \ + " 22.76, 22.31, 21.42, 20.28, 19.29", \ + " 28.97, 28.27, 26.83, 24.78, 22.80" ); }} +internal_power(b_z_n) { /* aoi211v0x1 21.64 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 11.11, 11.16, 11.22, 11.25, 11.24", \ + " 10.79, 10.88, 11.00, 11.11, 11.16", \ + " 10.68, 10.76, 10.90, 11.04, 11.11", \ + " 10.62, 10.69, 10.82, 10.97, 11.07", \ + " 10.71, 10.73, 10.80, 10.93, 11.02", \ + " 11.10, 11.05, 11.00, 11.01, 11.06", \ + " 12.06, 11.89, 11.62, 11.40, 11.28", \ + " 13.93, 13.60, 13.01, 12.38, 11.93", \ + " 17.27, 16.74, 15.73, 14.47, 13.44", \ + " 22.82, 22.08, 20.57, 18.46, 16.52" ); }} +internal_power(c_z_n) { /* aoi211v0x1 13.15 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_162_5x10) { +values( " 6.43, 6.63, 6.86, 7.01, 7.07", \ + " 6.15, 6.34, 6.66, 6.94, 7.09", \ + " 6.17, 6.31, 6.58, 6.89, 7.07", \ + " 6.32, 6.38, 6.58, 6.85, 7.05", \ + " 6.76, 6.72, 6.74, 6.89, 7.05", \ + " 7.61, 7.42, 7.21, 7.13, 7.17", \ + " 9.12, 8.75, 8.22, 7.78, 7.55", \ + " 11.60, 11.02, 10.08, 9.11, 8.45", \ + " 15.60, 14.79, 13.33, 11.65, 10.31", \ + " 21.95, 20.91, 18.86, 16.20, 13.87" ); }} +timing() { /* ring osc delay aoi211v0x1, path a1 to z 104.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 92.6 ; */ +/* intrinsic_fall : 69.5 ; */ +/* rise_resistance : 3.13 ; */ +/* fall_resistance : 2.32 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.2, 88.4, 120.0, 190.3, 314.7, 578.7", \ + " 83.7, 96.0, 127.8, 198.2, 322.7, 586.8", \ + " 89.1, 101.4, 133.2, 203.7, 328.3, 592.4", \ + " 95.6, 107.9, 139.7, 210.2, 334.8, 599.0", \ + " 105.0, 117.6, 149.6, 220.1, 344.8, 609.0", \ + " 114.0, 127.9, 161.9, 232.5, 357.1, 621.4", \ + " 123.7, 139.0, 175.8, 249.8, 374.2, 638.3", \ + " 135.1, 152.1, 192.3, 271.7, 398.8, 662.3", \ + " 150.3, 169.6, 214.3, 300.0, 435.0, 699.1", \ + " 172.6, 194.8, 245.5, 339.5, 483.3, 757.8" ); } +rise_transition(x1_162_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 126.3, 159.3, 248.7, 452.2, 815.9, 1590.6", \ + " 126.8, 159.7, 248.9, 452.2, 815.9, 1590.6", \ + " 127.4, 160.1, 249.1, 452.3, 816.0, 1590.6", \ + " 129.5, 161.8, 250.1, 452.7, 816.1, 1590.6", \ + " 135.9, 167.5, 254.7, 455.5, 816.9, 1590.7", \ + " 148.1, 178.4, 263.8, 462.5, 821.6, 1591.7", \ + " 172.8, 201.2, 282.1, 477.1, 832.6, 1598.0", \ + " 209.1, 238.9, 319.3, 506.1, 855.4, 1613.4", \ + " 271.9, 300.8, 381.6, 564.0, 901.6, 1646.7", \ + " 379.4, 406.8, 484.6, 667.1, 990.9, 1714.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 68.5, 94.1, 147.3, 237.2, 423.3", \ + " 61.8, 72.3, 98.0, 151.3, 241.1, 427.3", \ + " 64.8, 75.2, 101.0, 154.2, 244.1, 430.3", \ + " 69.2, 79.4, 105.0, 158.4, 248.3, 434.5", \ + " 76.5, 87.1, 112.6, 165.9, 255.8, 442.1", \ + " 84.9, 96.3, 123.4, 177.0, 267.0, 453.2", \ + " 94.7, 107.1, 136.6, 193.8, 284.2, 470.2", \ + " 105.1, 119.0, 151.7, 214.2, 309.8, 495.8", \ + " 115.3, 131.2, 168.4, 238.2, 342.6, 535.0", \ + " 124.3, 142.5, 185.4, 265.2, 381.8, 590.9" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 126.2, 147.1, 205.7, 339.2, 577.0, 1083.7", \ + " 126.8, 147.4, 205.5, 338.9, 576.9, 1083.7", \ + " 129.4, 149.7, 207.1, 339.5, 577.0, 1083.7", \ + " 134.6, 154.4, 210.8, 341.7, 577.8, 1083.8", \ + " 146.4, 165.6, 220.3, 348.4, 581.7, 1084.7", \ + " 167.3, 185.7, 238.3, 362.4, 591.1, 1089.2", \ + " 198.8, 218.8, 270.7, 389.6, 611.5, 1101.2", \ + " 244.6, 265.9, 320.7, 437.1, 650.2, 1127.5", \ + " 312.0, 334.9, 392.9, 514.0, 719.5, 1179.9", \ + " 410.5, 435.6, 498.3, 626.3, 836.2, 1277.1" ); }} +timing() { /* ring osc delay aoi211v0x1, path a2 to z 102.9 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.7 ; */ +/* intrinsic_fall : 71.6 ; */ +/* rise_resistance : 3.15 ; */ +/* fall_resistance : 2.31 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.7, 82.2, 114.2, 184.7, 309.1, 573.2", \ + " 76.7, 89.4, 121.7, 192.5, 317.2, 581.5", \ + " 81.9, 94.5, 126.9, 197.8, 322.7, 587.0", \ + " 88.2, 100.8, 133.1, 204.2, 329.2, 593.6", \ + " 96.9, 110.3, 142.8, 213.8, 338.9, 603.5", \ + " 105.0, 119.7, 154.7, 226.0, 351.0, 615.7", \ + " 113.5, 129.8, 167.8, 243.0, 367.9, 632.4", \ + " 122.8, 141.2, 183.2, 264.1, 392.3, 656.2", \ + " 133.5, 155.0, 202.7, 290.9, 427.6, 692.7", \ + " 147.3, 172.7, 228.3, 327.0, 473.9, 750.5" ); } +rise_transition(x1_162_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 111.6, 144.4, 233.6, 437.1, 800.8, 1575.2", \ + " 112.4, 144.9, 233.9, 437.1, 800.8, 1575.2", \ + " 113.1, 145.5, 234.2, 437.2, 800.8, 1575.2", \ + " 115.5, 147.3, 235.3, 437.6, 800.9, 1575.2", \ + " 122.5, 153.5, 240.0, 440.4, 801.7, 1575.3", \ + " 135.9, 165.1, 249.4, 447.5, 806.3, 1576.2", \ + " 160.9, 189.2, 268.1, 462.2, 817.3, 1582.5", \ + " 196.4, 225.7, 306.3, 491.3, 840.1, 1597.8", \ + " 258.4, 286.4, 366.7, 549.2, 886.1, 1630.9", \ + " 365.0, 391.2, 467.5, 650.5, 974.7, 1698.7" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.0, 65.5, 91.2, 144.4, 234.2, 420.4", \ + " 60.2, 70.6, 96.4, 149.6, 239.5, 425.7", \ + " 64.8, 75.1, 100.8, 154.0, 243.9, 430.1", \ + " 71.6, 81.6, 107.0, 160.2, 250.1, 436.3", \ + " 83.7, 94.1, 118.7, 171.5, 261.3, 447.4", \ + " 96.6, 108.6, 136.1, 188.4, 277.8, 463.7", \ + " 110.8, 124.5, 156.4, 214.5, 303.3, 488.7", \ + " 125.9, 141.5, 178.1, 245.8, 341.8, 526.2", \ + " 142.5, 160.3, 202.0, 280.1, 392.5, 583.8", \ + " 160.4, 180.7, 228.5, 318.2, 448.8, 669.3" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 123.2, 144.2, 201.8, 332.3, 564.7, 1059.9", \ + " 123.3, 143.7, 200.7, 331.7, 564.5, 1059.9", \ + " 127.3, 147.1, 202.7, 331.7, 564.4, 1059.9", \ + " 134.8, 154.0, 208.1, 334.4, 564.6, 1060.0", \ + " 150.6, 169.4, 221.6, 343.9, 568.9, 1060.2", \ + " 176.0, 194.4, 245.4, 363.1, 582.0, 1064.0", \ + " 211.2, 232.9, 284.5, 398.6, 609.6, 1080.0", \ + " 258.7, 282.9, 341.4, 456.2, 659.7, 1115.5", \ + " 326.7, 353.8, 418.5, 544.2, 744.7, 1183.8", \ + " 424.7, 455.5, 527.7, 666.2, 879.0, 1305.8" ); }} +timing() { /* ring osc delay aoi211v0x1, path b to z 76.8 */ +related_pin : "b" ; +when : "(a1'*a2'*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.95 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 54.4, 80.5, 138.7, 241.7, 460.4", \ + " 51.0, 61.2, 87.5, 145.8, 249.0, 467.8", \ + " 55.6, 65.9, 92.3, 150.6, 253.8, 472.7", \ + " 60.5, 71.7, 98.3, 156.7, 260.0, 478.9", \ + " 66.0, 78.9, 108.5, 167.0, 270.3, 489.3", \ + " 72.1, 86.8, 120.0, 181.8, 285.1, 504.0", \ + " 79.1, 96.1, 133.8, 202.8, 307.5, 526.2", \ + " 87.3, 107.1, 150.4, 227.7, 340.7, 559.3", \ + " 97.3, 120.5, 170.8, 258.5, 384.2, 609.6", \ + " 109.6, 136.8, 195.5, 296.8, 438.3, 684.9" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 80.7, 107.7, 180.5, 346.0, 641.2, 1269.4", \ + " 81.7, 108.3, 180.8, 346.0, 641.2, 1269.4", \ + " 85.6, 111.4, 182.6, 346.4, 641.3, 1269.4", \ + " 91.9, 117.0, 187.0, 348.9, 641.7, 1269.4", \ + " 106.0, 129.2, 196.9, 356.2, 645.6, 1269.6", \ + " 129.0, 151.7, 214.9, 370.1, 655.2, 1273.2", \ + " 156.5, 183.3, 248.3, 396.4, 675.0, 1284.7", \ + " 199.9, 227.1, 297.8, 442.3, 711.8, 1309.8", \ + " 267.2, 294.6, 366.4, 520.1, 777.2, 1359.3", \ + " 364.0, 393.3, 467.9, 627.2, 888.7, 1450.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 56.0, 80.0, 132.2, 223.9, 417.5", \ + " 52.7, 62.3, 86.5, 139.1, 230.9, 424.7", \ + " 57.9, 67.4, 91.7, 144.4, 236.3, 430.2", \ + " 65.3, 74.7, 99.0, 151.7, 243.6, 437.6", \ + " 76.2, 87.3, 112.2, 164.7, 256.7, 450.6", \ + " 87.7, 100.9, 130.5, 184.0, 275.6, 469.4", \ + " 100.8, 116.2, 151.3, 213.3, 304.8, 498.1", \ + " 115.8, 133.6, 174.4, 248.1, 348.6, 540.9", \ + " 133.5, 154.0, 201.2, 287.5, 407.8, 606.3", \ + " 154.5, 178.3, 233.1, 333.2, 475.3, 706.2" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.1, 91.6, 149.0, 278.1, 507.7, 995.4", \ + " 71.4, 92.4, 149.2, 278.2, 507.7, 995.4", \ + " 76.1, 96.3, 151.4, 278.4, 507.7, 995.4", \ + " 84.7, 104.0, 157.1, 281.0, 507.8, 995.4", \ + " 102.7, 120.9, 171.2, 290.5, 511.5, 995.4", \ + " 128.0, 148.0, 195.8, 309.7, 523.9, 997.9", \ + " 161.0, 184.3, 236.7, 345.0, 550.7, 1012.0", \ + " 204.8, 231.7, 292.6, 403.6, 599.6, 1045.6", \ + " 265.3, 296.5, 366.7, 493.4, 684.2, 1111.2", \ + " 350.8, 387.2, 468.3, 614.3, 821.5, 1230.0" ); }} +timing() { /* ring osc delay aoi211v0x1, path b to z 94.6 */ +related_pin : "b" ; +when : "(a1'*a2*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.95 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.1, 73.4, 105.1, 175.4, 299.8, 563.9", \ + " 67.2, 79.5, 111.3, 181.9, 306.4, 570.6", \ + " 71.6, 83.9, 115.8, 186.4, 311.1, 575.2", \ + " 77.1, 89.6, 121.5, 192.2, 316.9, 581.1", \ + " 84.4, 98.6, 131.3, 202.0, 326.7, 591.0", \ + " 92.2, 107.7, 144.6, 216.2, 340.8, 605.1", \ + " 102.0, 119.5, 160.2, 237.9, 362.4, 626.5", \ + " 114.4, 134.3, 180.0, 265.5, 395.0, 658.5", \ + " 130.5, 153.4, 205.4, 300.6, 442.2, 707.6", \ + " 151.4, 178.0, 238.0, 345.8, 502.7, 783.7" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.6, 153.0, 240.5, 439.0, 793.4, 1547.6", \ + " 120.8, 153.1, 240.4, 439.0, 793.4, 1547.6", \ + " 123.1, 154.7, 241.1, 439.1, 793.4, 1547.6", \ + " 128.3, 159.4, 244.6, 440.4, 793.6, 1547.6", \ + " 139.6, 169.7, 253.2, 446.4, 795.9, 1547.6", \ + " 160.7, 188.5, 269.0, 458.5, 803.8, 1549.3", \ + " 193.5, 224.7, 298.6, 482.1, 820.9, 1558.3", \ + " 234.6, 267.7, 351.4, 524.3, 854.0, 1579.5", \ + " 300.8, 333.7, 419.8, 597.7, 914.6, 1623.5", \ + " 399.0, 432.9, 520.6, 709.6, 1019.8, 1707.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.2, 59.8, 84.1, 136.6, 228.2, 421.9", \ + " 56.7, 66.4, 91.1, 143.8, 235.6, 429.4", \ + " 61.9, 71.7, 96.4, 149.2, 241.2, 435.0", \ + " 69.4, 79.0, 103.6, 156.5, 248.5, 442.5", \ + " 81.6, 92.2, 116.8, 169.6, 261.6, 455.6", \ + " 94.4, 106.9, 135.6, 188.7, 280.5, 474.4", \ + " 108.6, 123.3, 157.3, 218.0, 309.5, 503.0", \ + " 124.5, 141.4, 181.0, 253.5, 353.0, 545.6", \ + " 142.6, 162.1, 208.0, 293.2, 412.6, 610.7", \ + " 163.2, 186.0, 239.3, 338.5, 480.1, 710.2" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.3, 105.7, 162.9, 291.9, 521.3, 1009.0", \ + " 85.4, 106.3, 163.0, 291.9, 521.3, 1009.0", \ + " 89.7, 109.8, 164.8, 292.1, 521.4, 1009.0", \ + " 97.8, 117.0, 170.2, 294.4, 521.5, 1009.0", \ + " 115.6, 133.5, 183.8, 303.5, 524.9, 1009.0", \ + " 143.2, 160.9, 208.3, 322.3, 536.9, 1011.3", \ + " 179.7, 200.7, 249.7, 357.5, 563.4, 1025.1", \ + " 227.9, 252.2, 309.0, 416.6, 612.2, 1058.4", \ + " 294.1, 322.2, 387.7, 509.0, 697.5, 1124.1", \ + " 386.9, 419.8, 495.4, 635.3, 837.2, 1243.7" ); }} +timing() { /* ring osc delay aoi211v0x1, path b to z 86.0 */ +related_pin : "b" ; +when : "(a1*a2'*c')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.95 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.2, 65.5, 97.2, 167.5, 291.9, 555.9", \ + " 59.1, 71.5, 103.5, 174.0, 298.5, 562.6", \ + " 63.5, 76.0, 107.9, 178.5, 303.1, 567.3", \ + " 68.8, 81.6, 113.6, 184.3, 308.9, 573.1", \ + " 74.6, 89.5, 123.4, 194.1, 318.8, 583.1", \ + " 81.2, 97.7, 135.8, 208.3, 332.9, 597.1", \ + " 89.4, 108.2, 150.6, 229.8, 354.5, 618.5", \ + " 99.7, 121.4, 169.2, 256.6, 387.1, 650.5", \ + " 113.5, 138.5, 193.2, 290.8, 433.8, 699.7", \ + " 131.5, 160.6, 224.0, 334.7, 493.4, 775.8" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.5, 138.7, 225.9, 424.1, 778.3, 1532.2", \ + " 106.8, 138.8, 225.9, 424.1, 778.3, 1532.2", \ + " 109.7, 140.9, 226.6, 424.3, 778.3, 1532.2", \ + " 115.3, 145.9, 230.5, 425.7, 778.5, 1532.2", \ + " 127.7, 156.8, 239.4, 432.1, 781.0, 1532.3", \ + " 150.9, 177.0, 255.8, 444.5, 789.1, 1534.1", \ + " 180.5, 213.1, 286.7, 468.6, 806.6, 1543.2", \ + " 222.2, 254.9, 340.0, 511.7, 840.2, 1564.7", \ + " 289.4, 321.7, 407.3, 586.2, 901.5, 1609.1", \ + " 387.8, 421.3, 508.4, 697.3, 1007.5, 1693.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 56.3, 80.3, 132.6, 224.3, 417.9", \ + " 53.4, 63.0, 87.3, 139.9, 231.7, 425.5", \ + " 58.6, 68.2, 92.6, 145.3, 237.2, 431.1", \ + " 66.0, 75.5, 99.8, 152.6, 244.6, 438.5", \ + " 76.9, 88.0, 113.0, 165.6, 257.6, 451.6", \ + " 88.2, 101.4, 131.1, 184.8, 276.5, 470.4", \ + " 100.8, 116.3, 151.7, 213.9, 305.6, 499.0", \ + " 114.8, 132.8, 174.1, 248.4, 349.1, 541.6", \ + " 130.6, 151.6, 199.6, 286.9, 407.9, 606.7", \ + " 148.5, 172.9, 229.0, 330.8, 474.2, 706.0" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.0, 91.6, 149.0, 278.1, 507.7, 995.4", \ + " 71.2, 92.2, 149.2, 278.2, 507.7, 995.4", \ + " 75.5, 95.7, 151.0, 278.4, 507.7, 995.4", \ + " 83.6, 103.0, 156.4, 280.7, 507.8, 995.4", \ + " 101.3, 119.5, 170.0, 289.8, 511.2, 995.4", \ + " 126.7, 146.6, 194.5, 308.6, 523.2, 997.7", \ + " 160.2, 183.3, 235.5, 343.8, 549.7, 1011.5", \ + " 204.9, 231.7, 292.3, 402.7, 598.6, 1044.8", \ + " 267.0, 298.1, 367.9, 493.8, 683.8, 1110.5", \ + " 354.6, 391.3, 472.2, 617.3, 823.1, 1230.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.95 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.1, 73.4, 105.1, 175.4, 299.8, 563.9", \ + " 67.2, 79.5, 111.3, 181.9, 306.4, 570.6", \ + " 71.6, 83.9, 115.8, 186.4, 311.1, 575.2", \ + " 77.1, 89.6, 121.5, 192.2, 316.9, 581.1", \ + " 84.4, 98.6, 131.3, 202.0, 326.7, 591.0", \ + " 92.2, 107.7, 144.6, 216.2, 340.8, 605.1", \ + " 102.0, 119.5, 160.2, 237.9, 362.4, 626.5", \ + " 114.4, 134.3, 180.0, 265.5, 395.0, 658.5", \ + " 130.5, 153.4, 205.4, 300.6, 442.2, 707.6", \ + " 151.4, 178.0, 238.0, 345.8, 502.7, 783.7" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.6, 153.0, 240.5, 439.0, 793.4, 1547.6", \ + " 120.8, 153.1, 240.4, 439.0, 793.4, 1547.6", \ + " 123.1, 154.7, 241.1, 439.1, 793.4, 1547.6", \ + " 128.3, 159.4, 244.6, 440.4, 793.6, 1547.6", \ + " 139.6, 169.7, 253.2, 446.4, 795.9, 1547.6", \ + " 160.7, 188.5, 269.0, 458.5, 803.8, 1549.3", \ + " 193.5, 224.7, 298.6, 482.1, 820.9, 1558.3", \ + " 234.6, 267.7, 351.4, 524.3, 854.0, 1579.5", \ + " 300.8, 333.7, 419.8, 597.7, 914.6, 1623.5", \ + " 399.0, 432.9, 520.6, 709.6, 1019.8, 1707.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.2, 59.8, 84.1, 136.6, 228.2, 421.9", \ + " 56.7, 66.4, 91.1, 143.8, 235.6, 429.4", \ + " 61.9, 71.7, 96.4, 149.2, 241.2, 435.0", \ + " 69.4, 79.0, 103.6, 156.5, 248.5, 442.5", \ + " 81.6, 92.2, 116.8, 169.6, 261.6, 455.6", \ + " 94.4, 106.9, 135.6, 188.7, 280.5, 474.4", \ + " 108.6, 123.3, 157.3, 218.0, 309.5, 503.0", \ + " 124.5, 141.4, 181.0, 253.5, 353.0, 545.6", \ + " 142.6, 162.1, 208.0, 293.2, 412.6, 610.7", \ + " 163.2, 186.0, 239.3, 338.5, 480.1, 710.2" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.3, 105.7, 162.9, 291.9, 521.3, 1009.0", \ + " 85.4, 106.3, 163.0, 291.9, 521.3, 1009.0", \ + " 89.7, 109.8, 164.8, 292.1, 521.4, 1009.0", \ + " 97.8, 117.0, 170.2, 294.4, 521.5, 1009.0", \ + " 115.6, 133.5, 183.8, 303.5, 524.9, 1009.0", \ + " 143.2, 160.9, 208.3, 322.3, 536.9, 1011.3", \ + " 179.7, 200.7, 249.7, 357.5, 563.4, 1025.1", \ + " 227.9, 252.2, 309.0, 416.6, 612.2, 1058.4", \ + " 294.1, 322.2, 387.7, 509.0, 697.5, 1124.1", \ + " 386.9, 419.8, 495.4, 635.3, 837.2, 1243.7" ); }} +timing() { /* ring osc delay aoi211v0x1, path c to z 58.4 */ +related_pin : "c" ; +when : "(a1'*a2'*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.9 ; */ +/* intrinsic_fall : 49.2 ; */ +/* rise_resistance : 2.94 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.2, 39.3, 65.8, 124.2, 227.3, 446.1", \ + " 35.0, 44.7, 71.1, 129.7, 233.0, 451.9", \ + " 39.5, 49.8, 75.7, 134.2, 237.5, 456.4", \ + " 43.8, 56.0, 82.4, 140.5, 243.7, 462.6", \ + " 50.2, 64.1, 94.6, 152.2, 254.9, 473.6", \ + " 57.6, 73.4, 108.3, 169.7, 271.6, 489.7", \ + " 66.6, 84.8, 124.7, 195.3, 297.7, 514.6", \ + " 77.1, 98.2, 144.0, 224.7, 337.5, 552.2", \ + " 89.4, 114.1, 167.2, 259.7, 389.6, 610.6", \ + " 103.8, 133.0, 195.3, 302.1, 451.2, 700.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.5, 101.7, 174.1, 336.9, 625.8, 1239.6", \ + " 80.2, 105.3, 174.9, 336.4, 625.7, 1239.6", \ + " 87.5, 111.6, 179.1, 337.3, 625.6, 1239.6", \ + " 98.5, 121.4, 186.6, 341.4, 625.7, 1239.6", \ + " 120.7, 140.7, 202.6, 352.4, 630.9, 1239.4", \ + " 141.9, 172.2, 228.5, 372.6, 644.1, 1242.8", \ + " 168.5, 200.4, 271.1, 408.4, 671.0, 1257.4", \ + " 210.6, 243.7, 323.0, 466.1, 719.2, 1290.0", \ + " 273.2, 308.8, 392.8, 555.9, 801.5, 1353.2", \ + " 364.7, 404.4, 495.7, 671.0, 934.3, 1467.7" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 40.1, 63.9, 115.7, 207.0, 400.3", \ + " 37.1, 46.5, 70.7, 123.1, 214.8, 408.4", \ + " 42.4, 51.9, 75.9, 128.5, 220.3, 414.1", \ + " 47.3, 58.8, 83.2, 135.7, 227.6, 421.5", \ + " 53.4, 67.3, 96.3, 148.7, 240.6, 434.6", \ + " 60.0, 76.2, 110.9, 167.8, 259.4, 453.3", \ + " 67.4, 86.3, 127.0, 195.3, 288.3, 481.8", \ + " 75.4, 97.5, 144.8, 225.7, 331.9, 524.3", \ + " 83.9, 109.9, 165.1, 259.7, 387.0, 589.4", \ + " 92.6, 123.2, 188.2, 298.7, 449.0, 687.6" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.2, 63.7, 121.0, 250.0, 479.4, 966.8", \ + " 45.2, 65.2, 121.0, 250.0, 479.4, 966.8", \ + " 51.4, 70.3, 123.8, 250.0, 479.4, 966.8", \ + " 61.4, 79.2, 130.3, 253.0, 479.4, 966.8", \ + " 78.2, 97.4, 145.5, 263.0, 483.1, 966.8", \ + " 98.6, 121.7, 171.1, 282.9, 495.8, 969.1", \ + " 127.7, 153.7, 211.4, 319.1, 523.1, 983.3", \ + " 168.6, 197.7, 263.0, 378.3, 572.8, 1017.1", \ + " 227.1, 260.3, 333.5, 466.0, 658.2, 1083.4", \ + " 311.9, 349.8, 433.3, 582.9, 796.2, 1203.2" ); }} +timing() { /* ring osc delay aoi211v0x1, path c to z 72.0 */ +related_pin : "c" ; +when : "(a1'*a2*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.9 ; */ +/* intrinsic_fall : 49.2 ; */ +/* rise_resistance : 2.94 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.5, 51.6, 83.5, 154.1, 278.7, 542.8", \ + " 44.6, 56.5, 88.4, 159.2, 284.0, 548.2", \ + " 49.8, 61.2, 92.7, 163.4, 288.2, 552.5", \ + " 56.1, 68.3, 98.9, 169.3, 293.9, 558.2", \ + " 64.5, 78.6, 110.8, 180.2, 304.5, 568.5", \ + " 74.4, 90.4, 127.2, 196.8, 320.1, 583.6", \ + " 86.9, 105.0, 146.6, 223.2, 344.8, 606.9", \ + " 102.0, 122.7, 169.8, 256.6, 382.6, 642.5", \ + " 120.6, 144.5, 198.4, 296.8, 439.1, 698.0", \ + " 143.5, 171.6, 234.2, 346.4, 508.3, 785.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.6, 143.4, 230.8, 426.8, 774.2, 1511.3", \ + " 113.4, 144.7, 230.0, 426.1, 774.1, 1511.3", \ + " 119.2, 149.6, 233.0, 425.7, 773.8, 1511.3", \ + " 128.4, 157.9, 239.0, 428.3, 773.0, 1511.3", \ + " 146.5, 174.6, 252.7, 437.0, 775.7, 1511.1", \ + " 176.9, 201.1, 275.9, 454.3, 786.0, 1511.5", \ + " 203.5, 241.1, 314.2, 486.2, 808.7, 1521.6", \ + " 242.2, 280.6, 373.6, 539.4, 851.3, 1548.3", \ + " 303.9, 343.6, 440.6, 624.6, 926.7, 1603.2", \ + " 396.4, 439.3, 541.4, 744.4, 1051.4, 1706.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 42.5, 67.1, 119.6, 210.9, 404.3", \ + " 39.3, 49.1, 74.1, 127.2, 218.9, 412.6", \ + " 45.1, 54.6, 79.5, 132.6, 224.6, 418.3", \ + " 51.1, 62.2, 86.8, 139.9, 232.0, 425.9", \ + " 58.9, 72.2, 100.3, 153.0, 245.0, 439.0", \ + " 67.1, 82.6, 116.1, 172.1, 263.9, 457.8", \ + " 76.3, 94.0, 133.3, 200.1, 292.8, 486.3", \ + " 86.0, 106.4, 151.9, 231.3, 336.2, 528.7", \ + " 96.0, 119.8, 172.7, 265.7, 391.9, 593.6", \ + " 105.7, 133.6, 195.7, 304.5, 454.0, 691.7" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.2, 77.6, 134.8, 263.7, 493.0, 980.4", \ + " 59.0, 79.0, 134.8, 263.7, 493.0, 980.4", \ + " 64.8, 83.8, 137.4, 263.7, 493.0, 980.4", \ + " 74.4, 92.3, 143.6, 266.5, 493.0, 980.4", \ + " 93.7, 110.1, 158.4, 276.2, 496.6, 980.4", \ + " 116.8, 137.2, 183.8, 295.8, 509.0, 982.6", \ + " 149.1, 172.3, 225.7, 331.9, 536.0, 996.6", \ + " 194.1, 220.0, 280.6, 391.5, 585.7, 1030.2", \ + " 257.8, 287.2, 355.4, 482.3, 671.7, 1096.6", \ + " 348.6, 382.9, 460.6, 604.2, 812.0, 1217.1" ); }} +timing() { /* ring osc delay aoi211v0x1, path c to z 63.6 */ +related_pin : "c" ; +when : "(a1*a2'*b')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.9 ; */ +/* intrinsic_fall : 49.2 ; */ +/* rise_resistance : 2.94 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 43.8, 75.7, 146.2, 270.7, 534.8", \ + " 37.3, 48.8, 80.5, 151.3, 276.0, 540.3", \ + " 42.5, 53.8, 84.8, 155.5, 280.2, 544.5", \ + " 47.2, 60.6, 91.1, 161.4, 286.0, 550.3", \ + " 54.4, 69.6, 103.4, 172.3, 296.5, 560.5", \ + " 63.0, 80.1, 118.6, 189.0, 312.3, 575.6", \ + " 73.8, 93.3, 136.8, 215.3, 337.0, 599.0", \ + " 86.8, 109.3, 158.7, 247.6, 374.9, 634.6", \ + " 102.7, 129.0, 185.8, 286.7, 430.8, 690.2", \ + " 122.4, 153.3, 219.6, 334.8, 498.8, 778.0" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 95.4, 128.4, 215.8, 411.8, 759.1, 1496.0", \ + " 99.7, 130.7, 215.4, 411.0, 758.9, 1495.9", \ + " 106.3, 136.2, 218.8, 410.8, 758.6, 1495.9", \ + " 116.4, 145.1, 225.4, 413.8, 757.8, 1495.9", \ + " 136.2, 162.9, 239.8, 423.0, 760.9, 1495.7", \ + " 166.1, 191.1, 263.9, 440.9, 771.5, 1496.2", \ + " 187.2, 226.0, 303.1, 473.6, 794.8, 1506.7", \ + " 226.5, 265.4, 359.9, 527.4, 838.0, 1533.8", \ + " 288.3, 328.5, 426.3, 613.4, 914.2, 1589.2", \ + " 380.1, 423.7, 526.8, 731.0, 1039.4, 1693.0" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.7, 40.1, 63.9, 115.8, 207.0, 400.3", \ + " 37.4, 46.8, 71.0, 123.4, 215.0, 408.6", \ + " 42.8, 52.2, 76.3, 128.8, 220.6, 414.4", \ + " 47.8, 59.3, 83.6, 136.1, 228.1, 421.9", \ + " 54.0, 67.9, 96.8, 149.2, 241.1, 435.0", \ + " 60.3, 76.6, 111.4, 168.3, 259.9, 453.8", \ + " 67.0, 86.2, 127.2, 195.8, 288.8, 482.3", \ + " 73.8, 96.3, 144.3, 225.8, 332.2, 524.8", \ + " 80.4, 106.9, 163.3, 259.0, 386.9, 589.7", \ + " 85.9, 117.3, 183.8, 296.2, 447.9, 687.4" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.2, 63.7, 121.0, 250.0, 479.4, 966.8", \ + " 45.0, 65.1, 121.0, 250.0, 479.4, 966.8", \ + " 50.9, 69.9, 123.7, 250.0, 479.4, 966.8", \ + " 60.6, 78.5, 129.9, 252.8, 479.4, 966.8", \ + " 77.3, 96.5, 144.7, 262.5, 482.9, 966.8", \ + " 97.6, 120.6, 170.1, 282.1, 495.4, 969.0", \ + " 126.8, 152.8, 210.5, 318.2, 522.4, 983.0", \ + " 168.2, 197.5, 262.6, 377.6, 572.1, 1016.6", \ + " 227.4, 261.1, 334.5, 466.4, 658.0, 1083.0", \ + " 313.1, 352.1, 436.4, 585.5, 797.7, 1203.6" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 46.9 ; */ +/* intrinsic_fall : 49.2 ; */ +/* rise_resistance : 2.94 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.5, 51.6, 83.5, 154.1, 278.7, 542.8", \ + " 44.6, 56.5, 88.4, 159.2, 284.0, 548.2", \ + " 49.8, 61.2, 92.7, 163.4, 288.2, 552.5", \ + " 56.1, 68.3, 98.9, 169.3, 293.9, 558.2", \ + " 64.5, 78.6, 110.8, 180.2, 304.5, 568.5", \ + " 74.4, 90.4, 127.2, 196.8, 320.1, 583.6", \ + " 86.9, 105.0, 146.6, 223.2, 344.8, 606.9", \ + " 102.0, 122.7, 169.8, 256.6, 382.6, 642.5", \ + " 120.6, 144.5, 198.4, 296.8, 439.1, 698.0", \ + " 143.5, 171.6, 234.2, 346.4, 508.3, 785.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.6, 143.4, 230.8, 426.8, 774.2, 1511.3", \ + " 113.4, 144.7, 230.0, 426.1, 774.1, 1511.3", \ + " 119.2, 149.6, 233.0, 425.7, 773.8, 1511.3", \ + " 128.4, 157.9, 239.0, 428.3, 773.0, 1511.3", \ + " 146.5, 174.6, 252.7, 437.0, 775.7, 1511.1", \ + " 176.9, 201.1, 275.9, 454.3, 786.0, 1511.5", \ + " 203.5, 241.1, 314.2, 486.2, 808.7, 1521.6", \ + " 242.2, 280.6, 373.6, 539.4, 851.3, 1548.3", \ + " 303.9, 343.6, 440.6, 624.6, 926.7, 1603.2", \ + " 396.4, 439.3, 541.4, 744.4, 1051.4, 1706.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 42.5, 67.1, 119.6, 210.9, 404.3", \ + " 39.3, 49.1, 74.1, 127.2, 218.9, 412.6", \ + " 45.1, 54.6, 79.5, 132.6, 224.6, 418.3", \ + " 51.1, 62.2, 86.8, 139.9, 232.0, 425.9", \ + " 58.9, 72.2, 100.3, 153.0, 245.0, 439.0", \ + " 67.1, 82.6, 116.1, 172.1, 263.9, 457.8", \ + " 76.3, 94.0, 133.3, 200.1, 292.8, 486.3", \ + " 86.0, 106.4, 151.9, 231.3, 336.2, 528.7", \ + " 96.0, 119.8, 172.7, 265.7, 391.9, 593.6", \ + " 105.7, 133.6, 195.7, 304.5, 454.0, 691.7" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.2, 77.6, 134.8, 263.7, 493.0, 980.4", \ + " 59.0, 79.0, 134.8, 263.7, 493.0, 980.4", \ + " 64.8, 83.8, 137.4, 263.7, 493.0, 980.4", \ + " 74.4, 92.3, 143.6, 266.5, 493.0, 980.4", \ + " 93.7, 110.1, 158.4, 276.2, 496.6, 980.4", \ + " 116.8, 137.2, 183.8, 295.8, 509.0, 982.6", \ + " 149.1, 172.3, 225.7, 331.9, 536.0, 996.6", \ + " 194.1, 220.0, 280.6, 391.5, 585.7, 1030.2", \ + " 257.8, 287.2, 355.4, 482.3, 671.7, 1096.6", \ + " 348.6, 382.9, 460.6, 604.2, 812.0, 1217.1" ); }} +} +} +cell(aoi211v0x2) { /* 2008-01-06:07h25 characteristic delay 19.9 ps */ +area : 21 ; /* tracks */ +cell_leakage_power : 2148 ; /* aoi211v0x2 */ +cell_footprint : aoi211 ; +pin(a1) { /* aoi211v0x2 FO4 effort 2.38 logical effort 2.52 */ +direction : input ; +capacitance : 15.91 ; +rise_capacitance : 16.13 ; +fall_capacitance : 15.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi211v0x2 */ +} +pin(a2) { /* aoi211v0x2 FO4 effort 2.31 logical effort 2.46 */ +direction : input ; +capacitance : 15.53 ; +rise_capacitance : 16.20 ; +fall_capacitance : 14.86 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi211v0x2 */ +} +pin(b) { /* aoi211v0x2 FO4 effort 2.00 logical effort 2.18 */ +direction : input ; +capacitance : 14.20 ; +rise_capacitance : 13.99 ; +fall_capacitance : 14.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi211v0x2 */ +} +pin(c) { /* aoi211v0x2 FO4 effort 1.71 logical effort 2.10 */ +direction : input ; +capacitance : 13.69 ; +rise_capacitance : 12.60 ; +fall_capacitance : 14.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of aoi211v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 272 ; +max_fanout : 6 ; +function : "((a1*a2)+b+c)'" ; +internal_power(a1_z_n) { /* aoi211v0x2 69.90 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_325_5x10) { +values( " 36.04, 36.07, 36.10, 36.09, 36.04", \ + " 35.25, 35.32, 35.42, 35.48, 35.46", \ + " 34.97, 35.04, 35.16, 35.25, 35.27", \ + " 34.76, 34.83, 34.95, 35.07, 35.11", \ + " 34.70, 34.73, 34.81, 34.91, 34.96", \ + " 35.07, 35.02, 34.97, 34.96, 34.95", \ + " 36.44, 36.23, 35.89, 35.54, 35.29", \ + " 39.87, 39.36, 38.44, 37.38, 36.53", \ + " 47.12, 46.18, 44.35, 41.96, 39.90", \ + " 60.35, 58.93, 55.93, 51.54, 47.32" ); }} +internal_power(a2_z_n) { /* aoi211v0x2 64.39 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_325_5x10) { +values( " 33.23, 33.34, 33.46, 33.51, 33.49", \ + " 32.34, 32.49, 32.72, 32.89, 32.94", \ + " 32.06, 32.19, 32.42, 32.64, 32.73", \ + " 31.90, 32.00, 32.20, 32.43, 32.56", \ + " 31.93, 31.97, 32.07, 32.25, 32.39", \ + " 32.45, 32.38, 32.31, 32.31, 32.36", \ + " 34.00, 33.74, 33.33, 32.94, 32.71", \ + " 37.48, 36.93, 35.95, 34.83, 33.96", \ + " 44.50, 43.54, 41.70, 39.33, 37.28", \ + " 57.10, 55.67, 52.70, 48.46, 44.41" ); }} +internal_power(b_z_n) { /* aoi211v0x2 41.90 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_325_5x10) { +values( " 21.49, 21.58, 21.68, 21.73, 21.72", \ + " 20.90, 21.05, 21.28, 21.47, 21.55", \ + " 20.69, 20.84, 21.10, 21.34, 21.47", \ + " 20.60, 20.71, 20.95, 21.22, 21.39", \ + " 20.79, 20.82, 20.94, 21.15, 21.32", \ + " 21.61, 21.48, 21.35, 21.34, 21.40", \ + " 23.56, 23.19, 22.63, 22.14, 21.87", \ + " 27.35, 26.65, 25.44, 24.13, 23.19", \ + " 34.08, 32.98, 30.91, 28.35, 26.23", \ + " 45.22, 43.72, 40.65, 36.37, 32.43" ); }} +internal_power(c_z_n) { /* aoi211v0x2 25.17 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_325_5x10) { +values( " 12.25, 12.69, 13.18, 13.49, 13.61", \ + " 11.69, 12.09, 12.76, 13.34, 13.65", \ + " 11.74, 12.03, 12.60, 13.23, 13.60", \ + " 12.06, 12.19, 12.59, 13.15, 13.55", \ + " 12.98, 12.87, 12.91, 13.22, 13.55", \ + " 14.70, 14.30, 13.86, 13.71, 13.79", \ + " 17.76, 16.99, 15.90, 15.00, 14.56", \ + " 22.77, 21.57, 19.64, 17.68, 16.35", \ + " 30.83, 29.16, 26.18, 22.77, 20.07", \ + " 43.58, 41.45, 37.29, 31.90, 27.21" ); }} +timing() { /* ring osc delay aoi211v0x2, path a1 to z 102.0 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 90.0 ; */ +/* intrinsic_fall : 68.2 ; */ +/* rise_resistance : 1.57 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.0, 86.2, 117.9, 188.1, 312.6, 576.6", \ + " 81.4, 93.7, 125.6, 196.0, 320.6, 584.7", \ + " 86.7, 99.0, 130.9, 201.4, 326.0, 590.1", \ + " 93.0, 105.3, 137.2, 207.8, 332.4, 596.6", \ + " 102.1, 114.9, 146.9, 217.5, 342.2, 606.4", \ + " 110.6, 124.7, 159.0, 229.7, 354.3, 618.6", \ + " 119.9, 135.4, 172.5, 246.8, 371.3, 635.4", \ + " 131.1, 148.3, 188.8, 268.5, 395.9, 659.3", \ + " 146.1, 165.7, 210.8, 296.7, 432.0, 696.2", \ + " 168.4, 190.9, 242.0, 336.3, 480.3, 754.9" ); } +rise_transition(x2_325_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 122.0, 155.0, 244.4, 448.0, 811.8, 1586.6", \ + " 122.5, 155.3, 244.6, 448.0, 811.8, 1586.6", \ + " 123.1, 155.8, 244.9, 448.1, 811.9, 1586.6", \ + " 125.4, 157.7, 245.9, 448.5, 812.0, 1586.6", \ + " 132.1, 163.7, 250.8, 451.5, 812.9, 1586.6", \ + " 144.9, 175.0, 260.2, 458.8, 817.8, 1587.7", \ + " 170.0, 198.7, 279.0, 473.7, 829.1, 1594.3", \ + " 206.8, 236.5, 317.3, 503.4, 852.3, 1609.9", \ + " 270.4, 299.2, 380.0, 562.3, 899.2, 1643.6", \ + " 378.9, 406.2, 483.8, 666.1, 989.4, 1712.4" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 67.2, 92.8, 145.8, 235.5, 421.4", \ + " 60.6, 71.0, 96.7, 149.7, 239.4, 425.4", \ + " 63.5, 73.9, 99.6, 152.7, 242.4, 428.4", \ + " 67.9, 78.1, 103.7, 156.8, 246.6, 432.6", \ + " 75.0, 85.7, 111.3, 164.3, 254.1, 440.2", \ + " 83.2, 94.6, 121.9, 175.5, 265.3, 451.3", \ + " 92.6, 105.1, 134.8, 192.1, 282.5, 468.3", \ + " 102.5, 116.6, 149.6, 212.2, 308.0, 493.9", \ + " 112.3, 128.3, 165.9, 236.0, 340.6, 533.2", \ + " 120.6, 139.1, 182.4, 262.6, 379.5, 588.9" ); } +fall_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.8, 141.7, 200.3, 333.7, 571.6, 1078.3", \ + " 121.5, 142.1, 200.2, 333.5, 571.5, 1078.3", \ + " 124.2, 144.5, 201.9, 334.2, 571.6, 1078.4", \ + " 129.4, 149.2, 205.6, 336.5, 572.5, 1078.5", \ + " 141.4, 160.6, 215.3, 343.3, 576.5, 1079.4", \ + " 162.8, 181.0, 233.5, 357.4, 586.0, 1084.0", \ + " 193.9, 214.0, 266.1, 384.8, 606.5, 1096.1", \ + " 239.4, 260.9, 316.0, 432.5, 645.3, 1122.5", \ + " 306.5, 329.6, 388.0, 509.4, 714.8, 1174.9", \ + " 404.5, 429.8, 493.0, 621.4, 831.7, 1272.3" ); }} +timing() { /* ring osc delay aoi211v0x2, path a2 to z 99.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 69.8 ; */ +/* rise_resistance : 1.57 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.9, 79.5, 111.5, 182.0, 306.4, 570.5", \ + " 73.8, 86.6, 118.9, 189.8, 314.5, 578.7", \ + " 78.9, 91.6, 124.0, 195.0, 319.9, 584.2", \ + " 85.1, 97.8, 130.1, 201.2, 326.2, 590.6", \ + " 93.4, 107.0, 139.6, 210.6, 335.7, 600.3", \ + " 101.0, 115.9, 151.2, 222.6, 347.7, 612.3", \ + " 109.2, 125.7, 164.0, 239.5, 364.3, 628.9", \ + " 118.1, 136.8, 179.1, 260.4, 388.7, 652.6", \ + " 128.4, 150.3, 198.6, 287.1, 424.0, 689.1", \ + " 142.2, 167.9, 224.1, 323.3, 470.3, 747.1" ); } +rise_transition(x2_325_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 107.4, 140.2, 229.4, 432.9, 796.7, 1571.2", \ + " 108.2, 140.7, 229.7, 433.0, 796.7, 1571.2", \ + " 109.1, 141.4, 230.0, 433.1, 796.7, 1571.2", \ + " 111.7, 143.4, 231.2, 433.5, 796.8, 1571.2", \ + " 119.0, 149.8, 236.2, 436.4, 797.7, 1571.2", \ + " 133.0, 161.7, 245.8, 443.8, 802.5, 1572.3", \ + " 157.9, 186.7, 265.1, 458.8, 813.7, 1578.7", \ + " 194.0, 223.2, 304.1, 488.6, 837.0, 1594.3", \ + " 257.1, 284.9, 364.9, 547.4, 883.7, 1627.9", \ + " 364.8, 390.9, 466.8, 649.4, 973.2, 1696.3" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.2, 63.6, 89.2, 142.2, 231.9, 417.8", \ + " 58.3, 68.8, 94.4, 147.4, 237.1, 423.1", \ + " 62.9, 73.3, 98.8, 151.9, 241.6, 427.6", \ + " 69.9, 79.8, 105.1, 158.1, 247.8, 433.8", \ + " 81.7, 92.2, 116.8, 169.5, 259.0, 445.0", \ + " 94.2, 106.3, 134.0, 186.3, 275.6, 461.3", \ + " 107.9, 121.8, 153.9, 212.4, 301.1, 486.3", \ + " 122.6, 138.4, 175.3, 243.3, 339.7, 523.8", \ + " 138.6, 156.6, 198.7, 277.2, 390.0, 581.4", \ + " 155.9, 176.4, 224.6, 314.7, 445.7, 666.8" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.7, 138.7, 196.4, 326.9, 559.3, 1054.7", \ + " 118.1, 138.4, 195.4, 326.3, 559.2, 1054.7", \ + " 122.2, 141.9, 197.5, 326.4, 559.2, 1054.7", \ + " 129.9, 149.0, 203.1, 329.3, 559.3, 1054.7", \ + " 145.8, 164.7, 216.8, 338.9, 563.8, 1054.9", \ + " 171.8, 189.8, 240.7, 358.3, 577.0, 1058.9", \ + " 206.0, 227.9, 280.0, 394.0, 604.8, 1075.0", \ + " 253.1, 277.6, 336.5, 451.7, 655.1, 1110.6", \ + " 320.6, 348.1, 413.3, 539.6, 740.1, 1179.1", \ + " 417.9, 449.1, 522.1, 661.1, 874.5, 1301.3" ); }} +timing() { /* ring osc delay aoi211v0x2, path b to z 74.7 */ +related_pin : "b" ; +when : "(a1'*a2'*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.9 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 52.7, 78.8, 136.9, 240.0, 458.7", \ + " 49.4, 59.6, 85.8, 144.1, 247.2, 466.0", \ + " 54.1, 64.3, 90.7, 149.0, 252.1, 471.0", \ + " 58.7, 70.1, 96.7, 155.1, 258.3, 477.1", \ + " 64.1, 77.2, 106.9, 165.5, 268.7, 487.6", \ + " 70.0, 84.9, 118.3, 180.3, 283.4, 502.3", \ + " 76.8, 94.0, 132.0, 201.1, 305.9, 524.6", \ + " 84.6, 104.8, 148.5, 226.0, 339.2, 557.6", \ + " 94.2, 117.8, 168.6, 256.7, 382.5, 608.0", \ + " 106.0, 133.6, 193.0, 294.8, 436.6, 683.3" ); } +rise_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 78.0, 104.8, 177.6, 342.9, 638.2, 1266.4", \ + " 79.2, 105.6, 177.9, 343.0, 638.2, 1266.4", \ + " 83.1, 108.9, 179.8, 343.5, 638.3, 1266.4", \ + " 89.4, 114.4, 184.2, 346.1, 638.7, 1266.4", \ + " 103.7, 126.6, 194.2, 353.3, 642.7, 1266.6", \ + " 126.0, 149.4, 212.3, 367.3, 652.3, 1270.2", \ + " 153.5, 180.3, 245.8, 393.7, 672.2, 1281.8", \ + " 197.1, 224.2, 294.9, 439.7, 709.0, 1307.0", \ + " 264.5, 291.8, 363.6, 517.3, 774.5, 1356.5", \ + " 361.2, 390.5, 465.2, 624.4, 886.2, 1447.7" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 54.5, 78.5, 130.8, 222.4, 416.1", \ + " 51.3, 60.8, 85.1, 137.7, 229.5, 423.3", \ + " 56.5, 66.0, 90.3, 143.0, 234.9, 428.7", \ + " 63.9, 73.4, 97.6, 150.3, 242.2, 436.1", \ + " 74.6, 85.8, 110.9, 163.4, 255.3, 449.2", \ + " 85.9, 99.2, 129.0, 182.7, 274.3, 468.0", \ + " 98.8, 114.3, 149.7, 212.0, 303.5, 496.7", \ + " 113.6, 131.5, 172.6, 246.6, 347.3, 539.5", \ + " 131.0, 151.7, 199.2, 285.8, 406.4, 605.0", \ + " 151.6, 175.7, 230.8, 331.3, 473.7, 704.8" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.7, 89.2, 146.7, 275.8, 505.3, 993.0", \ + " 69.3, 90.2, 146.9, 275.8, 505.3, 993.0", \ + " 74.0, 94.2, 149.1, 276.1, 505.3, 993.0", \ + " 82.7, 102.0, 155.0, 278.8, 505.5, 993.0", \ + " 100.8, 119.0, 169.1, 288.4, 509.3, 993.0", \ + " 125.6, 145.9, 193.9, 307.7, 521.8, 995.6", \ + " 158.2, 181.9, 234.8, 343.1, 548.7, 1009.8", \ + " 201.6, 228.9, 290.3, 401.7, 597.6, 1043.5", \ + " 261.6, 293.2, 364.0, 491.3, 682.3, 1109.2", \ + " 346.4, 383.5, 465.3, 611.9, 819.6, 1228.1" ); }} +timing() { /* ring osc delay aoi211v0x2, path b to z 92.3 */ +related_pin : "b" ; +when : "(a1'*a2*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.9 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 71.4, 103.1, 173.4, 297.9, 561.9", \ + " 65.4, 77.6, 109.5, 179.9, 304.5, 568.6", \ + " 69.8, 82.1, 114.0, 184.5, 309.1, 573.3", \ + " 75.4, 87.8, 119.7, 190.3, 314.9, 579.1", \ + " 82.4, 96.6, 129.6, 200.2, 324.8, 589.1", \ + " 90.1, 105.7, 142.7, 214.4, 339.0, 603.2", \ + " 99.7, 117.4, 158.3, 236.1, 360.6, 624.6", \ + " 111.8, 131.9, 177.9, 263.7, 393.2, 656.6", \ + " 127.5, 150.8, 203.1, 298.7, 440.4, 705.8", \ + " 148.0, 175.0, 235.4, 343.7, 500.8, 781.9" ); } +rise_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 117.0, 149.3, 236.6, 435.0, 789.5, 1543.6", \ + " 117.2, 149.4, 236.6, 435.0, 789.4, 1543.6", \ + " 119.7, 151.2, 237.3, 435.2, 789.5, 1543.6", \ + " 124.8, 155.8, 241.0, 436.6, 789.7, 1543.6", \ + " 136.1, 166.1, 249.5, 442.7, 792.1, 1543.7", \ + " 157.5, 185.1, 265.4, 454.8, 800.0, 1545.5", \ + " 189.4, 221.5, 295.2, 478.5, 817.2, 1554.4", \ + " 230.8, 263.8, 348.1, 520.9, 850.4, 1575.7", \ + " 297.2, 330.0, 416.1, 594.3, 911.1, 1619.8", \ + " 395.4, 429.4, 517.0, 706.0, 1016.5, 1703.7" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.7, 58.4, 82.7, 135.2, 226.8, 420.5", \ + " 55.2, 65.0, 89.7, 142.4, 234.2, 428.0", \ + " 60.5, 70.3, 95.0, 147.8, 239.7, 433.6", \ + " 68.0, 77.6, 102.2, 155.1, 247.1, 441.1", \ + " 80.0, 90.7, 115.4, 168.2, 260.2, 454.2", \ + " 92.6, 105.3, 134.1, 187.4, 279.1, 473.0", \ + " 106.7, 121.5, 155.7, 216.7, 308.2, 501.6", \ + " 122.4, 139.4, 179.2, 252.0, 351.7, 544.2", \ + " 140.2, 159.9, 206.1, 291.5, 411.1, 609.4", \ + " 160.5, 183.4, 237.1, 336.6, 478.5, 708.9" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.0, 103.3, 160.5, 289.5, 519.0, 1006.6", \ + " 83.2, 104.0, 160.7, 289.5, 519.0, 1006.6", \ + " 87.6, 107.6, 162.6, 289.7, 519.0, 1006.6", \ + " 95.9, 115.0, 168.1, 292.2, 519.1, 1006.6", \ + " 113.7, 131.7, 181.8, 301.3, 522.6, 1006.7", \ + " 141.1, 159.1, 206.4, 320.3, 534.7, 1009.0", \ + " 177.3, 198.5, 247.8, 355.6, 561.3, 1022.9", \ + " 225.1, 249.7, 306.8, 414.8, 610.3, 1056.3", \ + " 290.9, 319.4, 385.2, 507.1, 695.7, 1122.1", \ + " 383.1, 416.5, 492.7, 633.0, 835.4, 1241.8" ); }} +timing() { /* ring osc delay aoi211v0x2, path b to z 83.7 */ +related_pin : "b" ; +when : "(a1*a2'*c')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.9 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.3, 63.6, 95.3, 165.6, 289.9, 553.9", \ + " 57.3, 69.7, 101.6, 172.0, 296.5, 560.6", \ + " 61.8, 74.2, 106.1, 176.6, 301.2, 565.3", \ + " 66.9, 79.8, 111.9, 182.4, 307.0, 571.2", \ + " 72.5, 87.5, 121.7, 192.3, 316.9, 581.1", \ + " 78.9, 95.6, 133.9, 206.5, 331.1, 595.2", \ + " 86.9, 105.9, 148.6, 228.1, 352.7, 616.7", \ + " 96.8, 118.8, 167.1, 254.7, 385.3, 648.7", \ + " 110.1, 135.6, 190.8, 288.8, 432.0, 697.9", \ + " 127.7, 157.3, 221.3, 332.6, 491.5, 774.0" ); } +rise_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.9, 134.9, 222.0, 420.2, 774.3, 1528.3", \ + " 103.3, 135.1, 222.0, 420.2, 774.3, 1528.3", \ + " 106.3, 137.4, 222.9, 420.4, 774.4, 1528.3", \ + " 111.9, 142.3, 226.9, 422.0, 774.6, 1528.3", \ + " 124.5, 153.4, 235.8, 428.3, 777.2, 1528.3", \ + " 148.0, 173.7, 252.3, 440.8, 785.3, 1530.2", \ + " 176.4, 209.0, 283.3, 465.0, 802.9, 1539.4", \ + " 218.4, 251.1, 336.1, 508.2, 836.6, 1561.0", \ + " 286.0, 318.1, 403.6, 582.9, 898.0, 1605.5", \ + " 384.3, 417.8, 504.9, 693.6, 1004.2, 1689.9" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.5, 54.9, 78.9, 131.2, 222.8, 416.5", \ + " 52.0, 61.6, 85.9, 138.4, 230.3, 424.1", \ + " 57.2, 66.8, 91.2, 143.9, 235.8, 429.7", \ + " 64.6, 74.1, 98.4, 151.2, 243.2, 437.1", \ + " 75.3, 86.5, 111.6, 164.2, 256.2, 450.2", \ + " 86.3, 99.7, 129.7, 183.4, 275.2, 469.0", \ + " 98.7, 114.4, 150.1, 212.5, 304.2, 497.6", \ + " 112.5, 130.7, 172.3, 246.8, 347.8, 540.3", \ + " 128.1, 149.2, 197.6, 285.2, 406.4, 605.4", \ + " 145.5, 170.2, 226.7, 328.9, 472.6, 704.7" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.7, 89.2, 146.6, 275.8, 505.3, 993.0", \ + " 68.9, 89.9, 146.8, 275.8, 505.3, 993.0", \ + " 73.3, 93.6, 148.8, 276.0, 505.3, 993.0", \ + " 81.6, 101.0, 154.2, 278.4, 505.4, 993.0", \ + " 99.4, 117.6, 168.0, 287.6, 508.9, 993.0", \ + " 124.3, 144.5, 192.6, 306.6, 521.1, 995.4", \ + " 157.4, 180.9, 233.6, 341.9, 547.7, 1009.3", \ + " 201.8, 229.0, 290.0, 400.9, 596.6, 1042.7", \ + " 263.4, 295.0, 365.4, 491.8, 682.0, 1108.5", \ + " 350.3, 387.6, 469.3, 614.9, 821.2, 1228.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 64.9 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 71.4, 103.1, 173.4, 297.9, 561.9", \ + " 65.4, 77.6, 109.5, 179.9, 304.5, 568.6", \ + " 69.8, 82.1, 114.0, 184.5, 309.1, 573.3", \ + " 75.4, 87.8, 119.7, 190.3, 314.9, 579.1", \ + " 82.4, 96.6, 129.6, 200.2, 324.8, 589.1", \ + " 90.1, 105.7, 142.7, 214.4, 339.0, 603.2", \ + " 99.7, 117.4, 158.3, 236.1, 360.6, 624.6", \ + " 111.8, 131.9, 177.9, 263.7, 393.2, 656.6", \ + " 127.5, 150.8, 203.1, 298.7, 440.4, 705.8", \ + " 148.0, 175.0, 235.4, 343.7, 500.8, 781.9" ); } +rise_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 117.0, 149.3, 236.6, 435.0, 789.5, 1543.6", \ + " 117.2, 149.4, 236.6, 435.0, 789.4, 1543.6", \ + " 119.7, 151.2, 237.3, 435.2, 789.5, 1543.6", \ + " 124.8, 155.8, 241.0, 436.6, 789.7, 1543.6", \ + " 136.1, 166.1, 249.5, 442.7, 792.1, 1543.7", \ + " 157.5, 185.1, 265.4, 454.8, 800.0, 1545.5", \ + " 189.4, 221.5, 295.2, 478.5, 817.2, 1554.4", \ + " 230.8, 263.8, 348.1, 520.9, 850.4, 1575.7", \ + " 297.2, 330.0, 416.1, 594.3, 911.1, 1619.8", \ + " 395.4, 429.4, 517.0, 706.0, 1016.5, 1703.7" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.7, 58.4, 82.7, 135.2, 226.8, 420.5", \ + " 55.2, 65.0, 89.7, 142.4, 234.2, 428.0", \ + " 60.5, 70.3, 95.0, 147.8, 239.7, 433.6", \ + " 68.0, 77.6, 102.2, 155.1, 247.1, 441.1", \ + " 80.0, 90.7, 115.4, 168.2, 260.2, 454.2", \ + " 92.6, 105.3, 134.1, 187.4, 279.1, 473.0", \ + " 106.7, 121.5, 155.7, 216.7, 308.2, 501.6", \ + " 122.4, 139.4, 179.2, 252.0, 351.7, 544.2", \ + " 140.2, 159.9, 206.1, 291.5, 411.1, 609.4", \ + " 160.5, 183.4, 237.1, 336.6, 478.5, 708.9" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.0, 103.3, 160.5, 289.5, 519.0, 1006.6", \ + " 83.2, 104.0, 160.7, 289.5, 519.0, 1006.6", \ + " 87.6, 107.6, 162.6, 289.7, 519.0, 1006.6", \ + " 95.9, 115.0, 168.1, 292.2, 519.1, 1006.6", \ + " 113.7, 131.7, 181.8, 301.3, 522.6, 1006.7", \ + " 141.1, 159.1, 206.4, 320.3, 534.7, 1009.0", \ + " 177.3, 198.5, 247.8, 355.6, 561.3, 1022.9", \ + " 225.1, 249.7, 306.8, 414.8, 610.3, 1056.3", \ + " 290.9, 319.4, 385.2, 507.1, 695.7, 1122.1", \ + " 383.1, 416.5, 492.7, 633.0, 835.4, 1241.8" ); }} +timing() { /* ring osc delay aoi211v0x2, path c to z 56.8 */ +related_pin : "c" ; +when : "(a1'*a2'*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.47 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.1, 38.4, 64.8, 123.2, 226.4, 445.2", \ + " 34.0, 43.7, 70.1, 128.7, 232.0, 451.0", \ + " 38.3, 48.9, 74.8, 133.2, 236.5, 455.5", \ + " 42.4, 54.8, 81.5, 139.6, 242.7, 461.6", \ + " 48.6, 62.7, 93.6, 151.2, 254.0, 472.7", \ + " 55.7, 71.8, 107.1, 168.8, 270.7, 488.8", \ + " 64.4, 82.9, 123.2, 194.2, 296.8, 513.6", \ + " 74.5, 96.1, 142.3, 223.5, 336.5, 551.2", \ + " 86.4, 111.6, 165.3, 258.2, 388.5, 609.7", \ + " 100.2, 130.0, 193.0, 300.4, 449.9, 699.7" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.6, 98.8, 171.2, 333.9, 622.8, 1236.6", \ + " 77.5, 102.6, 172.0, 333.5, 622.8, 1236.6", \ + " 85.0, 109.0, 176.3, 334.4, 622.6, 1236.6", \ + " 96.3, 118.9, 183.9, 338.6, 622.8, 1236.6", \ + " 119.1, 138.5, 200.0, 349.6, 628.0, 1236.5", \ + " 138.6, 170.0, 226.1, 369.9, 641.3, 1239.9", \ + " 165.2, 197.4, 269.0, 405.9, 668.3, 1254.5", \ + " 207.1, 240.5, 320.2, 463.7, 716.6, 1287.2", \ + " 269.5, 305.4, 389.8, 553.3, 799.0, 1350.5", \ + " 360.6, 400.7, 492.5, 668.3, 932.0, 1465.1" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 39.3, 63.2, 115.0, 206.3, 399.6", \ + " 36.3, 45.7, 69.9, 122.4, 214.1, 407.7", \ + " 41.4, 51.1, 75.2, 127.8, 219.6, 413.4", \ + " 46.1, 57.8, 82.4, 135.0, 226.9, 420.8", \ + " 52.0, 66.2, 95.5, 147.9, 239.8, 433.8", \ + " 58.3, 74.8, 109.8, 167.1, 258.7, 452.6", \ + " 65.4, 84.6, 125.7, 194.5, 287.6, 481.0", \ + " 73.0, 95.5, 143.3, 224.6, 331.2, 523.6", \ + " 81.1, 107.5, 163.4, 258.4, 386.1, 588.7", \ + " 89.3, 120.4, 186.1, 297.2, 447.9, 686.8" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.9, 61.4, 118.7, 247.7, 477.0, 964.4", \ + " 43.0, 63.0, 118.7, 247.7, 477.0, 964.4", \ + " 49.3, 68.1, 121.6, 247.7, 477.0, 964.4", \ + " 59.5, 77.1, 128.1, 250.7, 477.0, 964.4", \ + " 75.8, 95.6, 143.4, 260.7, 480.8, 964.4", \ + " 95.8, 119.3, 169.1, 280.7, 493.5, 966.8", \ + " 124.5, 150.9, 209.2, 317.1, 520.9, 981.0", \ + " 165.0, 194.6, 260.5, 376.3, 570.6, 1014.9", \ + " 222.9, 256.7, 330.7, 463.7, 656.2, 1081.2", \ + " 307.1, 345.7, 430.0, 580.3, 794.2, 1201.1" ); }} +timing() { /* ring osc delay aoi211v0x2, path c to z 70.2 */ +related_pin : "c" ; +when : "(a1'*a2*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.47 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.4, 50.6, 82.5, 153.1, 277.7, 541.8", \ + " 43.5, 55.4, 87.4, 158.2, 283.0, 547.2", \ + " 48.7, 60.1, 91.6, 162.4, 287.2, 551.5", \ + " 54.8, 67.2, 97.8, 168.3, 292.9, 557.2", \ + " 62.9, 77.3, 109.8, 179.2, 303.4, 567.5", \ + " 72.7, 88.9, 125.9, 195.7, 319.1, 582.6", \ + " 84.9, 103.2, 145.2, 222.1, 343.7, 605.9", \ + " 99.6, 120.6, 168.1, 255.3, 381.6, 641.4", \ + " 117.8, 142.1, 196.5, 295.3, 437.9, 696.9", \ + " 140.2, 168.7, 231.9, 344.6, 506.9, 784.7" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.0, 139.8, 227.1, 423.1, 770.4, 1507.5", \ + " 110.0, 141.2, 226.4, 422.3, 770.3, 1507.5", \ + " 115.9, 146.2, 229.4, 421.9, 770.0, 1507.5", \ + " 125.2, 154.5, 235.5, 424.7, 769.3, 1507.5", \ + " 143.6, 171.4, 249.3, 433.4, 772.0, 1507.3", \ + " 174.8, 198.3, 272.7, 450.8, 782.3, 1507.7", \ + " 199.4, 237.3, 311.2, 482.9, 805.1, 1517.9", \ + " 238.1, 276.7, 370.1, 536.2, 847.9, 1544.7", \ + " 299.7, 339.7, 436.9, 621.6, 923.4, 1599.7", \ + " 391.8, 435.0, 537.6, 741.0, 1048.2, 1702.9" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 41.6, 66.4, 118.9, 210.2, 403.6", \ + " 38.4, 48.3, 73.4, 126.5, 218.2, 411.9", \ + " 44.2, 53.7, 78.7, 131.9, 223.9, 417.6", \ + " 49.9, 61.3, 86.0, 139.2, 231.3, 425.2", \ + " 57.5, 71.1, 99.5, 152.2, 244.3, 438.3", \ + " 65.5, 81.2, 115.1, 171.4, 263.1, 457.1", \ + " 74.4, 92.4, 132.1, 199.3, 292.0, 485.6", \ + " 83.8, 104.6, 150.5, 230.2, 335.5, 528.0", \ + " 93.5, 117.6, 171.0, 264.5, 390.9, 592.9", \ + " 102.8, 131.0, 193.6, 303.0, 452.9, 690.9" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.9, 75.3, 132.5, 261.4, 490.7, 978.0", \ + " 56.8, 76.7, 132.4, 261.4, 490.7, 978.0", \ + " 62.8, 81.6, 135.1, 261.4, 490.7, 978.0", \ + " 72.4, 90.2, 141.4, 264.2, 490.7, 978.0", \ + " 91.5, 108.2, 156.3, 273.9, 494.3, 978.0", \ + " 114.3, 135.0, 181.8, 293.6, 506.7, 980.3", \ + " 146.4, 169.8, 223.7, 329.8, 533.8, 994.3", \ + " 191.0, 217.2, 278.2, 389.5, 583.6, 1028.0", \ + " 254.2, 284.1, 352.7, 480.1, 669.7, 1094.4", \ + " 344.4, 379.2, 457.6, 601.8, 810.1, 1215.1" ); }} +timing() { /* ring osc delay aoi211v0x2, path c to z 61.9 */ +related_pin : "c" ; +when : "(a1*a2'*b')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.47 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 42.7, 74.7, 145.2, 269.8, 533.9", \ + " 36.2, 47.7, 79.5, 150.3, 275.0, 539.3", \ + " 41.2, 52.7, 83.8, 154.5, 279.2, 543.5", \ + " 45.8, 59.4, 90.1, 160.3, 285.0, 549.3", \ + " 52.7, 68.1, 102.4, 171.3, 295.5, 559.5", \ + " 61.0, 78.5, 117.3, 188.0, 311.2, 574.6", \ + " 71.5, 91.4, 135.3, 214.2, 335.9, 598.0", \ + " 84.1, 107.1, 156.9, 246.3, 373.9, 633.5", \ + " 99.6, 126.4, 183.8, 285.1, 429.6, 689.1", \ + " 118.7, 150.2, 217.2, 333.1, 497.4, 777.0" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 91.7, 124.7, 212.1, 408.1, 755.3, 1492.1", \ + " 96.3, 127.2, 211.8, 407.2, 755.1, 1492.1", \ + " 103.1, 132.8, 215.3, 407.1, 754.9, 1492.1", \ + " 113.4, 141.9, 221.9, 410.2, 754.1, 1492.1", \ + " 133.6, 159.9, 236.5, 419.4, 757.2, 1491.9", \ + " 161.9, 188.5, 260.7, 437.4, 767.9, 1492.5", \ + " 183.1, 222.1, 300.2, 470.2, 791.2, 1503.0", \ + " 222.4, 261.5, 356.4, 524.2, 834.6, 1530.2", \ + " 284.1, 324.5, 422.7, 610.5, 910.9, 1585.7", \ + " 375.5, 419.4, 523.0, 727.6, 1036.2, 1689.6" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 39.4, 63.2, 115.1, 206.3, 399.6", \ + " 36.6, 46.0, 70.2, 122.7, 214.3, 407.9", \ + " 41.8, 51.4, 75.5, 128.1, 219.9, 413.7", \ + " 46.6, 58.3, 82.9, 135.4, 227.4, 421.2", \ + " 52.6, 66.7, 96.0, 148.4, 240.4, 434.3", \ + " 58.6, 75.2, 110.4, 167.6, 259.2, 453.1", \ + " 65.0, 84.5, 126.0, 194.9, 288.1, 481.6", \ + " 71.4, 94.3, 142.8, 224.7, 331.5, 524.0", \ + " 77.5, 104.6, 161.5, 257.7, 386.0, 588.9", \ + " 82.5, 114.4, 181.7, 294.7, 446.8, 686.6" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.9, 61.4, 118.7, 247.7, 477.0, 964.4", \ + " 42.8, 62.8, 118.7, 247.7, 477.0, 964.4", \ + " 48.8, 67.7, 121.4, 247.7, 477.0, 964.4", \ + " 58.7, 76.4, 127.7, 250.5, 477.0, 964.4", \ + " 74.8, 94.6, 142.6, 260.2, 480.6, 964.4", \ + " 94.8, 118.2, 168.0, 279.9, 493.1, 966.7", \ + " 123.6, 150.1, 208.3, 316.1, 520.2, 980.7", \ + " 164.5, 194.4, 260.1, 375.7, 569.9, 1014.4", \ + " 223.2, 257.5, 331.7, 464.2, 656.0, 1080.8", \ + " 308.2, 347.9, 433.1, 583.0, 795.6, 1201.5" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.47 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.4, 50.6, 82.5, 153.1, 277.7, 541.8", \ + " 43.5, 55.4, 87.4, 158.2, 283.0, 547.2", \ + " 48.7, 60.1, 91.6, 162.4, 287.2, 551.5", \ + " 54.8, 67.2, 97.8, 168.3, 292.9, 557.2", \ + " 62.9, 77.3, 109.8, 179.2, 303.4, 567.5", \ + " 72.7, 88.9, 125.9, 195.7, 319.1, 582.6", \ + " 84.9, 103.2, 145.2, 222.1, 343.7, 605.9", \ + " 99.6, 120.6, 168.1, 255.3, 381.6, 641.4", \ + " 117.8, 142.1, 196.5, 295.3, 437.9, 696.9", \ + " 140.2, 168.7, 231.9, 344.6, 506.9, 784.7" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.0, 139.8, 227.1, 423.1, 770.4, 1507.5", \ + " 110.0, 141.2, 226.4, 422.3, 770.3, 1507.5", \ + " 115.9, 146.2, 229.4, 421.9, 770.0, 1507.5", \ + " 125.2, 154.5, 235.5, 424.7, 769.3, 1507.5", \ + " 143.6, 171.4, 249.3, 433.4, 772.0, 1507.3", \ + " 174.8, 198.3, 272.7, 450.8, 782.3, 1507.7", \ + " 199.4, 237.3, 311.2, 482.9, 805.1, 1517.9", \ + " 238.1, 276.7, 370.1, 536.2, 847.9, 1544.7", \ + " 299.7, 339.7, 436.9, 621.6, 923.4, 1599.7", \ + " 391.8, 435.0, 537.6, 741.0, 1048.2, 1702.9" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 41.6, 66.4, 118.9, 210.2, 403.6", \ + " 38.4, 48.3, 73.4, 126.5, 218.2, 411.9", \ + " 44.2, 53.7, 78.7, 131.9, 223.9, 417.6", \ + " 49.9, 61.3, 86.0, 139.2, 231.3, 425.2", \ + " 57.5, 71.1, 99.5, 152.2, 244.3, 438.3", \ + " 65.5, 81.2, 115.1, 171.4, 263.1, 457.1", \ + " 74.4, 92.4, 132.1, 199.3, 292.0, 485.6", \ + " 83.8, 104.6, 150.5, 230.2, 335.5, 528.0", \ + " 93.5, 117.6, 171.0, 264.5, 390.9, 592.9", \ + " 102.8, 131.0, 193.6, 303.0, 452.9, 690.9" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.9, 75.3, 132.5, 261.4, 490.7, 978.0", \ + " 56.8, 76.7, 132.4, 261.4, 490.7, 978.0", \ + " 62.8, 81.6, 135.1, 261.4, 490.7, 978.0", \ + " 72.4, 90.2, 141.4, 264.2, 490.7, 978.0", \ + " 91.5, 108.2, 156.3, 273.9, 494.3, 978.0", \ + " 114.3, 135.0, 181.8, 293.6, 506.7, 980.3", \ + " 146.4, 169.8, 223.7, 329.8, 533.8, 994.3", \ + " 191.0, 217.2, 278.2, 389.5, 583.6, 1028.0", \ + " 254.2, 284.1, 352.7, 480.1, 669.7, 1094.4", \ + " 344.4, 379.2, 457.6, 601.8, 810.1, 1215.1" ); }} +} +} +cell(aoi211v5x05) { /* 2008-01-06:07h25 characteristic delay 20.2 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 554 ; /* aoi211v5x05 */ +cell_footprint : aoi211 ; +pin(a1) { /* aoi211v5x05 FO4 effort 2.52 logical effort 2.61 */ +direction : input ; +capacitance : 4.14 ; +rise_capacitance : 4.17 ; +fall_capacitance : 4.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi211v5x05 */ +} +pin(a2) { /* aoi211v5x05 FO4 effort 2.44 logical effort 2.54 */ +direction : input ; +capacitance : 4.01 ; +rise_capacitance : 4.18 ; +fall_capacitance : 3.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi211v5x05 */ +} +pin(b) { /* aoi211v5x05 FO4 effort 2.04 logical effort 2.18 */ +direction : input ; +capacitance : 3.75 ; +rise_capacitance : 3.67 ; +fall_capacitance : 3.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi211v5x05 */ +} +pin(c) { /* aoi211v5x05 FO4 effort 1.80 logical effort 2.12 */ +direction : input ; +capacitance : 3.65 ; +rise_capacitance : 3.33 ; +fall_capacitance : 3.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of aoi211v5x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 64 ; +max_fanout : 2 ; +function : "((a1*a2)+b+c)'" ; +internal_power(a1_z_n) { /* aoi211v5x05 19.40 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 9.98, 9.98, 9.99, 9.99, 9.97", \ + " 9.78, 9.80, 9.82, 9.83, 9.83", \ + " 9.71, 9.73, 9.75, 9.78, 9.78", \ + " 9.65, 9.67, 9.70, 9.73, 9.74", \ + " 9.63, 9.64, 9.66, 9.69, 9.70", \ + " 9.70, 9.69, 9.69, 9.69, 9.69", \ + " 10.00, 9.96, 9.89, 9.82, 9.77", \ + " 10.80, 10.70, 10.50, 10.26, 10.07", \ + " 12.55, 12.35, 11.93, 11.38, 10.90", \ + " 15.81, 15.49, 14.79, 13.75, 12.74" ); }} +internal_power(a2_z_n) { /* aoi211v5x05 17.94 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 9.25, 9.27, 9.29, 9.30, 9.30", \ + " 9.03, 9.06, 9.11, 9.15, 9.16", \ + " 8.95, 8.98, 9.03, 9.08, 9.11", \ + " 8.90, 8.92, 8.97, 9.03, 9.06", \ + " 8.89, 8.90, 8.93, 8.98, 9.01", \ + " 9.00, 8.99, 8.98, 8.99, 9.00", \ + " 9.35, 9.30, 9.21, 9.13, 9.08", \ + " 10.17, 10.05, 9.84, 9.58, 9.38", \ + " 11.87, 11.66, 11.24, 10.69, 10.20", \ + " 14.99, 14.66, 13.96, 12.96, 11.98" ); }} +internal_power(b_z_n) { /* aoi211v5x05 12.12 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 6.18, 6.20, 6.22, 6.23, 6.23", \ + " 6.05, 6.08, 6.13, 6.17, 6.19", \ + " 6.01, 6.04, 6.09, 6.14, 6.17", \ + " 5.99, 6.01, 6.06, 6.11, 6.15", \ + " 6.04, 6.04, 6.06, 6.10, 6.14", \ + " 6.25, 6.22, 6.18, 6.17, 6.17", \ + " 6.76, 6.68, 6.54, 6.40, 6.31", \ + " 7.76, 7.60, 7.30, 6.96, 6.69", \ + " 9.55, 9.29, 8.78, 8.12, 7.55", \ + " 12.51, 12.16, 11.41, 10.32, 9.27" ); }} +internal_power(c_z_n) { /* aoi211v5x05 8.20 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 4.07, 4.15, 4.25, 4.33, 4.36", \ + " 3.91, 4.00, 4.14, 4.28, 4.36", \ + " 3.91, 3.97, 4.10, 4.25, 4.35", \ + " 3.97, 4.01, 4.10, 4.23, 4.33", \ + " 4.19, 4.17, 4.19, 4.26, 4.34", \ + " 4.61, 4.53, 4.44, 4.39, 4.41", \ + " 5.39, 5.23, 4.98, 4.75, 4.62", \ + " 6.68, 6.42, 5.97, 5.48, 5.13", \ + " 8.78, 8.41, 7.71, 6.86, 6.15", \ + " 12.13, 11.65, 10.67, 9.33, 8.11" ); }} +timing() { /* ring osc delay aoi211v5x05, path a1 to z 113.0 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 101.6 ; */ +/* intrinsic_fall : 70.6 ; */ +/* rise_resistance : 6.49 ; */ +/* fall_resistance : 4.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.9, 98.4, 131.1, 204.0, 333.0, 606.8", \ + " 93.3, 105.9, 138.8, 211.8, 341.0, 614.9", \ + " 98.5, 111.1, 144.1, 217.2, 346.5, 620.4", \ + " 104.9, 117.5, 150.5, 223.7, 353.0, 627.0", \ + " 114.5, 127.2, 160.2, 233.4, 362.8, 636.9", \ + " 124.3, 138.3, 172.5, 245.7, 375.0, 649.2", \ + " 135.0, 150.1, 187.3, 262.9, 392.0, 666.0", \ + " 148.2, 164.8, 205.0, 285.9, 416.7, 690.0", \ + " 166.8, 185.3, 229.3, 315.8, 454.0, 727.1", \ + " 194.4, 215.4, 264.6, 358.4, 504.8, 786.4" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 148.7, 183.3, 276.5, 487.9, 865.4, 1668.9", \ + " 149.1, 183.6, 276.6, 487.9, 865.4, 1668.9", \ + " 149.6, 184.0, 276.8, 488.0, 865.4, 1668.9", \ + " 151.3, 185.3, 277.7, 488.3, 865.5, 1668.9", \ + " 157.5, 190.9, 282.0, 490.8, 866.2, 1668.9", \ + " 168.9, 201.3, 290.9, 497.7, 870.6, 1669.7", \ + " 193.0, 222.8, 308.6, 511.9, 881.3, 1675.7", \ + " 230.6, 261.9, 344.5, 540.3, 903.5, 1690.6", \ + " 293.5, 324.2, 408.4, 596.9, 948.7, 1722.8", \ + " 400.9, 430.1, 511.5, 700.5, 1036.4, 1789.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 68.9, 92.8, 142.8, 227.5, 403.3", \ + " 63.2, 72.9, 96.8, 146.9, 231.6, 407.4", \ + " 66.2, 75.8, 99.8, 149.9, 234.7, 410.5", \ + " 70.6, 80.0, 103.9, 154.0, 238.9, 414.7", \ + " 77.9, 87.7, 111.5, 161.6, 246.4, 422.3", \ + " 86.1, 96.6, 122.1, 172.7, 257.6, 433.4", \ + " 95.4, 107.0, 134.8, 189.1, 274.7, 450.4", \ + " 104.8, 117.9, 149.0, 208.6, 299.8, 475.8", \ + " 113.5, 128.5, 164.1, 231.1, 331.2, 514.8", \ + " 119.8, 137.1, 178.3, 255.5, 368.0, 568.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.9, 148.1, 203.7, 329.8, 554.4, 1032.9", \ + " 128.5, 148.5, 203.5, 329.5, 554.3, 1032.9", \ + " 131.1, 150.8, 205.2, 330.2, 554.4, 1032.9", \ + " 136.2, 155.5, 209.0, 332.6, 555.3, 1033.1", \ + " 148.1, 166.7, 218.6, 339.4, 559.5, 1034.1", \ + " 169.2, 186.9, 236.7, 353.7, 569.3, 1039.0", \ + " 201.4, 220.4, 269.4, 381.4, 590.3, 1051.7", \ + " 247.7, 267.9, 319.6, 429.5, 629.9, 1079.1", \ + " 315.7, 337.3, 392.1, 506.7, 700.4, 1133.1", \ + " 415.2, 438.8, 497.9, 619.1, 818.2, 1232.7" ); }} +timing() { /* ring osc delay aoi211v5x05, path a2 to z 111.1 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 93.4 ; */ +/* intrinsic_fall : 72.1 ; */ +/* rise_resistance : 6.52 ; */ +/* fall_resistance : 4.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.1, 90.9, 123.9, 196.9, 326.0, 599.8", \ + " 85.0, 97.9, 131.3, 204.7, 334.0, 608.1", \ + " 90.1, 103.0, 136.4, 210.0, 339.5, 613.6", \ + " 96.4, 109.2, 142.6, 216.2, 345.9, 620.1", \ + " 105.6, 118.7, 152.1, 225.8, 355.5, 629.9", \ + " 114.4, 129.0, 164.2, 237.8, 367.6, 642.1", \ + " 124.1, 139.9, 178.2, 254.8, 384.3, 658.7", \ + " 135.4, 153.1, 194.7, 277.0, 408.8, 682.5", \ + " 149.7, 170.0, 216.7, 305.6, 445.3, 719.2", \ + " 169.3, 193.0, 246.6, 344.9, 494.1, 777.8" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 132.3, 166.7, 259.7, 471.0, 848.3, 1651.5", \ + " 132.9, 167.1, 259.9, 471.0, 848.3, 1651.5", \ + " 133.6, 167.6, 260.2, 471.1, 848.3, 1651.5", \ + " 135.5, 169.1, 261.1, 471.5, 848.4, 1651.6", \ + " 142.1, 175.0, 265.6, 473.9, 849.1, 1651.6", \ + " 154.4, 185.9, 274.6, 480.8, 853.4, 1652.3", \ + " 179.8, 208.4, 292.7, 495.2, 864.1, 1658.2", \ + " 215.8, 246.7, 329.2, 523.5, 886.3, 1673.0", \ + " 277.7, 307.7, 391.6, 580.0, 931.3, 1705.1", \ + " 384.5, 412.5, 492.5, 682.2, 1018.3, 1771.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.6, 65.3, 89.2, 139.2, 224.0, 399.7", \ + " 60.9, 70.6, 94.5, 144.6, 229.3, 405.1", \ + " 65.6, 75.1, 99.0, 149.0, 233.8, 409.6", \ + " 72.4, 81.6, 105.3, 155.3, 240.0, 415.8", \ + " 84.4, 94.0, 117.0, 166.6, 251.3, 427.0", \ + " 97.0, 108.2, 134.1, 183.5, 267.8, 443.3", \ + " 110.7, 123.5, 153.7, 209.3, 293.3, 468.3", \ + " 124.8, 139.6, 174.4, 239.2, 331.6, 505.7", \ + " 139.7, 156.5, 196.5, 271.6, 380.0, 563.1", \ + " 154.8, 174.2, 220.0, 306.5, 432.9, 646.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.1, 145.3, 199.8, 323.1, 542.6, 1010.2", \ + " 125.4, 145.0, 198.9, 322.5, 542.3, 1010.2", \ + " 129.5, 148.5, 201.1, 322.6, 542.3, 1010.2", \ + " 137.1, 155.5, 206.6, 325.6, 542.6, 1010.3", \ + " 153.1, 171.1, 220.4, 335.5, 547.5, 1010.5", \ + " 178.9, 196.5, 244.5, 355.3, 561.2, 1015.3", \ + " 215.3, 235.5, 284.1, 391.5, 589.8, 1032.4", \ + " 264.0, 286.5, 341.4, 449.7, 641.2, 1069.4", \ + " 333.5, 358.6, 419.2, 538.2, 727.4, 1140.0", \ + " 433.5, 462.0, 529.6, 660.5, 863.0, 1264.7" ); }} +timing() { /* ring osc delay aoi211v5x05, path b to z 79.7 */ +related_pin : "b" ; +when : "(a1'*a2'*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 61.6 ; */ +/* rise_resistance : 6.11 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.1, 60.5, 87.5, 147.7, 254.6, 481.4", \ + " 56.9, 67.3, 94.4, 154.9, 261.8, 488.7", \ + " 61.7, 72.1, 99.2, 159.7, 266.7, 493.7", \ + " 67.3, 78.1, 105.3, 165.8, 272.8, 499.8", \ + " 74.0, 86.5, 115.7, 176.2, 283.2, 510.2", \ + " 81.7, 95.7, 128.5, 191.1, 298.0, 525.0", \ + " 91.5, 107.4, 144.1, 213.1, 320.7, 547.3", \ + " 104.0, 122.3, 163.6, 240.2, 354.6, 580.7", \ + " 120.6, 141.7, 189.0, 274.8, 401.0, 631.9", \ + " 142.3, 166.9, 221.8, 319.5, 460.2, 709.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 98.0, 126.1, 201.8, 373.4, 679.7, 1331.2", \ + " 98.9, 126.7, 202.0, 373.5, 679.7, 1331.2", \ + " 102.2, 129.5, 203.6, 373.9, 679.7, 1331.2", \ + " 107.9, 134.6, 207.7, 376.1, 680.0, 1331.2", \ + " 120.5, 145.8, 217.1, 382.9, 683.6, 1331.3", \ + " 143.7, 166.4, 234.2, 396.3, 692.6, 1334.4", \ + " 173.1, 200.4, 265.8, 421.5, 711.6, 1345.2", \ + " 216.9, 244.6, 316.6, 465.7, 747.0, 1369.1", \ + " 283.5, 311.4, 384.9, 541.3, 810.1, 1416.6", \ + " 378.3, 408.0, 484.3, 648.1, 917.7, 1504.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.1, 49.8, 69.7, 113.2, 189.6, 351.0", \ + " 48.7, 56.5, 76.6, 120.3, 196.8, 358.3", \ + " 54.0, 61.9, 82.0, 125.7, 202.3, 363.8", \ + " 61.4, 69.3, 89.3, 133.1, 209.7, 371.2", \ + " 71.5, 81.1, 102.7, 146.3, 222.8, 384.3", \ + " 81.8, 93.2, 119.4, 165.7, 241.9, 403.3", \ + " 93.1, 106.5, 137.6, 193.2, 271.2, 432.0", \ + " 105.2, 120.9, 157.2, 223.4, 314.2, 474.9", \ + " 118.3, 136.5, 178.9, 256.6, 365.5, 540.3", \ + " 132.1, 153.4, 202.9, 293.7, 422.6, 632.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.6, 85.5, 133.2, 240.7, 431.9, 838.3", \ + " 69.7, 87.0, 133.8, 240.8, 431.9, 838.3", \ + " 74.8, 91.4, 136.7, 241.6, 432.0, 838.3", \ + " 83.9, 99.7, 143.3, 245.4, 432.6, 838.3", \ + " 102.7, 117.5, 158.6, 256.5, 438.5, 838.5", \ + " 128.3, 145.1, 184.8, 277.6, 453.4, 844.1", \ + " 162.2, 181.8, 226.7, 315.7, 483.4, 862.4", \ + " 207.5, 230.1, 282.1, 377.1, 536.6, 901.1", \ + " 270.2, 296.4, 356.4, 466.2, 626.5, 973.7", \ + " 358.5, 389.5, 459.2, 585.8, 767.0, 1102.2" ); }} +timing() { /* ring osc delay aoi211v5x05, path b to z 99.0 */ +related_pin : "b" ; +when : "(a1'*a2*c')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 61.6 ; */ +/* rise_resistance : 6.11 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 81.8, 114.6, 187.5, 316.5, 590.3", \ + " 75.4, 88.0, 120.9, 193.9, 323.1, 597.0", \ + " 79.9, 92.5, 125.4, 198.5, 327.7, 601.6", \ + " 85.6, 98.2, 131.1, 204.2, 333.5, 607.5", \ + " 94.2, 107.8, 141.0, 214.1, 343.4, 617.4", \ + " 103.4, 118.5, 155.1, 228.4, 357.5, 631.5", \ + " 115.7, 132.5, 172.5, 250.5, 379.4, 653.1", \ + " 132.2, 150.9, 195.2, 280.5, 412.5, 685.4", \ + " 154.8, 176.0, 225.5, 319.4, 462.2, 735.4", \ + " 185.3, 209.8, 266.2, 371.0, 527.7, 813.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 143.4, 177.1, 268.0, 474.0, 841.6, 1623.8", \ + " 143.5, 177.2, 268.0, 474.0, 841.6, 1623.8", \ + " 145.4, 178.5, 268.5, 474.1, 841.6, 1623.8", \ + " 150.1, 182.8, 271.7, 475.1, 841.8, 1623.8", \ + " 160.4, 192.3, 279.7, 480.8, 843.8, 1623.8", \ + " 179.4, 209.7, 294.6, 492.2, 851.1, 1625.1", \ + " 215.3, 243.0, 322.7, 514.7, 867.3, 1633.3", \ + " 256.6, 290.2, 372.3, 555.1, 898.9, 1653.4", \ + " 321.4, 355.1, 443.3, 625.2, 957.0, 1695.3", \ + " 416.3, 451.0, 541.4, 736.1, 1058.0, 1775.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 53.0, 73.3, 117.1, 193.5, 354.9", \ + " 51.9, 60.0, 80.4, 124.4, 201.0, 362.5", \ + " 57.3, 65.4, 85.9, 130.0, 206.6, 368.1", \ + " 65.0, 72.8, 93.3, 137.4, 214.0, 375.6", \ + " 76.3, 85.5, 106.6, 150.6, 227.2, 388.8", \ + " 87.9, 98.9, 124.2, 169.9, 246.2, 407.7", \ + " 100.3, 113.2, 143.3, 197.7, 275.3, 436.4", \ + " 113.3, 128.2, 163.5, 228.6, 318.3, 479.1", \ + " 126.8, 144.2, 185.4, 262.1, 370.2, 544.2", \ + " 140.1, 160.5, 208.8, 298.8, 427.1, 636.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.4, 98.2, 145.8, 253.2, 444.3, 850.6", \ + " 82.3, 99.4, 146.2, 253.2, 444.3, 850.6", \ + " 87.0, 103.6, 148.9, 253.9, 444.4, 850.6", \ + " 95.7, 111.5, 155.1, 257.4, 444.9, 850.7", \ + " 114.3, 129.0, 170.0, 268.1, 450.5, 850.8", \ + " 142.2, 157.3, 196.0, 289.0, 465.0, 856.1", \ + " 179.3, 197.0, 238.9, 326.9, 494.8, 874.1", \ + " 228.7, 249.1, 297.5, 389.0, 548.0, 912.6", \ + " 296.6, 320.3, 376.1, 481.2, 638.7, 985.4", \ + " 391.7, 419.7, 484.6, 605.6, 782.1, 1114.8" ); }} +timing() { /* ring osc delay aoi211v5x05, path b to z 90.1 */ +related_pin : "b" ; +when : "(a1*a2'*c')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 61.6 ; */ +/* rise_resistance : 6.11 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.5, 73.0, 105.8, 178.6, 307.6, 581.4", \ + " 66.5, 79.1, 112.0, 185.0, 314.1, 588.0", \ + " 70.9, 83.6, 116.5, 189.6, 318.8, 592.7", \ + " 76.5, 89.3, 122.2, 195.3, 324.6, 598.5", \ + " 83.8, 98.3, 132.1, 205.2, 334.4, 608.5", \ + " 91.9, 107.8, 145.6, 219.5, 348.6, 622.6", \ + " 102.8, 120.6, 162.1, 241.6, 370.5, 644.1", \ + " 117.6, 137.6, 183.7, 270.7, 403.6, 676.5", \ + " 138.1, 160.9, 212.7, 308.7, 452.9, 726.5", \ + " 165.8, 192.3, 251.7, 359.2, 517.5, 804.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.3, 160.9, 251.5, 457.2, 824.6, 1606.5", \ + " 127.6, 161.0, 251.5, 457.2, 824.6, 1606.5", \ + " 130.0, 162.8, 252.2, 457.4, 824.6, 1606.5", \ + " 135.1, 167.3, 255.7, 458.6, 824.8, 1606.5", \ + " 146.2, 177.4, 264.1, 464.6, 827.0, 1606.5", \ + " 167.0, 196.0, 279.6, 476.4, 834.6, 1608.0", \ + " 200.8, 231.4, 308.8, 499.4, 851.2, 1616.4", \ + " 242.5, 276.0, 360.2, 540.7, 883.3, 1636.7", \ + " 308.2, 341.4, 429.3, 611.9, 942.1, 1679.1", \ + " 403.2, 437.5, 527.4, 722.3, 1044.0, 1759.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.4, 50.1, 70.0, 113.6, 189.9, 351.3", \ + " 49.3, 57.1, 77.2, 120.9, 197.4, 358.9", \ + " 54.7, 62.5, 82.6, 126.4, 203.0, 364.5", \ + " 62.0, 69.9, 90.0, 133.9, 210.4, 372.0", \ + " 72.1, 81.7, 103.3, 147.0, 223.6, 385.2", \ + " 82.2, 93.8, 120.0, 166.3, 242.7, 404.1", \ + " 93.0, 106.6, 137.9, 193.7, 271.8, 432.8", \ + " 104.1, 120.0, 156.9, 223.5, 314.6, 475.5", \ + " 115.3, 134.0, 177.2, 255.9, 365.5, 540.6", \ + " 125.8, 147.7, 198.5, 291.1, 421.4, 632.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.6, 85.5, 133.2, 240.7, 431.9, 838.3", \ + " 69.3, 86.7, 133.6, 240.8, 431.9, 838.3", \ + " 74.1, 90.9, 136.4, 241.4, 432.0, 838.3", \ + " 82.9, 98.8, 142.6, 245.0, 432.5, 838.3", \ + " 101.3, 116.3, 157.5, 255.7, 438.1, 838.5", \ + " 126.9, 143.7, 183.4, 276.6, 452.7, 843.8", \ + " 161.2, 180.7, 225.5, 314.5, 482.4, 861.8", \ + " 207.3, 229.8, 281.5, 376.2, 535.7, 900.3", \ + " 271.2, 297.4, 357.2, 466.5, 626.2, 973.1", \ + " 361.2, 392.4, 462.2, 588.1, 768.4, 1102.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 61.6 ; */ +/* rise_resistance : 6.11 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 81.8, 114.6, 187.5, 316.5, 590.3", \ + " 75.4, 88.0, 120.9, 193.9, 323.1, 597.0", \ + " 79.9, 92.5, 125.4, 198.5, 327.7, 601.6", \ + " 85.6, 98.2, 131.1, 204.2, 333.5, 607.5", \ + " 94.2, 107.8, 141.0, 214.1, 343.4, 617.4", \ + " 103.4, 118.5, 155.1, 228.4, 357.5, 631.5", \ + " 115.7, 132.5, 172.5, 250.5, 379.4, 653.1", \ + " 132.2, 150.9, 195.2, 280.5, 412.5, 685.4", \ + " 154.8, 176.0, 225.5, 319.4, 462.2, 735.4", \ + " 185.3, 209.8, 266.2, 371.0, 527.7, 813.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 143.4, 177.1, 268.0, 474.0, 841.6, 1623.8", \ + " 143.5, 177.2, 268.0, 474.0, 841.6, 1623.8", \ + " 145.4, 178.5, 268.5, 474.1, 841.6, 1623.8", \ + " 150.1, 182.8, 271.7, 475.1, 841.8, 1623.8", \ + " 160.4, 192.3, 279.7, 480.8, 843.8, 1623.8", \ + " 179.4, 209.7, 294.6, 492.2, 851.1, 1625.1", \ + " 215.3, 243.0, 322.7, 514.7, 867.3, 1633.3", \ + " 256.6, 290.2, 372.3, 555.1, 898.9, 1653.4", \ + " 321.4, 355.1, 443.3, 625.2, 957.0, 1695.3", \ + " 416.3, 451.0, 541.4, 736.1, 1058.0, 1775.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 53.0, 73.3, 117.1, 193.5, 354.9", \ + " 51.9, 60.0, 80.4, 124.4, 201.0, 362.5", \ + " 57.3, 65.4, 85.9, 130.0, 206.6, 368.1", \ + " 65.0, 72.8, 93.3, 137.4, 214.0, 375.6", \ + " 76.3, 85.5, 106.6, 150.6, 227.2, 388.8", \ + " 87.9, 98.9, 124.2, 169.9, 246.2, 407.7", \ + " 100.3, 113.2, 143.3, 197.7, 275.3, 436.4", \ + " 113.3, 128.2, 163.5, 228.6, 318.3, 479.1", \ + " 126.8, 144.2, 185.4, 262.1, 370.2, 544.2", \ + " 140.1, 160.5, 208.8, 298.8, 427.1, 636.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.4, 98.2, 145.8, 253.2, 444.3, 850.6", \ + " 82.3, 99.4, 146.2, 253.2, 444.3, 850.6", \ + " 87.0, 103.6, 148.9, 253.9, 444.4, 850.6", \ + " 95.7, 111.5, 155.1, 257.4, 444.9, 850.7", \ + " 114.3, 129.0, 170.0, 268.1, 450.5, 850.8", \ + " 142.2, 157.3, 196.0, 289.0, 465.0, 856.1", \ + " 179.3, 197.0, 238.9, 326.9, 494.8, 874.1", \ + " 228.7, 249.1, 297.5, 389.0, 548.0, 912.6", \ + " 296.6, 320.3, 376.1, 481.2, 638.7, 985.4", \ + " 391.7, 419.7, 484.6, 605.6, 782.1, 1114.8" ); }} +timing() { /* ring osc delay aoi211v5x05, path c to z 64.1 */ +related_pin : "c" ; +when : "(a1'*a2'*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.2 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 6.10 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 47.2, 74.6, 135.2, 242.2, 469.2", \ + " 41.8, 52.2, 79.7, 140.5, 247.8, 474.9", \ + " 47.2, 57.1, 84.2, 145.0, 252.2, 479.4", \ + " 52.8, 64.4, 90.8, 151.2, 258.4, 485.5", \ + " 60.7, 73.8, 103.5, 162.9, 269.6, 496.5", \ + " 70.3, 85.1, 119.0, 180.6, 286.3, 512.6", \ + " 82.6, 99.4, 137.7, 207.6, 312.7, 537.6", \ + " 97.8, 117.0, 160.5, 240.0, 353.0, 575.6", \ + " 116.9, 139.3, 189.3, 279.5, 409.0, 634.8", \ + " 141.4, 167.7, 225.9, 329.1, 476.8, 727.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 91.9, 120.1, 195.1, 363.8, 663.3, 1299.9", \ + " 95.9, 122.4, 195.2, 363.5, 663.3, 1299.9", \ + " 102.5, 128.1, 198.9, 363.8, 663.2, 1299.9", \ + " 112.5, 137.1, 205.8, 367.4, 663.0, 1299.9", \ + " 131.9, 154.9, 220.7, 377.5, 667.5, 1299.8", \ + " 161.4, 183.0, 245.1, 396.5, 679.8, 1302.2", \ + " 186.6, 218.4, 285.0, 430.3, 705.1, 1315.6", \ + " 227.2, 260.1, 340.2, 485.4, 750.8, 1346.4", \ + " 289.0, 323.6, 408.2, 573.1, 829.4, 1406.3", \ + " 380.2, 417.9, 508.2, 687.1, 956.8, 1515.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.2, 38.9, 58.6, 101.7, 177.7, 338.8", \ + " 37.9, 45.6, 65.6, 109.2, 185.5, 346.8", \ + " 43.2, 51.0, 70.9, 114.6, 191.1, 352.5", \ + " 48.2, 57.8, 78.3, 121.9, 198.4, 360.0", \ + " 54.3, 65.9, 90.9, 135.0, 211.5, 373.1", \ + " 60.3, 74.0, 104.1, 154.2, 230.4, 391.9", \ + " 66.5, 82.6, 118.2, 179.0, 259.4, 420.4", \ + " 72.3, 91.3, 133.0, 205.2, 301.1, 463.0", \ + " 77.2, 99.6, 148.6, 233.6, 348.6, 528.1", \ + " 79.7, 106.2, 164.2, 264.3, 400.6, 617.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 44.9, 62.8, 110.5, 218.0, 409.2, 815.3", \ + " 47.9, 64.6, 110.8, 218.0, 409.2, 815.3", \ + " 54.0, 69.8, 114.2, 218.5, 409.2, 815.3", \ + " 64.2, 79.0, 121.2, 222.5, 409.5, 815.3", \ + " 82.0, 97.8, 137.2, 233.8, 415.3, 815.3", \ + " 103.5, 122.5, 164.0, 255.4, 430.3, 820.6", \ + " 134.0, 155.4, 204.3, 294.0, 460.6, 838.9", \ + " 176.4, 200.7, 256.0, 356.0, 514.3, 877.7", \ + " 236.8, 264.8, 327.3, 441.6, 604.5, 950.7", \ + " 323.4, 356.0, 428.2, 557.7, 743.9, 1079.9" ); }} +timing() { /* ring osc delay aoi211v5x05, path c to z 79.3 */ +related_pin : "c" ; +when : "(a1'*a2*b')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.2 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 6.10 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 62.1, 95.2, 168.5, 297.7, 571.7", \ + " 54.1, 66.6, 99.8, 173.4, 302.9, 577.0", \ + " 58.8, 71.0, 104.0, 177.5, 307.0, 581.2", \ + " 66.3, 77.8, 110.1, 183.3, 312.7, 586.9", \ + " 76.3, 90.0, 121.9, 194.2, 323.2, 597.2", \ + " 88.4, 103.7, 139.7, 210.9, 338.9, 612.2", \ + " 103.9, 121.1, 161.6, 237.6, 363.8, 635.7", \ + " 123.6, 142.9, 188.3, 274.2, 402.3, 671.6", \ + " 149.0, 171.1, 222.4, 319.1, 461.4, 728.0", \ + " 182.0, 207.6, 266.6, 375.8, 536.9, 817.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.8, 167.8, 258.2, 461.3, 821.4, 1585.8", \ + " 135.1, 167.9, 256.9, 460.7, 821.3, 1585.8", \ + " 140.3, 172.3, 259.3, 459.9, 821.1, 1585.8", \ + " 148.6, 179.7, 264.7, 462.0, 820.6, 1585.8", \ + " 165.1, 195.0, 277.3, 469.9, 822.3, 1585.6", \ + " 191.3, 219.5, 298.8, 485.8, 831.5, 1585.6", \ + " 228.1, 260.3, 334.8, 515.7, 852.7, 1594.4", \ + " 264.4, 303.0, 391.8, 566.0, 892.7, 1619.2", \ + " 323.6, 363.2, 461.5, 646.8, 964.0, 1670.8", \ + " 414.3, 455.9, 558.6, 766.4, 1082.8, 1768.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 40.9, 61.3, 105.1, 181.3, 342.4", \ + " 39.8, 47.8, 68.5, 112.7, 189.2, 350.6", \ + " 45.5, 53.3, 73.9, 118.2, 194.9, 356.3", \ + " 51.5, 60.8, 81.3, 125.6, 202.3, 363.9", \ + " 59.0, 70.3, 94.6, 138.8, 215.4, 377.0", \ + " 66.6, 79.8, 109.0, 158.0, 234.4, 395.9", \ + " 74.5, 89.8, 124.2, 183.6, 263.4, 424.4", \ + " 81.9, 99.6, 139.8, 210.6, 305.3, 467.0", \ + " 88.0, 108.8, 155.9, 239.4, 353.3, 531.8", \ + " 91.3, 115.7, 171.3, 269.9, 405.4, 621.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.6, 75.4, 123.0, 230.4, 421.5, 827.6", \ + " 60.4, 77.0, 123.2, 230.4, 421.5, 827.6", \ + " 66.3, 82.1, 126.5, 230.9, 421.5, 827.6", \ + " 75.9, 90.9, 133.3, 234.6, 421.8, 827.6", \ + " 95.7, 109.2, 148.9, 245.7, 427.5, 827.6", \ + " 119.7, 136.6, 175.5, 267.1, 442.2, 832.8", \ + " 153.2, 172.4, 217.7, 305.5, 472.3, 850.9", \ + " 199.5, 221.2, 272.5, 367.9, 526.0, 889.5", \ + " 264.8, 289.7, 347.8, 457.2, 616.9, 962.7", \ + " 357.4, 386.7, 453.8, 577.8, 759.2, 1092.6" ); }} +timing() { /* ring osc delay aoi211v5x05, path c to z 70.5 */ +related_pin : "c" ; +when : "(a1*a2'*b')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.2 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 6.10 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 53.2, 86.3, 159.6, 288.8, 562.7", \ + " 45.4, 57.7, 90.9, 164.4, 293.9, 568.1", \ + " 50.6, 62.4, 95.0, 168.5, 298.1, 572.2", \ + " 57.1, 69.6, 101.3, 174.3, 303.8, 577.9", \ + " 65.9, 80.4, 113.4, 185.3, 314.2, 588.2", \ + " 76.7, 92.9, 130.4, 202.1, 330.0, 603.3", \ + " 90.7, 108.9, 151.1, 229.1, 355.0, 626.8", \ + " 108.5, 129.2, 176.6, 264.5, 393.6, 662.8", \ + " 131.5, 155.4, 209.1, 308.1, 452.4, 719.3", \ + " 161.4, 189.3, 251.5, 363.5, 526.6, 808.9" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.9, 150.9, 241.4, 444.5, 804.4, 1568.5", \ + " 119.5, 152.0, 240.4, 443.8, 804.3, 1568.5", \ + " 125.4, 156.9, 243.3, 443.1, 804.1, 1568.5", \ + " 134.5, 165.0, 249.2, 445.6, 803.4, 1568.4", \ + " 152.2, 181.4, 262.5, 454.0, 805.5, 1568.3", \ + " 180.6, 207.0, 285.0, 470.6, 815.2, 1568.4", \ + " 210.4, 249.0, 321.8, 501.2, 836.9, 1577.5", \ + " 246.9, 286.1, 380.3, 552.2, 877.5, 1602.8", \ + " 306.5, 346.3, 445.6, 633.8, 949.6, 1655.0", \ + " 396.7, 438.8, 542.1, 751.4, 1069.0, 1753.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.3, 39.0, 58.6, 101.8, 177.8, 338.9", \ + " 38.1, 45.8, 65.8, 109.4, 185.7, 347.0", \ + " 43.6, 51.3, 71.2, 114.9, 191.3, 352.7", \ + " 48.7, 58.2, 78.6, 122.3, 198.8, 360.3", \ + " 54.8, 66.4, 91.4, 135.4, 211.9, 373.4", \ + " 60.6, 74.4, 104.6, 154.7, 230.8, 392.3", \ + " 66.2, 82.6, 118.4, 179.4, 259.8, 420.9", \ + " 70.9, 90.2, 132.5, 205.3, 301.3, 463.4", \ + " 73.8, 96.7, 146.7, 232.8, 348.5, 528.3", \ + " 73.1, 100.3, 159.8, 261.7, 399.4, 617.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 44.9, 62.8, 110.5, 218.0, 409.2, 815.3", \ + " 47.7, 64.5, 110.7, 218.0, 409.2, 815.3", \ + " 53.6, 69.5, 114.0, 218.5, 409.2, 815.3", \ + " 63.4, 78.3, 120.8, 222.3, 409.5, 815.3", \ + " 81.1, 96.9, 136.5, 233.4, 415.1, 815.3", \ + " 102.4, 121.4, 163.0, 254.7, 429.9, 820.5", \ + " 133.0, 154.4, 203.3, 293.1, 459.9, 838.5", \ + " 175.8, 200.2, 255.5, 355.3, 513.6, 877.2", \ + " 236.7, 265.0, 327.9, 441.8, 604.3, 950.4", \ + " 324.0, 357.4, 430.4, 559.8, 745.2, 1080.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.2 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 6.10 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 62.1, 95.2, 168.5, 297.7, 571.7", \ + " 54.1, 66.6, 99.8, 173.4, 302.9, 577.0", \ + " 58.8, 71.0, 104.0, 177.5, 307.0, 581.2", \ + " 66.3, 77.8, 110.1, 183.3, 312.7, 586.9", \ + " 76.3, 90.0, 121.9, 194.2, 323.2, 597.2", \ + " 88.4, 103.7, 139.7, 210.9, 338.9, 612.2", \ + " 103.9, 121.1, 161.6, 237.6, 363.8, 635.7", \ + " 123.6, 142.9, 188.3, 274.2, 402.3, 671.6", \ + " 149.0, 171.1, 222.4, 319.1, 461.4, 728.0", \ + " 182.0, 207.6, 266.6, 375.8, 536.9, 817.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.8, 167.8, 258.2, 461.3, 821.4, 1585.8", \ + " 135.1, 167.9, 256.9, 460.7, 821.3, 1585.8", \ + " 140.3, 172.3, 259.3, 459.9, 821.1, 1585.8", \ + " 148.6, 179.7, 264.7, 462.0, 820.6, 1585.8", \ + " 165.1, 195.0, 277.3, 469.9, 822.3, 1585.6", \ + " 191.3, 219.5, 298.8, 485.8, 831.5, 1585.6", \ + " 228.1, 260.3, 334.8, 515.7, 852.7, 1594.4", \ + " 264.4, 303.0, 391.8, 566.0, 892.7, 1619.2", \ + " 323.6, 363.2, 461.5, 646.8, 964.0, 1670.8", \ + " 414.3, 455.9, 558.6, 766.4, 1082.8, 1768.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 40.9, 61.3, 105.1, 181.3, 342.4", \ + " 39.8, 47.8, 68.5, 112.7, 189.2, 350.6", \ + " 45.5, 53.3, 73.9, 118.2, 194.9, 356.3", \ + " 51.5, 60.8, 81.3, 125.6, 202.3, 363.9", \ + " 59.0, 70.3, 94.6, 138.8, 215.4, 377.0", \ + " 66.6, 79.8, 109.0, 158.0, 234.4, 395.9", \ + " 74.5, 89.8, 124.2, 183.6, 263.4, 424.4", \ + " 81.9, 99.6, 139.8, 210.6, 305.3, 467.0", \ + " 88.0, 108.8, 155.9, 239.4, 353.3, 531.8", \ + " 91.3, 115.7, 171.3, 269.9, 405.4, 621.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.6, 75.4, 123.0, 230.4, 421.5, 827.6", \ + " 60.4, 77.0, 123.2, 230.4, 421.5, 827.6", \ + " 66.3, 82.1, 126.5, 230.9, 421.5, 827.6", \ + " 75.9, 90.9, 133.3, 234.6, 421.8, 827.6", \ + " 95.7, 109.2, 148.9, 245.7, 427.5, 827.6", \ + " 119.7, 136.6, 175.5, 267.1, 442.2, 832.8", \ + " 153.2, 172.4, 217.7, 305.5, 472.3, 850.9", \ + " 199.5, 221.2, 272.5, 367.9, 526.0, 889.5", \ + " 264.8, 289.7, 347.8, 457.2, 616.9, 962.7", \ + " 357.4, 386.7, 453.8, 577.8, 759.2, 1092.6" ); }} +} +} +cell(aoi22v0x05) { /* 2008-01-06:07h26 characteristic delay 16.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* aoi22v0x05 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v0x05 FO4 effort 2.05 logical effort 1.95 */ +direction : input ; +capacitance : 2.75 ; +rise_capacitance : 2.74 ; +fall_capacitance : 2.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v0x05 */ +} +pin(a2) { /* aoi22v0x05 FO4 effort 2.02 logical effort 1.95 */ +direction : input ; +capacitance : 2.75 ; +rise_capacitance : 2.83 ; +fall_capacitance : 2.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v0x05 */ +} +pin(b1) { /* aoi22v0x05 FO4 effort 1.74 logical effort 2.00 */ +direction : input ; +capacitance : 2.87 ; +rise_capacitance : 2.68 ; +fall_capacitance : 3.06 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v0x05 */ +} +pin(b2) { /* aoi22v0x05 FO4 effort 1.64 logical effort 1.88 */ +direction : input ; +capacitance : 2.70 ; +rise_capacitance : 2.60 ; +fall_capacitance : 2.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 57 ; +max_fanout : 2 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v0x05 12.95 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.62, 6.62, 6.63, 6.62, 6.61", \ + " 6.51, 6.52, 6.54, 6.55, 6.54", \ + " 6.46, 6.48, 6.50, 6.52, 6.52", \ + " 6.43, 6.45, 6.47, 6.49, 6.50", \ + " 6.44, 6.44, 6.46, 6.48, 6.48", \ + " 6.54, 6.53, 6.51, 6.50, 6.49", \ + " 6.84, 6.79, 6.71, 6.62, 6.57", \ + " 7.53, 7.41, 7.20, 6.97, 6.80", \ + " 8.91, 8.70, 8.29, 7.79, 7.38", \ + " 11.35, 11.03, 10.37, 9.47, 8.65" ); }} +internal_power(a2_z_n) { /* aoi22v0x05 11.83 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 6.06, 6.08, 6.09, 6.10, 6.09", \ + " 5.92, 5.95, 5.99, 6.02, 6.03", \ + " 5.87, 5.90, 5.95, 5.99, 6.00", \ + " 5.85, 5.87, 5.91, 5.96, 5.98", \ + " 5.87, 5.87, 5.90, 5.94, 5.96", \ + " 5.99, 5.97, 5.96, 5.96, 5.96", \ + " 6.33, 6.26, 6.17, 6.09, 6.04", \ + " 7.03, 6.90, 6.68, 6.44, 6.26", \ + " 8.37, 8.15, 7.74, 7.25, 6.84", \ + " 10.71, 10.38, 9.73, 8.86, 8.06" ); }} +internal_power(b1_z_n) { /* aoi22v0x05 7.45 nW/MHz */ +related_pin : "b1" ; +power(pwr_x05_81_5x10) { +values( " 3.75, 3.79, 3.85, 3.87, 3.88", \ + " 3.61, 3.67, 3.76, 3.83, 3.87", \ + " 3.59, 3.64, 3.73, 3.81, 3.86", \ + " 3.63, 3.66, 3.73, 3.80, 3.85", \ + " 3.79, 3.78, 3.79, 3.82, 3.86", \ + " 4.13, 4.06, 3.97, 3.93, 3.92", \ + " 4.77, 4.62, 4.40, 4.20, 4.08", \ + " 5.87, 5.62, 5.20, 4.77, 4.47", \ + " 7.67, 7.31, 6.65, 5.87, 5.26", \ + " 10.56, 10.09, 9.15, 7.90, 6.82" ); }} +internal_power(b2_z_n) { /* aoi22v0x05 6.30 nW/MHz */ +related_pin : "b2" ; +power(pwr_x05_81_5x10) { +values( " 3.12, 3.18, 3.24, 3.28, 3.30", \ + " 3.01, 3.07, 3.17, 3.25, 3.29", \ + " 3.01, 3.06, 3.15, 3.24, 3.29", \ + " 3.07, 3.09, 3.15, 3.23, 3.28", \ + " 3.26, 3.23, 3.22, 3.26, 3.29", \ + " 3.62, 3.53, 3.42, 3.37, 3.35", \ + " 4.26, 4.10, 3.85, 3.64, 3.52", \ + " 5.34, 5.07, 4.64, 4.21, 3.90", \ + " 7.09, 6.71, 6.04, 5.28, 4.68", \ + " 9.88, 9.38, 8.43, 7.22, 6.19" ); }} +timing() { /* ring osc delay aoi22v0x05, path a1 to z 91.6 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.3 ; */ +/* intrinsic_fall : 66.9 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.40 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.0, 70.0, 98.5, 162.0, 274.4, 512.9", \ + " 66.9, 77.9, 106.6, 170.1, 282.6, 521.2", \ + " 72.8, 83.8, 112.5, 176.1, 288.6, 527.2", \ + " 80.4, 91.5, 120.2, 183.9, 296.4, 535.0", \ + " 92.0, 104.2, 133.3, 196.9, 309.5, 548.1", \ + " 104.3, 118.6, 151.3, 215.3, 327.8, 566.5", \ + " 118.7, 135.4, 173.6, 243.1, 355.5, 594.0", \ + " 136.7, 156.0, 200.0, 280.2, 396.6, 634.8", \ + " 161.5, 183.6, 234.1, 326.0, 457.5, 696.8", \ + " 197.1, 222.5, 280.2, 384.9, 535.3, 792.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.8, 140.5, 218.3, 395.4, 712.0, 1386.0", \ + " 112.2, 140.8, 218.4, 395.4, 712.0, 1386.0", \ + " 113.6, 141.7, 218.8, 395.5, 712.0, 1386.0", \ + " 117.9, 145.3, 221.1, 396.2, 712.1, 1386.0", \ + " 128.4, 154.9, 228.9, 401.0, 713.4, 1386.1", \ + " 148.0, 173.0, 244.4, 412.9, 720.6, 1386.9", \ + " 182.1, 206.8, 273.9, 437.1, 738.4, 1395.3", \ + " 229.5, 256.9, 326.0, 480.9, 773.5, 1418.1", \ + " 301.4, 330.2, 404.5, 557.8, 837.8, 1465.8", \ + " 409.7, 439.7, 517.7, 681.5, 950.7, 1556.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.8, 71.7, 99.7, 160.3, 266.1, 489.4", \ + " 65.2, 76.2, 104.2, 165.0, 270.9, 494.2", \ + " 68.3, 79.3, 107.4, 168.2, 274.2, 497.5", \ + " 72.6, 83.5, 111.6, 172.5, 278.5, 501.9", \ + " 79.4, 91.0, 119.3, 180.2, 286.2, 509.7", \ + " 87.0, 99.5, 130.0, 191.4, 297.5, 520.8", \ + " 95.4, 109.4, 142.8, 208.4, 314.6, 537.8", \ + " 103.7, 119.6, 157.2, 229.2, 340.4, 563.2", \ + " 110.6, 129.1, 172.3, 253.1, 374.7, 601.9", \ + " 114.1, 135.7, 186.1, 279.3, 415.2, 660.0" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.2, 136.2, 208.5, 371.6, 661.9, 1278.9", \ + " 110.2, 137.0, 208.7, 371.7, 661.9, 1278.9", \ + " 112.8, 139.3, 210.4, 372.1, 661.9, 1278.9", \ + " 117.8, 143.8, 213.9, 374.3, 662.4, 1278.9", \ + " 129.7, 154.6, 222.8, 380.3, 665.6, 1279.1", \ + " 150.9, 174.6, 239.9, 393.1, 673.9, 1282.3", \ + " 181.2, 206.8, 271.2, 418.2, 692.0, 1292.3", \ + " 224.2, 251.7, 319.8, 462.9, 727.1, 1315.0", \ + " 286.2, 316.0, 388.8, 537.5, 791.3, 1361.7", \ + " 375.1, 408.3, 487.8, 646.1, 902.0, 1450.4" ); }} +timing() { /* ring osc delay aoi22v0x05, path a1 to z 102.4 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.3 ; */ +/* intrinsic_fall : 66.9 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.40 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.1, 90.1, 126.8, 208.6, 353.7, 661.7", \ + " 83.9, 97.9, 134.7, 216.7, 361.8, 669.9", \ + " 89.7, 103.7, 140.5, 222.6, 367.7, 675.8", \ + " 96.9, 110.9, 147.8, 229.9, 375.1, 683.2", \ + " 108.5, 122.7, 159.6, 241.6, 386.9, 695.0", \ + " 121.7, 137.5, 175.6, 257.6, 402.8, 710.9", \ + " 137.2, 155.0, 197.5, 281.3, 426.3, 734.2", \ + " 156.7, 176.7, 224.1, 315.6, 461.0, 768.5", \ + " 183.9, 206.4, 259.2, 360.3, 514.4, 820.7", \ + " 222.9, 248.5, 307.8, 419.7, 589.0, 902.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 135.1, 173.5, 276.6, 510.5, 927.9, 1815.6", \ + " 135.5, 173.7, 276.7, 510.6, 927.9, 1815.6", \ + " 136.2, 174.2, 277.0, 510.6, 927.9, 1815.6", \ + " 139.5, 176.8, 278.3, 511.0, 928.0, 1815.6", \ + " 147.6, 184.2, 284.1, 513.9, 928.4, 1815.6", \ + " 162.8, 198.1, 295.9, 522.4, 932.8, 1815.7", \ + " 192.4, 225.1, 318.9, 540.8, 945.4, 1820.1", \ + " 235.4, 270.8, 361.6, 575.5, 971.9, 1835.6", \ + " 302.3, 338.9, 434.1, 639.3, 1023.2, 1871.0", \ + " 406.1, 443.5, 541.3, 750.9, 1117.3, 1942.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.0, 67.6, 96.3, 157.6, 263.9, 487.5", \ + " 60.4, 71.9, 100.8, 162.2, 268.5, 492.1", \ + " 63.5, 75.0, 103.9, 165.3, 271.7, 495.3", \ + " 67.9, 79.3, 108.2, 169.6, 276.0, 499.7", \ + " 74.8, 86.8, 115.8, 177.3, 283.7, 507.4", \ + " 82.4, 95.3, 126.4, 188.5, 294.9, 518.5", \ + " 90.6, 104.9, 139.0, 205.3, 312.0, 535.5", \ + " 98.2, 114.5, 152.8, 225.7, 337.6, 560.7", \ + " 103.8, 122.7, 166.8, 249.0, 371.5, 599.3", \ + " 105.3, 127.3, 178.8, 273.7, 411.1, 656.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 119.2, 145.8, 217.6, 380.0, 669.6, 1286.1", \ + " 120.5, 146.7, 217.8, 380.0, 669.6, 1286.1", \ + " 123.5, 149.3, 219.6, 380.6, 669.7, 1286.1", \ + " 129.1, 154.3, 223.4, 382.8, 670.3, 1286.2", \ + " 142.0, 166.1, 233.0, 389.1, 673.6, 1286.5", \ + " 164.6, 187.2, 251.0, 402.5, 682.2, 1289.8", \ + " 197.3, 221.5, 283.6, 428.7, 700.9, 1300.0", \ + " 243.8, 269.4, 334.7, 474.9, 737.1, 1323.3", \ + " 311.0, 338.3, 407.3, 551.9, 803.0, 1371.0", \ + " 407.3, 437.6, 512.1, 665.0, 916.6, 1461.5" ); }} +timing() { /* ring osc delay aoi22v0x05, path a1 to z 94.0 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.3 ; */ +/* intrinsic_fall : 66.9 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.40 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.3, 82.3, 118.9, 200.7, 345.7, 653.7", \ + " 76.0, 90.1, 126.9, 208.8, 353.9, 661.9", \ + " 81.7, 95.8, 132.7, 214.6, 359.8, 667.8", \ + " 89.0, 103.1, 139.9, 221.9, 367.1, 675.2", \ + " 100.2, 114.8, 151.7, 233.7, 378.9, 687.0", \ + " 112.1, 128.7, 167.7, 249.7, 394.9, 703.0", \ + " 126.4, 145.0, 188.8, 273.4, 418.3, 726.3", \ + " 144.5, 165.5, 214.4, 307.3, 453.1, 760.5", \ + " 169.9, 193.7, 248.4, 351.1, 506.5, 812.8", \ + " 207.0, 234.1, 295.7, 409.6, 580.3, 894.1" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.0, 159.1, 262.0, 495.5, 912.6, 1800.0", \ + " 121.5, 159.4, 262.1, 495.6, 912.6, 1800.0", \ + " 122.4, 160.1, 262.4, 495.7, 912.7, 1800.0", \ + " 126.2, 163.0, 263.9, 496.0, 912.7, 1800.0", \ + " 134.9, 170.9, 270.0, 499.2, 913.2, 1800.0", \ + " 151.2, 185.5, 282.3, 508.0, 917.8, 1800.2", \ + " 181.2, 213.9, 306.0, 526.8, 930.7, 1804.8", \ + " 224.0, 259.2, 349.8, 562.1, 957.6, 1820.5", \ + " 291.2, 327.4, 422.3, 626.9, 1009.5, 1856.2", \ + " 395.6, 432.5, 529.7, 739.2, 1104.4, 1927.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.0, 63.5, 92.2, 153.6, 259.9, 483.5", \ + " 56.3, 67.9, 96.7, 158.1, 264.5, 488.1", \ + " 59.5, 71.0, 99.8, 161.3, 267.7, 491.4", \ + " 63.8, 75.2, 104.0, 165.6, 272.0, 495.7", \ + " 70.1, 82.4, 111.7, 173.2, 279.7, 503.4", \ + " 76.9, 90.3, 122.0, 184.5, 290.9, 514.6", \ + " 84.0, 99.0, 134.1, 201.2, 308.0, 531.5", \ + " 90.1, 107.4, 147.1, 221.1, 333.6, 556.8", \ + " 93.9, 114.0, 160.1, 243.8, 367.1, 595.4", \ + " 93.1, 116.7, 170.5, 267.6, 406.2, 652.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 104.0, 130.7, 202.5, 365.0, 654.7, 1271.3", \ + " 105.4, 131.6, 202.8, 365.0, 654.7, 1271.3", \ + " 108.4, 134.1, 204.6, 365.6, 654.8, 1271.3", \ + " 113.9, 139.1, 208.4, 367.8, 655.4, 1271.3", \ + " 126.9, 151.0, 217.9, 374.2, 658.8, 1271.6", \ + " 148.7, 172.2, 236.0, 387.6, 667.3, 1275.0", \ + " 179.7, 205.0, 268.7, 413.8, 686.0, 1285.2", \ + " 224.2, 251.1, 318.4, 460.0, 722.2, 1308.4", \ + " 288.7, 317.8, 389.4, 536.5, 788.1, 1356.2", \ + " 381.6, 414.1, 491.9, 647.9, 901.6, 1446.7" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 85.3 ; */ +/* intrinsic_fall : 66.9 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.40 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.1, 90.1, 126.8, 208.6, 353.7, 661.7", \ + " 83.9, 97.9, 134.7, 216.7, 361.8, 669.9", \ + " 89.7, 103.7, 140.5, 222.6, 367.7, 675.8", \ + " 96.9, 110.9, 147.8, 229.9, 375.1, 683.2", \ + " 108.5, 122.7, 159.6, 241.6, 386.9, 695.0", \ + " 121.7, 137.5, 175.6, 257.6, 402.8, 710.9", \ + " 137.2, 155.0, 197.5, 281.3, 426.3, 734.2", \ + " 156.7, 176.7, 224.1, 315.6, 461.0, 768.5", \ + " 183.9, 206.4, 259.2, 360.3, 514.4, 820.7", \ + " 222.9, 248.5, 307.8, 419.7, 589.0, 902.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 135.1, 173.5, 276.6, 510.5, 927.9, 1815.6", \ + " 135.5, 173.7, 276.7, 510.6, 927.9, 1815.6", \ + " 136.2, 174.2, 277.0, 510.6, 927.9, 1815.6", \ + " 139.5, 176.8, 278.3, 511.0, 928.0, 1815.6", \ + " 147.6, 184.2, 284.1, 513.9, 928.4, 1815.6", \ + " 162.8, 198.1, 295.9, 522.4, 932.8, 1815.7", \ + " 192.4, 225.1, 318.9, 540.8, 945.4, 1820.1", \ + " 235.4, 270.8, 361.6, 575.5, 971.9, 1835.6", \ + " 302.3, 338.9, 434.1, 639.3, 1023.2, 1871.0", \ + " 406.1, 443.5, 541.3, 750.9, 1117.3, 1942.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.0, 67.6, 96.3, 157.6, 263.9, 487.5", \ + " 60.4, 71.9, 100.8, 162.2, 268.5, 492.1", \ + " 63.5, 75.0, 103.9, 165.3, 271.7, 495.3", \ + " 67.9, 79.3, 108.2, 169.6, 276.0, 499.7", \ + " 74.8, 86.8, 115.8, 177.3, 283.7, 507.4", \ + " 82.4, 95.3, 126.4, 188.5, 294.9, 518.5", \ + " 90.6, 104.9, 139.0, 205.3, 312.0, 535.5", \ + " 98.2, 114.5, 152.8, 225.7, 337.6, 560.7", \ + " 103.8, 122.7, 166.8, 249.0, 371.5, 599.3", \ + " 105.3, 127.3, 178.8, 273.7, 411.1, 656.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 119.2, 145.8, 217.6, 380.0, 669.6, 1286.1", \ + " 120.5, 146.7, 217.8, 380.0, 669.6, 1286.1", \ + " 123.5, 149.3, 219.6, 380.6, 669.7, 1286.1", \ + " 129.1, 154.3, 223.4, 382.8, 670.3, 1286.2", \ + " 142.0, 166.1, 233.0, 389.1, 673.6, 1286.5", \ + " 164.6, 187.2, 251.0, 402.5, 682.2, 1289.8", \ + " 197.3, 221.5, 283.6, 428.7, 700.9, 1300.0", \ + " 243.8, 269.4, 334.7, 474.9, 737.1, 1323.3", \ + " 311.0, 338.3, 407.3, 551.9, 803.0, 1371.0", \ + " 407.3, 437.6, 512.1, 665.0, 916.6, 1461.5" ); }} +timing() { /* ring osc delay aoi22v0x05, path a2 to z 89.4 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.1 ; */ +/* intrinsic_fall : 68.6 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.2, 65.4, 94.1, 157.7, 270.1, 508.6", \ + " 61.7, 73.0, 102.0, 165.8, 278.4, 517.0", \ + " 67.4, 78.7, 107.8, 171.7, 284.4, 523.1", \ + " 74.8, 86.2, 115.3, 179.3, 292.0, 530.8", \ + " 85.4, 98.4, 128.2, 192.2, 305.0, 543.9", \ + " 96.3, 111.5, 145.7, 210.4, 323.2, 562.1", \ + " 108.8, 126.7, 166.7, 237.9, 350.7, 589.5", \ + " 123.9, 145.0, 191.5, 273.9, 391.6, 630.1", \ + " 143.7, 168.5, 222.6, 317.9, 451.6, 691.8", \ + " 171.4, 200.3, 263.5, 373.5, 527.4, 786.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 100.3, 128.9, 206.6, 383.7, 700.2, 1374.0", \ + " 101.0, 129.3, 206.8, 383.7, 700.2, 1374.0", \ + " 102.5, 130.3, 207.2, 383.8, 700.2, 1374.0", \ + " 107.2, 134.2, 209.5, 384.4, 700.3, 1374.1", \ + " 118.4, 144.2, 217.5, 389.2, 701.5, 1374.1", \ + " 138.7, 162.8, 233.3, 401.1, 708.6, 1374.8", \ + " 172.0, 197.3, 263.1, 425.5, 726.4, 1383.1", \ + " 217.6, 245.2, 315.7, 469.4, 761.5, 1405.8", \ + " 287.4, 316.4, 391.9, 546.4, 826.0, 1453.5", \ + " 394.1, 424.0, 502.7, 668.9, 938.9, 1544.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 68.4, 96.4, 157.0, 262.9, 486.1", \ + " 63.2, 74.1, 102.2, 162.9, 268.9, 492.2", \ + " 67.8, 78.8, 106.8, 167.6, 273.6, 496.9", \ + " 74.3, 85.2, 113.2, 174.0, 280.0, 503.3", \ + " 85.5, 97.0, 124.8, 185.4, 291.3, 514.6", \ + " 97.1, 110.6, 141.7, 202.2, 307.9, 531.0", \ + " 109.4, 125.1, 161.6, 228.1, 333.2, 555.9", \ + " 121.8, 139.9, 182.3, 260.5, 371.3, 593.0", \ + " 134.1, 155.0, 204.0, 295.3, 424.9, 649.9", \ + " 145.4, 169.5, 226.3, 332.1, 484.5, 737.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.0, 133.5, 204.3, 363.7, 647.5, 1250.6", \ + " 108.0, 134.0, 204.2, 363.8, 647.5, 1250.6", \ + " 112.0, 137.4, 206.2, 363.9, 647.5, 1250.6", \ + " 119.6, 144.3, 211.3, 366.2, 647.6, 1250.6", \ + " 135.9, 159.6, 224.2, 374.8, 650.7, 1250.6", \ + " 161.9, 184.7, 247.3, 392.7, 661.9, 1252.4", \ + " 194.7, 221.9, 285.5, 426.2, 687.1, 1264.9", \ + " 239.2, 269.5, 341.2, 481.6, 733.7, 1296.1", \ + " 301.5, 335.4, 415.1, 568.0, 814.5, 1358.6", \ + " 390.1, 428.8, 518.0, 687.5, 944.3, 1472.8" ); }} +timing() { /* ring osc delay aoi22v0x05, path a2 to z 100.5 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.1 ; */ +/* intrinsic_fall : 68.6 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 84.0, 120.9, 202.9, 348.0, 656.0", \ + " 77.2, 91.5, 128.7, 210.9, 356.2, 664.3", \ + " 82.7, 97.1, 134.3, 216.7, 362.1, 670.3", \ + " 89.8, 104.1, 141.3, 223.8, 369.3, 677.6", \ + " 101.0, 115.7, 152.9, 235.4, 381.0, 689.4", \ + " 112.9, 129.6, 168.7, 251.1, 396.7, 705.2", \ + " 126.9, 145.7, 189.7, 274.6, 419.9, 728.3", \ + " 144.2, 165.5, 214.8, 308.2, 454.4, 762.3", \ + " 167.0, 191.6, 247.4, 351.3, 507.3, 814.3", \ + " 198.7, 227.2, 291.3, 407.8, 580.1, 895.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.2, 158.4, 261.4, 495.2, 912.4, 1799.8", \ + " 120.7, 158.7, 261.5, 495.2, 912.4, 1799.8", \ + " 121.6, 159.4, 261.8, 495.3, 912.4, 1799.8", \ + " 125.1, 162.0, 263.1, 495.6, 912.4, 1799.8", \ + " 133.8, 169.8, 269.0, 498.5, 912.9, 1799.8", \ + " 149.8, 184.2, 281.1, 507.1, 917.1, 1799.9", \ + " 179.7, 212.1, 304.5, 525.5, 929.7, 1804.2", \ + " 221.0, 256.8, 347.6, 560.4, 956.3, 1819.6", \ + " 286.2, 322.9, 419.1, 624.3, 1007.7, 1855.0", \ + " 388.7, 425.8, 523.8, 735.6, 1101.8, 1926.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.7, 64.2, 93.0, 154.3, 260.6, 484.2", \ + " 58.3, 69.9, 98.7, 160.1, 266.4, 490.0", \ + " 63.0, 74.5, 103.4, 164.7, 271.0, 494.7", \ + " 69.8, 81.0, 109.7, 171.1, 277.4, 501.0", \ + " 81.1, 93.0, 121.4, 182.5, 288.7, 512.3", \ + " 92.6, 106.4, 138.2, 199.3, 305.2, 528.6", \ + " 104.5, 120.5, 157.7, 225.1, 330.6, 553.5", \ + " 116.2, 134.6, 177.8, 256.9, 368.5, 590.6", \ + " 127.3, 148.5, 198.4, 290.8, 421.6, 647.2", \ + " 136.8, 161.3, 219.0, 326.3, 480.1, 734.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.0, 143.2, 213.3, 371.9, 654.9, 1257.5", \ + " 118.6, 143.9, 213.1, 371.8, 654.9, 1257.5", \ + " 123.3, 147.9, 215.4, 371.9, 654.9, 1257.5", \ + " 131.7, 155.4, 221.1, 374.5, 655.1, 1257.6", \ + " 149.1, 171.9, 234.9, 383.7, 658.5, 1257.6", \ + " 176.5, 198.4, 259.1, 402.4, 670.2, 1259.6", \ + " 212.9, 238.3, 299.0, 437.2, 696.1, 1272.6", \ + " 261.5, 289.6, 357.7, 494.4, 744.0, 1304.4", \ + " 329.8, 361.1, 436.2, 583.9, 826.9, 1368.0", \ + " 426.8, 462.3, 546.1, 709.0, 960.4, 1484.5" ); }} +timing() { /* ring osc delay aoi22v0x05, path a2 to z 91.5 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.1 ; */ +/* intrinsic_fall : 68.6 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.9, 76.2, 113.1, 195.0, 340.0, 648.0", \ + " 69.1, 83.6, 120.8, 203.0, 348.3, 656.4", \ + " 74.7, 89.1, 126.4, 208.7, 354.1, 662.3", \ + " 81.7, 96.2, 133.4, 215.9, 361.4, 669.6", \ + " 92.1, 107.6, 145.0, 227.4, 373.0, 681.4", \ + " 102.8, 120.4, 160.8, 243.2, 388.7, 697.2", \ + " 115.4, 135.3, 180.7, 266.6, 412.0, 720.3", \ + " 130.8, 153.6, 204.8, 299.8, 446.5, 754.4", \ + " 151.4, 177.9, 236.2, 342.0, 499.4, 806.3", \ + " 180.4, 211.3, 278.4, 397.4, 571.3, 887.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.0, 144.0, 246.7, 480.2, 897.1, 1784.2", \ + " 106.8, 144.4, 246.9, 480.2, 897.1, 1784.2", \ + " 107.9, 145.2, 247.2, 480.3, 897.1, 1784.2", \ + " 112.0, 148.3, 248.7, 480.7, 897.2, 1784.2", \ + " 121.6, 156.7, 255.0, 483.8, 897.7, 1784.2", \ + " 138.9, 171.9, 267.6, 492.7, 902.1, 1784.4", \ + " 168.5, 201.4, 291.6, 511.6, 915.0, 1788.9", \ + " 209.6, 245.0, 336.1, 547.0, 942.0, 1804.5", \ + " 275.4, 311.3, 407.1, 611.9, 994.0, 1840.3", \ + " 378.8, 415.0, 512.1, 723.8, 1088.8, 1911.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 60.1, 88.9, 150.3, 256.6, 480.2", \ + " 54.3, 65.8, 94.6, 156.1, 262.4, 486.1", \ + " 59.0, 70.4, 99.2, 160.7, 267.1, 490.7", \ + " 65.9, 77.0, 105.6, 167.0, 273.4, 497.1", \ + " 76.0, 88.6, 117.2, 178.5, 284.8, 508.4", \ + " 86.2, 100.8, 133.8, 195.2, 301.3, 524.7", \ + " 96.5, 113.6, 152.3, 221.0, 326.6, 549.6", \ + " 106.6, 126.2, 171.2, 252.0, 364.6, 586.6", \ + " 115.8, 138.5, 190.4, 284.9, 417.1, 643.3", \ + " 122.8, 149.1, 209.4, 319.1, 474.6, 730.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.0, 128.2, 198.5, 357.2, 640.3, 1243.0", \ + " 103.5, 128.9, 198.3, 357.1, 640.3, 1243.0", \ + " 108.3, 132.9, 200.6, 357.3, 640.3, 1243.0", \ + " 116.6, 140.5, 206.3, 359.9, 640.4, 1243.0", \ + " 133.7, 156.8, 220.1, 369.0, 643.9, 1243.1", \ + " 160.1, 183.0, 244.2, 387.8, 655.6, 1245.1", \ + " 193.4, 220.5, 283.8, 422.5, 681.5, 1258.0", \ + " 239.2, 269.4, 340.6, 479.5, 729.4, 1289.8", \ + " 304.2, 337.9, 416.8, 568.2, 812.2, 1353.5", \ + " 396.9, 435.5, 523.7, 690.9, 945.2, 1469.9" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 79.1 ; */ +/* intrinsic_fall : 68.6 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 84.0, 120.9, 202.9, 348.0, 656.0", \ + " 77.2, 91.5, 128.7, 210.9, 356.2, 664.3", \ + " 82.7, 97.1, 134.3, 216.7, 362.1, 670.3", \ + " 89.8, 104.1, 141.3, 223.8, 369.3, 677.6", \ + " 101.0, 115.7, 152.9, 235.4, 381.0, 689.4", \ + " 112.9, 129.6, 168.7, 251.1, 396.7, 705.2", \ + " 126.9, 145.7, 189.7, 274.6, 419.9, 728.3", \ + " 144.2, 165.5, 214.8, 308.2, 454.4, 762.3", \ + " 167.0, 191.6, 247.4, 351.3, 507.3, 814.3", \ + " 198.7, 227.2, 291.3, 407.8, 580.1, 895.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.2, 158.4, 261.4, 495.2, 912.4, 1799.8", \ + " 120.7, 158.7, 261.5, 495.2, 912.4, 1799.8", \ + " 121.6, 159.4, 261.8, 495.3, 912.4, 1799.8", \ + " 125.1, 162.0, 263.1, 495.6, 912.4, 1799.8", \ + " 133.8, 169.8, 269.0, 498.5, 912.9, 1799.8", \ + " 149.8, 184.2, 281.1, 507.1, 917.1, 1799.9", \ + " 179.7, 212.1, 304.5, 525.5, 929.7, 1804.2", \ + " 221.0, 256.8, 347.6, 560.4, 956.3, 1819.6", \ + " 286.2, 322.9, 419.1, 624.3, 1007.7, 1855.0", \ + " 388.7, 425.8, 523.8, 735.6, 1101.8, 1926.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.7, 64.2, 93.0, 154.3, 260.6, 484.2", \ + " 58.3, 69.9, 98.7, 160.1, 266.4, 490.0", \ + " 63.0, 74.5, 103.4, 164.7, 271.0, 494.7", \ + " 69.8, 81.0, 109.7, 171.1, 277.4, 501.0", \ + " 81.1, 93.0, 121.4, 182.5, 288.7, 512.3", \ + " 92.6, 106.4, 138.2, 199.3, 305.2, 528.6", \ + " 104.5, 120.5, 157.7, 225.1, 330.6, 553.5", \ + " 116.2, 134.6, 177.8, 256.9, 368.5, 590.6", \ + " 127.3, 148.5, 198.4, 290.8, 421.6, 647.2", \ + " 136.8, 161.3, 219.0, 326.3, 480.1, 734.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.0, 143.2, 213.3, 371.9, 654.9, 1257.5", \ + " 118.6, 143.9, 213.1, 371.8, 654.9, 1257.5", \ + " 123.3, 147.9, 215.4, 371.9, 654.9, 1257.5", \ + " 131.7, 155.4, 221.1, 374.5, 655.1, 1257.6", \ + " 149.1, 171.9, 234.9, 383.7, 658.5, 1257.6", \ + " 176.5, 198.4, 259.1, 402.4, 670.2, 1259.6", \ + " 212.9, 238.3, 299.0, 437.2, 696.1, 1272.6", \ + " 261.5, 289.6, 357.7, 494.4, 744.0, 1304.4", \ + " 329.8, 361.1, 436.2, 583.9, 826.9, 1368.0", \ + " 426.8, 462.3, 546.1, 709.0, 960.4, 1484.5" ); }} +timing() { /* ring osc delay aoi22v0x05, path b1 to z 59.3 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.3 ; */ +/* intrinsic_fall : 46.2 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.5, 46.0, 73.3, 134.1, 241.7, 470.1", \ + " 42.3, 52.7, 80.1, 141.0, 248.7, 477.2", \ + " 48.3, 58.4, 85.6, 146.4, 254.2, 482.7", \ + " 55.5, 66.6, 93.3, 153.9, 261.5, 490.0", \ + " 65.6, 78.7, 107.5, 167.5, 274.8, 503.0", \ + " 77.7, 92.7, 126.5, 187.6, 294.1, 521.9", \ + " 93.5, 110.5, 149.4, 218.6, 324.1, 550.8", \ + " 113.9, 133.3, 177.5, 257.7, 369.4, 594.2", \ + " 140.9, 163.3, 213.7, 305.4, 435.2, 661.0", \ + " 177.5, 203.6, 261.8, 366.4, 516.4, 765.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.1, 106.8, 183.4, 355.7, 661.8, 1312.2", \ + " 82.7, 109.8, 184.0, 355.6, 661.8, 1312.2", \ + " 89.5, 115.4, 187.7, 356.1, 661.8, 1312.2", \ + " 99.9, 124.7, 194.6, 359.6, 661.7, 1312.2", \ + " 120.0, 143.2, 209.7, 369.6, 665.8, 1312.2", \ + " 147.8, 172.1, 235.0, 388.7, 677.9, 1314.1", \ + " 181.2, 211.0, 276.5, 423.3, 703.3, 1326.8", \ + " 228.0, 260.8, 337.0, 480.6, 749.4, 1357.2", \ + " 295.1, 331.4, 415.8, 572.2, 830.1, 1417.2", \ + " 392.5, 433.2, 526.8, 703.1, 964.1, 1527.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.3, 46.6, 73.2, 132.4, 237.1, 459.5", \ + " 40.3, 50.7, 77.8, 137.4, 242.4, 464.9", \ + " 43.1, 53.7, 80.9, 140.6, 245.7, 468.3", \ + " 45.4, 57.3, 85.0, 144.8, 250.1, 472.8", \ + " 48.4, 61.7, 92.3, 152.4, 257.7, 480.5", \ + " 51.2, 66.3, 100.1, 163.6, 268.9, 491.6", \ + " 53.0, 70.7, 109.0, 178.8, 285.9, 508.6", \ + " 52.9, 73.6, 118.0, 196.1, 311.2, 533.8", \ + " 49.3, 73.6, 125.7, 215.3, 342.3, 572.4", \ + " 39.4, 68.0, 129.6, 234.5, 378.3, 628.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.2, 85.4, 157.9, 321.1, 611.2, 1227.8", \ + " 60.6, 86.9, 158.1, 321.1, 611.2, 1227.8", \ + " 65.0, 90.6, 160.8, 321.7, 611.2, 1227.8", \ + " 72.7, 96.9, 165.4, 324.5, 611.7, 1227.8", \ + " 88.6, 111.5, 176.2, 331.6, 615.6, 1227.9", \ + " 108.2, 133.9, 196.2, 345.8, 624.7, 1231.6", \ + " 138.1, 164.5, 231.1, 373.1, 644.1, 1242.2", \ + " 181.9, 209.1, 278.0, 420.8, 680.8, 1265.9", \ + " 245.3, 274.6, 346.4, 496.5, 747.3, 1313.9", \ + " 337.8, 369.5, 447.0, 603.6, 861.7, 1404.4" ); }} +timing() { /* ring osc delay aoi22v0x05, path b1 to z 75.1 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.3 ; */ +/* intrinsic_fall : 46.2 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.1, 66.2, 103.1, 185.2, 330.4, 638.4", \ + " 57.9, 72.0, 109.0, 191.3, 336.6, 644.8", \ + " 63.2, 77.1, 114.0, 196.2, 341.5, 649.7", \ + " 71.0, 84.5, 120.9, 203.0, 348.2, 656.4", \ + " 83.9, 98.5, 134.0, 215.3, 360.2, 668.2", \ + " 99.0, 115.6, 153.8, 233.8, 378.0, 685.4", \ + " 118.6, 137.2, 181.0, 263.0, 405.6, 711.8", \ + " 144.0, 164.8, 214.1, 306.4, 447.8, 751.8", \ + " 178.2, 201.8, 257.0, 361.7, 513.6, 813.9", \ + " 224.9, 252.0, 314.6, 432.3, 606.2, 911.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.4, 165.0, 267.7, 498.1, 906.7, 1774.3", \ + " 127.9, 165.3, 266.7, 497.7, 906.7, 1774.3", \ + " 132.8, 169.2, 268.5, 497.2, 906.6, 1774.3", \ + " 141.0, 176.3, 273.4, 498.3, 906.3, 1774.3", \ + " 157.7, 191.5, 285.2, 505.0, 906.8, 1774.3", \ + " 183.8, 216.2, 306.3, 519.9, 914.2, 1773.9", \ + " 221.8, 256.2, 342.4, 548.3, 933.4, 1779.4", \ + " 266.3, 307.0, 399.4, 597.9, 971.0, 1800.7", \ + " 331.6, 375.4, 480.4, 680.0, 1039.8, 1848.2", \ + " 427.7, 475.2, 589.0, 809.9, 1159.0, 1940.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.4, 49.2, 76.8, 136.5, 241.3, 463.8", \ + " 42.7, 53.6, 81.6, 141.7, 246.8, 469.4", \ + " 45.9, 56.7, 84.8, 145.0, 250.2, 472.9", \ + " 48.7, 60.9, 89.0, 149.4, 254.7, 477.4", \ + " 52.6, 65.9, 96.6, 157.0, 262.4, 485.2", \ + " 56.4, 71.3, 104.9, 168.2, 273.6, 496.4", \ + " 59.6, 76.5, 114.3, 183.6, 290.6, 513.3", \ + " 60.4, 80.1, 123.6, 201.0, 315.7, 538.5", \ + " 57.1, 80.1, 131.1, 220.0, 346.8, 576.8", \ + " 46.3, 73.3, 133.6, 238.2, 382.2, 632.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 73.9, 100.9, 173.2, 336.3, 626.4, 1242.9", \ + " 76.1, 102.3, 173.4, 336.3, 626.4, 1242.9", \ + " 80.3, 105.8, 175.9, 336.8, 626.4, 1242.9", \ + " 87.3, 111.8, 180.4, 339.5, 626.8, 1242.9", \ + " 103.6, 125.5, 190.8, 346.5, 630.7, 1243.0", \ + " 125.0, 149.4, 210.3, 360.4, 639.6, 1246.6", \ + " 156.3, 181.4, 245.9, 387.3, 658.7, 1257.1", \ + " 201.9, 227.8, 294.5, 435.0, 695.3, 1280.6", \ + " 268.2, 295.8, 365.2, 512.4, 762.0, 1328.6", \ + " 363.4, 394.2, 469.1, 622.6, 877.5, 1419.6" ); }} +timing() { /* ring osc delay aoi22v0x05, path b1 to z 67.2 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.3 ; */ +/* intrinsic_fall : 46.2 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.0, 58.1, 95.1, 177.1, 322.2, 630.2", \ + " 49.9, 63.9, 100.9, 183.2, 328.5, 636.6", \ + " 55.4, 69.1, 105.9, 188.1, 333.4, 641.5", \ + " 63.5, 76.7, 112.9, 194.8, 340.1, 648.2", \ + " 74.9, 90.3, 126.1, 207.2, 352.1, 660.0", \ + " 88.8, 106.2, 146.1, 225.8, 369.9, 677.2", \ + " 107.1, 126.6, 171.9, 255.1, 397.5, 703.7", \ + " 131.0, 153.0, 203.9, 298.1, 439.8, 743.7", \ + " 163.4, 188.4, 245.5, 352.2, 505.9, 805.8", \ + " 207.8, 236.6, 301.7, 421.6, 597.3, 903.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 111.3, 149.8, 252.5, 482.7, 891.2, 1758.5", \ + " 113.8, 150.8, 251.6, 482.3, 891.1, 1758.5", \ + " 119.4, 155.3, 253.9, 481.8, 891.1, 1758.5", \ + " 128.4, 163.1, 259.2, 483.3, 890.8, 1758.5", \ + " 146.1, 179.3, 271.8, 490.5, 891.4, 1758.5", \ + " 173.7, 204.9, 293.6, 505.8, 899.2, 1758.0", \ + " 207.9, 246.2, 330.7, 535.0, 918.9, 1763.9", \ + " 251.8, 293.4, 388.5, 585.4, 957.1, 1785.6", \ + " 316.4, 361.0, 467.4, 668.1, 1026.6, 1833.5", \ + " 411.6, 459.9, 574.9, 797.6, 1146.6, 1926.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.4, 46.7, 73.4, 132.5, 237.3, 459.7", \ + " 40.7, 51.2, 78.2, 137.8, 242.8, 465.3", \ + " 43.6, 54.2, 81.4, 141.1, 246.2, 468.8", \ + " 45.9, 57.9, 85.6, 145.4, 250.6, 473.3", \ + " 49.0, 62.3, 92.9, 153.1, 258.4, 481.1", \ + " 51.5, 66.7, 100.7, 164.3, 269.5, 492.3", \ + " 52.6, 70.5, 109.3, 179.3, 286.5, 509.2", \ + " 51.0, 72.2, 117.5, 196.2, 311.6, 534.4", \ + " 44.7, 69.8, 123.5, 214.4, 342.2, 572.7", \ + " 30.4, 60.2, 124.0, 231.5, 377.0, 628.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.2, 85.3, 157.8, 321.0, 611.2, 1227.8", \ + " 60.4, 86.7, 158.0, 321.0, 611.2, 1227.8", \ + " 64.6, 90.3, 160.6, 321.6, 611.2, 1227.8", \ + " 72.0, 96.3, 165.0, 324.3, 611.6, 1227.8", \ + " 87.8, 110.5, 175.5, 331.2, 615.5, 1227.8", \ + " 107.0, 132.7, 195.2, 345.2, 624.4, 1231.5", \ + " 136.7, 163.2, 230.0, 372.2, 643.5, 1242.0", \ + " 180.2, 207.9, 277.1, 419.9, 680.1, 1265.5", \ + " 243.4, 273.6, 346.2, 496.2, 746.8, 1313.5", \ + " 335.3, 368.7, 447.9, 604.8, 862.3, 1404.5" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 59.3 ; */ +/* intrinsic_fall : 46.2 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.1, 66.2, 103.1, 185.2, 330.4, 638.4", \ + " 57.9, 72.0, 109.0, 191.3, 336.6, 644.8", \ + " 63.2, 77.1, 114.0, 196.2, 341.5, 649.7", \ + " 71.0, 84.5, 120.9, 203.0, 348.2, 656.4", \ + " 83.9, 98.5, 134.0, 215.3, 360.2, 668.2", \ + " 99.0, 115.6, 153.8, 233.8, 378.0, 685.4", \ + " 118.6, 137.2, 181.0, 263.0, 405.6, 711.8", \ + " 144.0, 164.8, 214.1, 306.4, 447.8, 751.8", \ + " 178.2, 201.8, 257.0, 361.7, 513.6, 813.9", \ + " 224.9, 252.0, 314.6, 432.3, 606.2, 911.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.4, 165.0, 267.7, 498.1, 906.7, 1774.3", \ + " 127.9, 165.3, 266.7, 497.7, 906.7, 1774.3", \ + " 132.8, 169.2, 268.5, 497.2, 906.6, 1774.3", \ + " 141.0, 176.3, 273.4, 498.3, 906.3, 1774.3", \ + " 157.7, 191.5, 285.2, 505.0, 906.8, 1774.3", \ + " 183.8, 216.2, 306.3, 519.9, 914.2, 1773.9", \ + " 221.8, 256.2, 342.4, 548.3, 933.4, 1779.4", \ + " 266.3, 307.0, 399.4, 597.9, 971.0, 1800.7", \ + " 331.6, 375.4, 480.4, 680.0, 1039.8, 1848.2", \ + " 427.7, 475.2, 589.0, 809.9, 1159.0, 1940.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.4, 49.2, 76.8, 136.5, 241.3, 463.8", \ + " 42.7, 53.6, 81.6, 141.7, 246.8, 469.4", \ + " 45.9, 56.7, 84.8, 145.0, 250.2, 472.9", \ + " 48.7, 60.9, 89.0, 149.4, 254.7, 477.4", \ + " 52.6, 65.9, 96.6, 157.0, 262.4, 485.2", \ + " 56.4, 71.3, 104.9, 168.2, 273.6, 496.4", \ + " 59.6, 76.5, 114.3, 183.6, 290.6, 513.3", \ + " 60.4, 80.1, 123.6, 201.0, 315.7, 538.5", \ + " 57.1, 80.1, 131.1, 220.0, 346.8, 576.8", \ + " 46.3, 73.3, 133.6, 238.2, 382.2, 632.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 73.9, 100.9, 173.2, 336.3, 626.4, 1242.9", \ + " 76.1, 102.3, 173.4, 336.3, 626.4, 1242.9", \ + " 80.3, 105.8, 175.9, 336.8, 626.4, 1242.9", \ + " 87.3, 111.8, 180.4, 339.5, 626.8, 1242.9", \ + " 103.6, 125.5, 190.8, 346.5, 630.7, 1243.0", \ + " 125.0, 149.4, 210.3, 360.4, 639.6, 1246.6", \ + " 156.3, 181.4, 245.9, 387.3, 658.7, 1257.1", \ + " 201.9, 227.8, 294.5, 435.0, 695.3, 1280.6", \ + " 268.2, 295.8, 365.2, 512.4, 762.0, 1328.6", \ + " 363.4, 394.2, 469.1, 622.6, 877.5, 1419.6" ); }} +timing() { /* ring osc delay aoi22v0x05, path b2 to z 56.3 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 47.4 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.7, 68.2, 129.1, 236.7, 465.1", \ + " 37.0, 47.4, 74.9, 136.0, 243.8, 472.3", \ + " 42.9, 53.2, 80.4, 141.4, 249.2, 477.8", \ + " 48.8, 61.0, 88.1, 148.8, 256.5, 485.1", \ + " 57.4, 71.7, 102.2, 162.3, 269.7, 498.1", \ + " 67.8, 84.2, 119.9, 182.2, 288.9, 516.9", \ + " 81.1, 99.9, 141.2, 212.8, 318.7, 545.7", \ + " 97.7, 119.6, 167.0, 250.2, 363.8, 588.9", \ + " 119.1, 144.7, 199.7, 295.7, 428.4, 655.4", \ + " 147.1, 177.3, 241.8, 352.7, 507.0, 759.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.9, 95.7, 172.3, 344.5, 650.5, 1300.6", \ + " 72.0, 98.8, 172.9, 344.4, 650.5, 1300.6", \ + " 79.0, 104.7, 176.6, 344.8, 650.5, 1300.6", \ + " 89.6, 114.1, 183.6, 348.3, 650.4, 1300.6", \ + " 110.5, 132.8, 198.8, 358.4, 654.4, 1300.6", \ + " 134.9, 162.5, 224.3, 377.6, 666.5, 1302.5", \ + " 166.9, 198.0, 266.0, 412.3, 691.9, 1315.1", \ + " 212.4, 246.2, 324.8, 469.8, 738.3, 1345.6", \ + " 278.2, 315.3, 401.6, 561.6, 819.3, 1405.9", \ + " 373.7, 415.3, 510.5, 690.0, 953.4, 1516.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.0, 42.2, 68.9, 128.1, 232.8, 455.2", \ + " 37.7, 48.0, 74.9, 134.4, 239.4, 461.9", \ + " 42.2, 52.7, 79.6, 139.1, 244.2, 466.7", \ + " 46.1, 58.7, 86.0, 145.5, 250.6, 473.2", \ + " 50.8, 65.8, 97.6, 157.0, 261.9, 484.6", \ + " 55.3, 72.7, 110.2, 173.8, 278.5, 500.9", \ + " 59.4, 79.5, 123.3, 198.1, 303.9, 525.8", \ + " 62.5, 85.8, 136.5, 224.2, 341.9, 562.9", \ + " 63.3, 90.6, 149.6, 251.6, 390.6, 619.7", \ + " 60.0, 92.1, 161.1, 279.7, 442.8, 706.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.8, 83.3, 154.2, 313.7, 597.3, 1199.9", \ + " 60.9, 85.9, 154.7, 313.7, 597.3, 1199.9", \ + " 67.5, 91.4, 158.1, 314.1, 597.3, 1199.9", \ + " 77.7, 100.7, 165.1, 317.5, 597.3, 1199.9", \ + " 96.0, 118.8, 180.5, 327.9, 601.5, 1199.9", \ + " 116.2, 144.0, 205.7, 347.8, 614.2, 1202.1", \ + " 145.8, 175.7, 246.1, 383.5, 641.1, 1215.8", \ + " 188.1, 220.3, 296.5, 440.3, 689.8, 1248.5", \ + " 248.9, 284.7, 367.0, 525.8, 772.2, 1312.8", \ + " 337.2, 377.5, 468.4, 641.0, 903.2, 1429.1" ); }} +timing() { /* ring osc delay aoi22v0x05, path b2 to z 72.8 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 47.4 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.7, 59.0, 96.1, 178.3, 323.5, 631.6", \ + " 50.5, 64.7, 101.9, 184.4, 329.9, 638.1", \ + " 55.9, 69.7, 106.8, 189.3, 334.8, 643.1", \ + " 63.9, 77.2, 113.7, 195.9, 341.4, 649.7", \ + " 75.1, 90.6, 126.6, 208.1, 353.3, 661.4", \ + " 88.5, 106.2, 146.3, 226.5, 370.9, 678.6", \ + " 105.8, 125.8, 171.8, 255.4, 398.3, 704.9", \ + " 128.0, 150.7, 202.7, 297.9, 440.2, 744.6", \ + " 157.2, 183.3, 242.4, 350.8, 505.6, 806.3", \ + " 196.1, 226.5, 294.6, 417.8, 595.7, 903.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 111.7, 150.2, 252.8, 483.0, 891.4, 1758.8", \ + " 113.3, 150.6, 251.7, 482.7, 891.4, 1758.8", \ + " 118.4, 154.6, 253.6, 482.1, 891.3, 1758.8", \ + " 126.8, 161.9, 258.6, 483.2, 891.1, 1758.8", \ + " 143.6, 177.3, 270.6, 489.9, 891.4, 1758.7", \ + " 170.5, 202.1, 291.9, 504.9, 898.8, 1758.3", \ + " 204.3, 243.1, 328.2, 533.6, 918.1, 1763.7", \ + " 247.4, 289.5, 385.5, 583.5, 956.0, 1785.0", \ + " 311.7, 356.3, 463.7, 665.7, 1025.3, 1832.8", \ + " 406.8, 454.9, 570.1, 794.6, 1144.9, 1925.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.1, 44.8, 72.4, 132.1, 237.0, 459.4", \ + " 40.0, 50.8, 78.6, 138.7, 243.7, 466.3", \ + " 45.1, 55.6, 83.4, 143.5, 248.6, 471.2", \ + " 49.9, 62.3, 89.9, 149.9, 255.1, 477.7", \ + " 56.0, 70.5, 101.7, 161.4, 266.5, 489.2", \ + " 61.8, 78.6, 115.2, 178.2, 283.1, 505.5", \ + " 67.2, 86.5, 129.2, 202.8, 308.4, 530.4", \ + " 71.2, 93.4, 142.9, 229.4, 346.2, 567.4", \ + " 72.5, 98.3, 155.7, 256.8, 395.2, 623.9", \ + " 68.6, 98.7, 165.9, 284.1, 447.1, 709.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.0, 98.4, 169.1, 328.6, 612.1, 1214.7", \ + " 75.8, 100.7, 169.5, 328.6, 612.1, 1214.7", \ + " 82.1, 106.0, 172.8, 328.8, 612.1, 1214.7", \ + " 91.9, 114.9, 179.5, 332.1, 612.1, 1214.7", \ + " 111.5, 132.8, 194.6, 342.2, 616.1, 1214.7", \ + " 135.1, 160.7, 219.7, 361.9, 628.5, 1216.8", \ + " 167.6, 195.1, 260.8, 397.5, 655.2, 1230.3", \ + " 213.5, 243.0, 315.1, 455.1, 704.0, 1262.8", \ + " 279.1, 311.8, 389.7, 543.5, 787.3, 1327.2", \ + " 372.9, 410.2, 496.5, 663.5, 920.7, 1444.6" ); }} +timing() { /* ring osc delay aoi22v0x05, path b2 to z 64.4 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 47.4 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.7, 51.0, 88.1, 170.3, 315.5, 623.5", \ + " 42.7, 56.6, 93.9, 176.4, 321.9, 630.1", \ + " 48.5, 61.9, 98.7, 181.2, 326.7, 635.0", \ + " 55.6, 69.6, 105.7, 187.9, 333.3, 641.6", \ + " 65.4, 82.0, 118.8, 200.1, 345.2, 653.4", \ + " 77.5, 96.3, 138.2, 218.6, 362.8, 670.5", \ + " 93.3, 114.6, 162.4, 247.6, 390.3, 696.8", \ + " 113.6, 138.0, 192.1, 289.4, 432.3, 736.6", \ + " 140.4, 168.7, 230.3, 341.1, 497.8, 798.4", \ + " 176.3, 209.4, 280.8, 406.7, 586.7, 895.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 96.3, 134.9, 237.6, 467.7, 875.9, 1743.0", \ + " 99.2, 136.0, 236.7, 467.3, 875.9, 1743.0", \ + " 105.1, 140.7, 239.0, 466.7, 875.8, 1743.0", \ + " 114.2, 148.7, 244.4, 468.1, 875.5, 1743.0", \ + " 132.3, 165.0, 257.1, 475.3, 876.1, 1742.9", \ + " 161.4, 190.9, 279.2, 490.8, 883.9, 1742.5", \ + " 189.7, 230.4, 316.4, 520.3, 903.6, 1748.2", \ + " 232.5, 275.3, 374.7, 571.0, 942.1, 1769.9", \ + " 296.5, 341.6, 450.3, 653.8, 1012.1, 1818.1", \ + " 390.9, 439.7, 555.8, 782.1, 1132.4, 1911.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 42.4, 69.1, 128.2, 233.0, 455.4", \ + " 38.1, 48.4, 75.3, 134.8, 239.8, 462.3", \ + " 42.7, 53.2, 80.1, 139.6, 244.7, 467.2", \ + " 46.7, 59.3, 86.6, 146.1, 251.1, 473.8", \ + " 51.3, 66.3, 98.2, 157.6, 262.6, 485.2", \ + " 55.3, 72.9, 110.7, 174.4, 279.1, 501.6", \ + " 58.5, 79.1, 123.4, 198.5, 304.4, 526.4", \ + " 59.9, 83.9, 135.7, 224.2, 342.2, 563.4", \ + " 57.9, 86.2, 146.8, 250.5, 390.4, 619.9", \ + " 50.0, 83.4, 154.8, 276.3, 441.3, 705.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.7, 83.3, 154.2, 313.7, 597.3, 1199.9", \ + " 60.5, 85.6, 154.5, 313.7, 597.3, 1199.9", \ + " 66.9, 90.9, 157.8, 314.0, 597.3, 1199.9", \ + " 76.8, 99.8, 164.5, 317.2, 597.3, 1199.9", \ + " 95.0, 117.7, 179.6, 327.3, 601.3, 1199.9", \ + " 115.2, 143.0, 204.7, 347.0, 613.7, 1202.0", \ + " 145.2, 175.1, 245.3, 382.6, 640.4, 1215.5", \ + " 188.2, 220.7, 296.6, 439.9, 689.2, 1248.0", \ + " 249.8, 286.3, 368.8, 526.8, 772.3, 1312.5", \ + " 338.8, 380.6, 472.8, 644.5, 905.3, 1429.8" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 47.4 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.7, 59.0, 96.1, 178.3, 323.5, 631.6", \ + " 50.5, 64.7, 101.9, 184.4, 329.9, 638.1", \ + " 55.9, 69.7, 106.8, 189.3, 334.8, 643.1", \ + " 63.9, 77.2, 113.7, 195.9, 341.4, 649.7", \ + " 75.1, 90.6, 126.6, 208.1, 353.3, 661.4", \ + " 88.5, 106.2, 146.3, 226.5, 370.9, 678.6", \ + " 105.8, 125.8, 171.8, 255.4, 398.3, 704.9", \ + " 128.0, 150.7, 202.7, 297.9, 440.2, 744.6", \ + " 157.2, 183.3, 242.4, 350.8, 505.6, 806.3", \ + " 196.1, 226.5, 294.6, 417.8, 595.7, 903.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 111.7, 150.2, 252.8, 483.0, 891.4, 1758.8", \ + " 113.3, 150.6, 251.7, 482.7, 891.4, 1758.8", \ + " 118.4, 154.6, 253.6, 482.1, 891.3, 1758.8", \ + " 126.8, 161.9, 258.6, 483.2, 891.1, 1758.8", \ + " 143.6, 177.3, 270.6, 489.9, 891.4, 1758.7", \ + " 170.5, 202.1, 291.9, 504.9, 898.8, 1758.3", \ + " 204.3, 243.1, 328.2, 533.6, 918.1, 1763.7", \ + " 247.4, 289.5, 385.5, 583.5, 956.0, 1785.0", \ + " 311.7, 356.3, 463.7, 665.7, 1025.3, 1832.8", \ + " 406.8, 454.9, 570.1, 794.6, 1144.9, 1925.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.1, 44.8, 72.4, 132.1, 237.0, 459.4", \ + " 40.0, 50.8, 78.6, 138.7, 243.7, 466.3", \ + " 45.1, 55.6, 83.4, 143.5, 248.6, 471.2", \ + " 49.9, 62.3, 89.9, 149.9, 255.1, 477.7", \ + " 56.0, 70.5, 101.7, 161.4, 266.5, 489.2", \ + " 61.8, 78.6, 115.2, 178.2, 283.1, 505.5", \ + " 67.2, 86.5, 129.2, 202.8, 308.4, 530.4", \ + " 71.2, 93.4, 142.9, 229.4, 346.2, 567.4", \ + " 72.5, 98.3, 155.7, 256.8, 395.2, 623.9", \ + " 68.6, 98.7, 165.9, 284.1, 447.1, 709.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.0, 98.4, 169.1, 328.6, 612.1, 1214.7", \ + " 75.8, 100.7, 169.5, 328.6, 612.1, 1214.7", \ + " 82.1, 106.0, 172.8, 328.8, 612.1, 1214.7", \ + " 91.9, 114.9, 179.5, 332.1, 612.1, 1214.7", \ + " 111.5, 132.8, 194.6, 342.2, 616.1, 1214.7", \ + " 135.1, 160.7, 219.7, 361.9, 628.5, 1216.8", \ + " 167.6, 195.1, 260.8, 397.5, 655.2, 1230.3", \ + " 213.5, 243.0, 315.1, 455.1, 704.0, 1262.8", \ + " 279.1, 311.8, 389.7, 543.5, 787.3, 1327.2", \ + " 372.9, 410.2, 496.5, 663.5, 920.7, 1444.6" ); }} +} +} +cell(aoi22v0x1) { /* 2008-01-06:07h26 characteristic delay 15.5 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 901 ; /* aoi22v0x1 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v0x1 FO4 effort 1.94 logical effort 1.82 */ +direction : input ; +capacitance : 4.38 ; +rise_capacitance : 4.34 ; +fall_capacitance : 4.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v0x1 */ +} +pin(a2) { /* aoi22v0x1 FO4 effort 1.91 logical effort 1.85 */ +direction : input ; +capacitance : 4.44 ; +rise_capacitance : 4.57 ; +fall_capacitance : 4.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v0x1 */ +} +pin(b1) { /* aoi22v0x1 FO4 effort 1.61 logical effort 1.81 */ +direction : input ; +capacitance : 4.41 ; +rise_capacitance : 4.10 ; +fall_capacitance : 4.73 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v0x1 */ +} +pin(b2) { /* aoi22v0x1 FO4 effort 1.55 logical effort 1.78 */ +direction : input ; +capacitance : 4.33 ; +rise_capacitance : 4.17 ; +fall_capacitance : 4.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 97 ; +max_fanout : 4 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v0x1 20.52 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 10.51, 10.52, 10.53, 10.53, 10.51", \ + " 10.31, 10.34, 10.37, 10.39, 10.39", \ + " 10.24, 10.26, 10.31, 10.34, 10.35", \ + " 10.19, 10.21, 10.26, 10.30, 10.32", \ + " 10.20, 10.21, 10.24, 10.27, 10.29", \ + " 10.39, 10.37, 10.34, 10.32, 10.31", \ + " 10.95, 10.86, 10.70, 10.55, 10.45", \ + " 12.20, 11.98, 11.61, 11.19, 10.88", \ + " 14.62, 14.25, 13.54, 12.66, 11.93", \ + " 18.84, 18.30, 17.18, 15.62, 14.17" ); }} +internal_power(a2_z_n) { /* aoi22v0x1 18.53 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 9.50, 9.54, 9.57, 9.59, 9.58", \ + " 9.26, 9.32, 9.40, 9.45, 9.47", \ + " 9.19, 9.24, 9.32, 9.40, 9.43", \ + " 9.15, 9.19, 9.26, 9.35, 9.39", \ + " 9.20, 9.21, 9.25, 9.31, 9.36", \ + " 9.45, 9.41, 9.37, 9.36, 9.37", \ + " 10.06, 9.94, 9.76, 9.60, 9.51", \ + " 11.32, 11.09, 10.69, 10.25, 9.93", \ + " 13.68, 13.30, 12.58, 11.70, 10.98", \ + " 17.75, 17.20, 16.08, 14.55, 13.15" ); }} +internal_power(b1_z_n) { /* aoi22v0x1 11.73 nW/MHz */ +related_pin : "b1" ; +power(pwr_x1_130_5x10) { +values( " 5.88, 5.97, 6.06, 6.11, 6.12", \ + " 5.65, 5.76, 5.92, 6.04, 6.09", \ + " 5.64, 5.72, 5.87, 6.01, 6.08", \ + " 5.72, 5.76, 5.87, 5.99, 6.07", \ + " 6.01, 5.98, 5.98, 6.03, 6.08", \ + " 6.62, 6.48, 6.32, 6.23, 6.20", \ + " 7.75, 7.48, 7.07, 6.72, 6.50", \ + " 9.65, 9.22, 8.49, 7.73, 7.19", \ + " 12.76, 12.15, 11.01, 9.66, 8.59", \ + " 17.70, 16.92, 15.34, 13.21, 11.32" ); }} +internal_power(b2_z_n) { /* aoi22v0x1 9.73 nW/MHz */ +related_pin : "b2" ; +power(pwr_x1_130_5x10) { +values( " 4.78, 4.88, 5.00, 5.07, 5.09", \ + " 4.61, 4.72, 4.88, 5.02, 5.09", \ + " 4.64, 4.71, 4.85, 4.99, 5.08", \ + " 4.76, 4.78, 4.86, 4.99, 5.07", \ + " 5.10, 5.04, 5.01, 5.04, 5.09", \ + " 5.74, 5.57, 5.37, 5.25, 5.21", \ + " 6.88, 6.58, 6.14, 5.75, 5.52", \ + " 8.75, 8.29, 7.53, 6.76, 6.20", \ + " 11.77, 11.12, 9.97, 8.65, 7.59", \ + " 16.56, 15.72, 14.11, 12.03, 10.23" ); }} +timing() { /* ring osc delay aoi22v0x1, path a1 to z 85.5 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 63.3 ; */ +/* rise_resistance : 4.00 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.8, 66.2, 93.3, 153.5, 260.1, 486.3", \ + " 63.6, 74.1, 101.3, 161.6, 268.3, 494.5", \ + " 69.4, 80.0, 107.2, 167.6, 274.3, 500.5", \ + " 76.9, 87.5, 114.8, 175.2, 282.0, 508.2", \ + " 88.0, 100.0, 127.8, 188.2, 295.0, 521.3", \ + " 99.7, 113.7, 145.5, 206.6, 313.3, 539.6", \ + " 113.7, 129.9, 167.0, 234.4, 341.0, 567.2", \ + " 131.4, 150.2, 192.8, 270.5, 382.3, 608.0", \ + " 156.3, 177.7, 226.5, 315.2, 442.2, 670.2", \ + " 192.1, 216.6, 272.3, 373.3, 518.3, 766.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 104.4, 131.6, 205.4, 373.3, 673.6, 1312.7", \ + " 104.9, 131.9, 205.5, 373.4, 673.6, 1312.7", \ + " 106.5, 133.1, 206.0, 373.5, 673.6, 1312.7", \ + " 111.2, 137.1, 208.7, 374.4, 673.8, 1312.7", \ + " 122.4, 147.3, 217.1, 379.8, 675.5, 1312.7", \ + " 142.9, 166.2, 233.3, 392.4, 683.4, 1314.0", \ + " 177.0, 201.1, 263.9, 417.5, 702.2, 1323.5", \ + " 224.5, 250.6, 317.2, 462.6, 738.4, 1347.6", \ + " 296.4, 323.9, 394.8, 540.9, 804.3, 1397.0", \ + " 403.8, 432.5, 507.0, 663.7, 918.9, 1489.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 67.0, 93.1, 149.7, 248.5, 456.9", \ + " 61.2, 71.5, 97.7, 154.4, 253.3, 461.7", \ + " 64.2, 74.5, 100.8, 157.6, 256.5, 465.0", \ + " 68.5, 78.8, 105.1, 161.9, 260.9, 469.4", \ + " 74.9, 86.0, 112.7, 169.6, 268.6, 477.1", \ + " 82.0, 94.0, 123.0, 180.9, 279.8, 488.3", \ + " 89.7, 103.2, 135.1, 197.5, 297.0, 505.3", \ + " 97.0, 112.4, 148.5, 217.1, 322.6, 530.7", \ + " 102.5, 120.4, 162.1, 239.7, 355.4, 569.5", \ + " 104.2, 125.1, 173.8, 263.6, 393.7, 626.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 99.8, 124.9, 192.4, 344.6, 615.4, 1191.3", \ + " 100.9, 125.8, 192.7, 344.6, 615.5, 1191.3", \ + " 103.7, 128.3, 194.6, 345.3, 615.5, 1191.3", \ + " 109.0, 133.0, 198.3, 347.6, 616.2, 1191.3", \ + " 121.4, 144.4, 207.7, 354.1, 619.8, 1191.7", \ + " 142.5, 165.1, 225.4, 367.5, 628.7, 1195.5", \ + " 172.5, 196.8, 257.6, 393.6, 647.8, 1206.4", \ + " 215.2, 241.2, 305.7, 439.5, 684.3, 1230.6", \ + " 276.5, 304.9, 373.9, 514.3, 750.3, 1279.4", \ + " 364.4, 396.3, 472.0, 621.8, 863.0, 1370.9" ); }} +timing() { /* ring osc delay aoi22v0x1, path a1 to z 96.0 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 63.3 ; */ +/* rise_resistance : 4.00 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.3, 85.6, 120.4, 198.1, 335.7, 627.7", \ + " 80.0, 93.4, 128.4, 206.1, 343.8, 635.9", \ + " 85.7, 99.1, 134.1, 211.9, 349.6, 641.7", \ + " 92.8, 106.2, 141.2, 219.1, 356.8, 649.0", \ + " 104.2, 117.8, 152.8, 230.7, 368.5, 660.7", \ + " 116.7, 132.1, 168.8, 246.5, 384.3, 676.4", \ + " 131.8, 149.0, 190.0, 270.2, 407.7, 699.7", \ + " 151.2, 170.5, 216.1, 304.1, 442.6, 734.0", \ + " 178.5, 200.2, 251.0, 348.1, 496.1, 786.5", \ + " 217.9, 242.5, 299.5, 406.9, 569.3, 868.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 126.6, 162.9, 260.9, 482.8, 878.5, 1720.2", \ + " 127.0, 163.2, 261.0, 482.8, 878.6, 1720.2", \ + " 127.8, 163.8, 261.3, 482.9, 878.6, 1720.2", \ + " 131.5, 166.8, 262.9, 483.3, 878.6, 1720.2", \ + " 140.1, 174.6, 269.1, 486.7, 879.2, 1720.2", \ + " 156.0, 189.2, 281.6, 495.9, 884.3, 1720.5", \ + " 186.3, 217.5, 305.6, 515.0, 897.7, 1725.9", \ + " 229.4, 263.3, 349.7, 551.0, 925.4, 1742.5", \ + " 296.7, 331.6, 422.3, 616.5, 978.3, 1779.5", \ + " 400.0, 435.8, 528.9, 728.7, 1074.3, 1852.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.2, 63.0, 90.0, 147.3, 246.4, 455.1", \ + " 56.6, 67.4, 94.5, 151.8, 251.0, 459.7", \ + " 59.7, 70.5, 97.6, 155.0, 254.2, 462.9", \ + " 64.1, 74.8, 101.9, 159.3, 258.6, 467.3", \ + " 70.6, 82.0, 109.5, 167.0, 266.3, 475.0", \ + " 77.7, 90.1, 119.7, 178.2, 277.5, 486.2", \ + " 85.2, 99.0, 131.6, 194.6, 294.6, 503.2", \ + " 91.8, 107.5, 144.4, 213.9, 320.0, 528.5", \ + " 96.1, 114.4, 156.9, 235.7, 352.3, 567.1", \ + " 96.0, 117.2, 166.9, 258.3, 389.7, 623.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.8, 134.6, 201.5, 353.0, 623.4, 1198.8", \ + " 111.3, 135.7, 201.9, 353.1, 623.4, 1198.8", \ + " 114.5, 138.5, 203.9, 353.9, 623.5, 1198.8", \ + " 120.4, 143.7, 207.9, 356.3, 624.2, 1198.8", \ + " 133.8, 156.1, 218.0, 363.1, 628.0, 1199.3", \ + " 156.6, 177.9, 236.7, 377.2, 637.2, 1203.3", \ + " 189.0, 211.9, 270.2, 404.3, 657.0, 1214.4", \ + " 235.3, 259.4, 320.8, 451.7, 694.5, 1239.1", \ + " 302.0, 327.9, 392.9, 529.1, 762.3, 1289.0", \ + " 397.6, 426.4, 496.9, 641.1, 877.9, 1382.5" ); }} +timing() { /* ring osc delay aoi22v0x1, path a1 to z 87.6 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 63.3 ; */ +/* rise_resistance : 4.00 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.3, 77.6, 112.5, 190.0, 327.6, 619.6", \ + " 72.0, 85.4, 120.3, 198.1, 335.7, 627.7", \ + " 77.6, 91.0, 126.1, 203.9, 341.5, 633.6", \ + " 84.7, 98.2, 133.2, 211.0, 348.7, 640.9", \ + " 95.5, 109.7, 144.8, 222.6, 360.4, 652.5", \ + " 106.8, 122.9, 160.7, 238.5, 376.2, 668.3", \ + " 120.5, 138.7, 181.0, 262.2, 399.6, 691.6", \ + " 138.5, 158.9, 206.1, 295.6, 434.5, 725.9", \ + " 164.1, 187.1, 239.8, 338.6, 487.9, 778.4", \ + " 201.4, 227.6, 287.1, 396.5, 560.4, 860.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.2, 148.3, 245.9, 467.5, 863.0, 1704.4", \ + " 112.7, 148.6, 246.0, 467.5, 863.0, 1704.4", \ + " 113.9, 149.4, 246.4, 467.6, 863.0, 1704.4", \ + " 118.0, 152.8, 248.3, 468.1, 863.1, 1704.4", \ + " 127.3, 161.1, 254.9, 471.8, 863.8, 1704.4", \ + " 144.6, 176.5, 267.8, 481.2, 869.0, 1704.7", \ + " 174.7, 206.4, 292.6, 500.9, 882.8, 1710.3", \ + " 217.8, 251.5, 338.1, 537.5, 910.9, 1727.2", \ + " 285.5, 319.9, 410.4, 604.0, 964.4, 1764.6", \ + " 389.4, 424.7, 517.2, 716.9, 1061.3, 1838.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.3, 59.1, 85.9, 143.2, 242.4, 451.1", \ + " 52.7, 63.5, 90.4, 147.8, 247.0, 455.8", \ + " 55.8, 66.6, 93.5, 151.0, 250.3, 459.0", \ + " 60.1, 70.9, 97.8, 155.2, 254.6, 463.4", \ + " 65.9, 77.6, 105.5, 162.9, 262.3, 471.1", \ + " 72.1, 85.0, 115.2, 174.1, 273.5, 482.2", \ + " 78.4, 92.9, 126.5, 190.3, 290.6, 499.2", \ + " 83.3, 100.2, 138.5, 209.2, 316.0, 524.5", \ + " 85.7, 105.3, 149.9, 230.3, 347.9, 563.1", \ + " 83.2, 106.1, 158.2, 251.9, 384.7, 619.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 94.6, 119.4, 186.4, 338.0, 608.4, 1183.9", \ + " 96.1, 120.5, 186.8, 338.1, 608.4, 1183.9", \ + " 99.3, 123.3, 188.8, 338.8, 608.5, 1183.9", \ + " 105.1, 128.5, 192.8, 341.3, 609.3, 1183.9", \ + " 118.7, 140.9, 202.9, 348.1, 613.1, 1184.4", \ + " 140.3, 162.8, 221.6, 362.2, 622.2, 1188.4", \ + " 171.0, 194.9, 255.2, 389.3, 642.0, 1199.5", \ + " 215.0, 240.6, 304.2, 436.8, 679.6, 1224.2", \ + " 278.9, 306.6, 374.5, 513.3, 747.4, 1274.1", \ + " 370.9, 402.0, 476.1, 623.7, 862.8, 1367.6" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 63.3 ; */ +/* rise_resistance : 4.00 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.3, 85.6, 120.4, 198.1, 335.7, 627.7", \ + " 80.0, 93.4, 128.4, 206.1, 343.8, 635.9", \ + " 85.7, 99.1, 134.1, 211.9, 349.6, 641.7", \ + " 92.8, 106.2, 141.2, 219.1, 356.8, 649.0", \ + " 104.2, 117.8, 152.8, 230.7, 368.5, 660.7", \ + " 116.7, 132.1, 168.8, 246.5, 384.3, 676.4", \ + " 131.8, 149.0, 190.0, 270.2, 407.7, 699.7", \ + " 151.2, 170.5, 216.1, 304.1, 442.6, 734.0", \ + " 178.5, 200.2, 251.0, 348.1, 496.1, 786.5", \ + " 217.9, 242.5, 299.5, 406.9, 569.3, 868.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 126.6, 162.9, 260.9, 482.8, 878.5, 1720.2", \ + " 127.0, 163.2, 261.0, 482.8, 878.6, 1720.2", \ + " 127.8, 163.8, 261.3, 482.9, 878.6, 1720.2", \ + " 131.5, 166.8, 262.9, 483.3, 878.6, 1720.2", \ + " 140.1, 174.6, 269.1, 486.7, 879.2, 1720.2", \ + " 156.0, 189.2, 281.6, 495.9, 884.3, 1720.5", \ + " 186.3, 217.5, 305.6, 515.0, 897.7, 1725.9", \ + " 229.4, 263.3, 349.7, 551.0, 925.4, 1742.5", \ + " 296.7, 331.6, 422.3, 616.5, 978.3, 1779.5", \ + " 400.0, 435.8, 528.9, 728.7, 1074.3, 1852.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.2, 63.0, 90.0, 147.3, 246.4, 455.1", \ + " 56.6, 67.4, 94.5, 151.8, 251.0, 459.7", \ + " 59.7, 70.5, 97.6, 155.0, 254.2, 462.9", \ + " 64.1, 74.8, 101.9, 159.3, 258.6, 467.3", \ + " 70.6, 82.0, 109.5, 167.0, 266.3, 475.0", \ + " 77.7, 90.1, 119.7, 178.2, 277.5, 486.2", \ + " 85.2, 99.0, 131.6, 194.6, 294.6, 503.2", \ + " 91.8, 107.5, 144.4, 213.9, 320.0, 528.5", \ + " 96.1, 114.4, 156.9, 235.7, 352.3, 567.1", \ + " 96.0, 117.2, 166.9, 258.3, 389.7, 623.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.8, 134.6, 201.5, 353.0, 623.4, 1198.8", \ + " 111.3, 135.7, 201.9, 353.1, 623.4, 1198.8", \ + " 114.5, 138.5, 203.9, 353.9, 623.5, 1198.8", \ + " 120.4, 143.7, 207.9, 356.3, 624.2, 1198.8", \ + " 133.8, 156.1, 218.0, 363.1, 628.0, 1199.3", \ + " 156.6, 177.9, 236.7, 377.2, 637.2, 1203.3", \ + " 189.0, 211.9, 270.2, 404.3, 657.0, 1214.4", \ + " 235.3, 259.4, 320.8, 451.7, 694.5, 1239.1", \ + " 302.0, 327.9, 392.9, 529.1, 762.3, 1289.0", \ + " 397.6, 426.4, 496.9, 641.1, 877.9, 1382.5" ); }} +timing() { /* ring osc delay aoi22v0x1, path a2 to z 82.7 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 64.7 ; */ +/* rise_resistance : 4.01 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 61.2, 88.5, 148.8, 255.4, 481.6", \ + " 57.9, 68.7, 96.3, 156.9, 263.7, 489.9", \ + " 63.7, 74.4, 102.1, 162.7, 269.6, 496.0", \ + " 70.9, 81.9, 109.5, 170.2, 277.2, 503.6", \ + " 80.7, 93.5, 122.3, 183.1, 290.1, 516.6", \ + " 90.9, 106.0, 139.4, 201.3, 308.3, 534.9", \ + " 102.9, 120.5, 159.5, 228.8, 335.8, 562.3", \ + " 117.6, 138.3, 183.6, 263.6, 376.8, 602.9", \ + " 137.3, 161.5, 214.2, 306.5, 435.8, 664.8", \ + " 165.0, 193.2, 254.6, 361.1, 509.7, 760.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.8, 119.9, 193.6, 361.5, 661.7, 1300.6", \ + " 93.6, 120.4, 193.8, 361.5, 661.7, 1300.6", \ + " 95.5, 121.6, 194.3, 361.7, 661.7, 1300.6", \ + " 100.6, 126.0, 197.1, 362.5, 661.8, 1300.6", \ + " 112.4, 136.6, 205.6, 367.9, 663.4, 1300.6", \ + " 133.8, 156.1, 222.2, 380.6, 671.2, 1301.8", \ + " 166.5, 191.0, 253.1, 405.8, 690.0, 1311.1", \ + " 212.2, 238.7, 306.4, 451.1, 726.4, 1335.2", \ + " 282.4, 309.9, 381.9, 529.6, 792.4, 1384.7", \ + " 388.3, 416.8, 491.8, 650.7, 907.0, 1477.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 63.3, 89.4, 146.0, 244.9, 453.2", \ + " 58.8, 69.1, 95.3, 152.0, 250.9, 459.3", \ + " 63.4, 73.7, 99.9, 156.7, 255.6, 464.0", \ + " 70.1, 80.2, 106.3, 163.1, 262.0, 470.4", \ + " 80.6, 91.8, 118.0, 174.5, 273.4, 481.8", \ + " 91.4, 104.4, 134.5, 191.4, 290.0, 498.2", \ + " 102.6, 117.8, 153.0, 217.1, 315.4, 523.1", \ + " 113.8, 131.3, 172.2, 247.5, 353.6, 560.4", \ + " 124.6, 144.8, 192.1, 279.9, 404.8, 617.4", \ + " 134.0, 157.4, 212.2, 314.0, 460.5, 703.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 97.7, 122.4, 188.4, 337.3, 602.1, 1165.0", \ + " 99.0, 123.2, 188.6, 337.3, 602.1, 1165.0", \ + " 103.4, 126.9, 190.8, 337.6, 602.1, 1165.0", \ + " 111.4, 134.2, 196.4, 340.3, 602.2, 1165.0", \ + " 128.0, 150.1, 210.0, 349.7, 606.2, 1165.0", \ + " 153.6, 175.6, 233.7, 368.5, 618.5, 1167.7", \ + " 185.5, 211.6, 272.4, 403.0, 644.9, 1181.9", \ + " 229.2, 258.2, 326.8, 459.1, 693.1, 1215.1", \ + " 290.5, 323.1, 399.4, 545.0, 775.3, 1280.0", \ + " 377.8, 415.1, 500.8, 662.2, 905.9, 1397.2" ); }} +timing() { /* ring osc delay aoi22v0x1, path a2 to z 93.4 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 64.7 ; */ +/* rise_resistance : 4.01 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.6, 79.1, 114.1, 191.9, 329.5, 621.5", \ + " 72.9, 86.5, 121.8, 199.9, 337.7, 629.9", \ + " 78.3, 92.0, 127.3, 205.5, 343.5, 635.7", \ + " 85.3, 98.9, 134.3, 212.5, 350.6, 642.9", \ + " 96.0, 110.3, 145.7, 223.9, 362.1, 654.5", \ + " 107.3, 123.5, 161.4, 239.6, 377.7, 670.2", \ + " 120.8, 139.1, 181.6, 263.0, 400.9, 693.3", \ + " 137.8, 158.5, 206.2, 296.2, 435.5, 727.3", \ + " 160.5, 184.4, 238.4, 338.4, 488.4, 779.5", \ + " 192.4, 220.0, 282.0, 394.2, 559.7, 860.6" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.5, 147.7, 245.5, 467.2, 862.8, 1704.2", \ + " 112.1, 148.1, 245.6, 467.2, 862.8, 1704.2", \ + " 113.1, 148.9, 246.0, 467.3, 862.8, 1704.2", \ + " 117.1, 152.0, 247.6, 467.7, 862.9, 1704.2", \ + " 126.3, 160.2, 254.0, 471.1, 863.4, 1704.2", \ + " 143.1, 175.3, 266.7, 480.3, 868.4, 1704.5", \ + " 173.0, 204.6, 291.0, 499.6, 881.8, 1709.7", \ + " 214.7, 248.8, 335.8, 535.8, 909.6, 1726.3", \ + " 280.4, 315.3, 406.9, 601.3, 962.6, 1763.4", \ + " 382.7, 418.0, 511.2, 713.1, 1058.7, 1836.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 59.4, 86.4, 143.6, 242.8, 451.4", \ + " 54.2, 65.0, 92.1, 149.4, 248.6, 457.3", \ + " 58.9, 69.7, 96.7, 154.1, 253.3, 462.0", \ + " 65.9, 76.3, 103.1, 160.4, 259.6, 468.3", \ + " 76.5, 88.0, 114.8, 171.9, 271.0, 479.6", \ + " 87.1, 100.5, 131.2, 188.7, 287.6, 496.0", \ + " 98.0, 113.5, 149.4, 214.3, 313.0, 520.9", \ + " 108.6, 126.4, 167.9, 244.2, 351.0, 558.1", \ + " 118.4, 138.8, 186.8, 275.7, 401.6, 614.9", \ + " 126.1, 149.8, 205.3, 308.4, 456.3, 700.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.8, 132.1, 197.5, 345.5, 609.7, 1172.1", \ + " 109.8, 133.3, 197.5, 345.5, 609.7, 1172.1", \ + " 114.9, 137.6, 200.3, 345.8, 609.7, 1172.1", \ + " 123.7, 145.6, 206.4, 348.9, 609.9, 1172.1", \ + " 141.6, 162.6, 220.9, 358.8, 614.2, 1172.2", \ + " 169.3, 189.5, 245.7, 378.4, 627.0, 1175.2", \ + " 204.3, 228.5, 286.2, 414.2, 654.2, 1189.8", \ + " 252.3, 279.0, 343.8, 472.3, 703.7, 1223.7", \ + " 319.8, 349.6, 421.1, 561.5, 788.1, 1289.9", \ + " 415.7, 449.7, 529.6, 684.4, 922.5, 1409.4" ); }} +timing() { /* ring osc delay aoi22v0x1, path a2 to z 84.4 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 64.7 ; */ +/* rise_resistance : 4.01 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.4, 71.1, 106.1, 183.8, 321.4, 613.4", \ + " 64.6, 78.4, 113.8, 191.8, 329.6, 621.8", \ + " 70.1, 83.9, 119.3, 197.5, 335.4, 627.6", \ + " 77.0, 90.8, 126.2, 204.5, 342.5, 634.8", \ + " 86.7, 101.9, 137.6, 215.8, 353.9, 646.4", \ + " 96.7, 113.9, 153.2, 231.5, 369.5, 662.1", \ + " 108.7, 128.2, 172.3, 255.0, 392.8, 685.1", \ + " 123.8, 146.1, 195.8, 287.5, 427.4, 719.2", \ + " 144.2, 170.1, 226.7, 328.8, 480.1, 771.4", \ + " 173.3, 203.4, 268.6, 383.5, 550.6, 852.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.1, 133.0, 230.5, 451.9, 847.3, 1688.4", \ + " 97.9, 133.5, 230.7, 452.0, 847.3, 1688.4", \ + " 99.4, 134.5, 231.1, 452.1, 847.3, 1688.4", \ + " 103.9, 138.1, 233.0, 452.5, 847.3, 1688.4", \ + " 114.0, 146.9, 239.8, 456.2, 848.0, 1688.4", \ + " 132.5, 163.0, 253.0, 465.7, 853.2, 1688.7", \ + " 161.5, 193.9, 278.1, 485.5, 866.9, 1694.1", \ + " 203.2, 236.8, 324.4, 522.3, 895.1, 1711.0", \ + " 269.7, 303.7, 394.7, 588.9, 948.8, 1748.4", \ + " 372.8, 407.2, 499.4, 701.0, 1045.6, 1822.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 55.4, 82.3, 139.6, 238.8, 447.5", \ + " 50.3, 61.1, 88.0, 145.4, 244.6, 453.4", \ + " 55.1, 65.8, 92.7, 150.0, 249.3, 458.0", \ + " 62.0, 72.4, 99.1, 156.4, 255.7, 464.4", \ + " 71.3, 83.5, 110.8, 167.8, 267.0, 475.7", \ + " 80.5, 94.7, 126.6, 184.7, 283.6, 492.1", \ + " 89.8, 106.3, 143.7, 210.1, 309.0, 517.0", \ + " 98.6, 117.7, 161.1, 239.1, 347.0, 554.1", \ + " 106.3, 128.3, 178.5, 269.6, 397.0, 611.0", \ + " 111.4, 136.9, 195.2, 301.0, 450.6, 696.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 92.7, 117.1, 182.7, 330.8, 595.0, 1157.5", \ + " 94.7, 118.2, 182.7, 330.7, 595.0, 1157.5", \ + " 99.7, 122.6, 185.4, 331.1, 595.0, 1157.5", \ + " 108.5, 130.6, 191.5, 334.2, 595.2, 1157.5", \ + " 126.0, 147.4, 206.0, 344.1, 599.6, 1157.6", \ + " 151.5, 174.2, 230.7, 363.7, 612.4, 1160.6", \ + " 184.1, 210.1, 270.8, 399.5, 639.6, 1175.2", \ + " 229.1, 258.1, 326.1, 457.2, 689.0, 1209.0", \ + " 293.1, 325.6, 401.0, 545.2, 773.3, 1275.2", \ + " 384.4, 421.8, 506.5, 665.8, 907.1, 1394.7" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 64.7 ; */ +/* rise_resistance : 4.01 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.6, 79.1, 114.1, 191.9, 329.5, 621.5", \ + " 72.9, 86.5, 121.8, 199.9, 337.7, 629.9", \ + " 78.3, 92.0, 127.3, 205.5, 343.5, 635.7", \ + " 85.3, 98.9, 134.3, 212.5, 350.6, 642.9", \ + " 96.0, 110.3, 145.7, 223.9, 362.1, 654.5", \ + " 107.3, 123.5, 161.4, 239.6, 377.7, 670.2", \ + " 120.8, 139.1, 181.6, 263.0, 400.9, 693.3", \ + " 137.8, 158.5, 206.2, 296.2, 435.5, 727.3", \ + " 160.5, 184.4, 238.4, 338.4, 488.4, 779.5", \ + " 192.4, 220.0, 282.0, 394.2, 559.7, 860.6" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.5, 147.7, 245.5, 467.2, 862.8, 1704.2", \ + " 112.1, 148.1, 245.6, 467.2, 862.8, 1704.2", \ + " 113.1, 148.9, 246.0, 467.3, 862.8, 1704.2", \ + " 117.1, 152.0, 247.6, 467.7, 862.9, 1704.2", \ + " 126.3, 160.2, 254.0, 471.1, 863.4, 1704.2", \ + " 143.1, 175.3, 266.7, 480.3, 868.4, 1704.5", \ + " 173.0, 204.6, 291.0, 499.6, 881.8, 1709.7", \ + " 214.7, 248.8, 335.8, 535.8, 909.6, 1726.3", \ + " 280.4, 315.3, 406.9, 601.3, 962.6, 1763.4", \ + " 382.7, 418.0, 511.2, 713.1, 1058.7, 1836.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 59.4, 86.4, 143.6, 242.8, 451.4", \ + " 54.2, 65.0, 92.1, 149.4, 248.6, 457.3", \ + " 58.9, 69.7, 96.7, 154.1, 253.3, 462.0", \ + " 65.9, 76.3, 103.1, 160.4, 259.6, 468.3", \ + " 76.5, 88.0, 114.8, 171.9, 271.0, 479.6", \ + " 87.1, 100.5, 131.2, 188.7, 287.6, 496.0", \ + " 98.0, 113.5, 149.4, 214.3, 313.0, 520.9", \ + " 108.6, 126.4, 167.9, 244.2, 351.0, 558.1", \ + " 118.4, 138.8, 186.8, 275.7, 401.6, 614.9", \ + " 126.1, 149.8, 205.3, 308.4, 456.3, 700.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.8, 132.1, 197.5, 345.5, 609.7, 1172.1", \ + " 109.8, 133.3, 197.5, 345.5, 609.7, 1172.1", \ + " 114.9, 137.6, 200.3, 345.8, 609.7, 1172.1", \ + " 123.7, 145.6, 206.4, 348.9, 609.9, 1172.1", \ + " 141.6, 162.6, 220.9, 358.8, 614.2, 1172.2", \ + " 169.3, 189.5, 245.7, 378.4, 627.0, 1175.2", \ + " 204.3, 228.5, 286.2, 414.2, 654.2, 1189.8", \ + " 252.3, 279.0, 343.8, 472.3, 703.7, 1223.7", \ + " 319.8, 349.6, 421.1, 561.5, 788.1, 1289.9", \ + " 415.7, 449.7, 529.6, 684.4, 922.5, 1409.4" ); }} +timing() { /* ring osc delay aoi22v0x1, path b1 to z 55.0 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 43.5 ; */ +/* rise_resistance : 3.93 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 43.3, 69.3, 127.0, 229.0, 445.6", \ + " 40.2, 50.1, 76.1, 133.9, 236.0, 452.7", \ + " 46.3, 55.9, 81.6, 139.3, 241.5, 458.1", \ + " 53.1, 64.0, 89.4, 146.8, 248.9, 465.5", \ + " 62.9, 75.6, 103.7, 160.5, 262.1, 478.5", \ + " 74.7, 89.3, 122.1, 180.7, 281.6, 497.4", \ + " 90.3, 106.8, 144.4, 211.5, 311.7, 526.4", \ + " 110.3, 129.2, 171.9, 249.4, 357.2, 570.0", \ + " 137.1, 158.9, 207.7, 296.2, 421.7, 637.2", \ + " 173.3, 198.8, 255.2, 356.2, 500.9, 741.7" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.5, 99.7, 172.3, 335.7, 625.9, 1242.6", \ + " 77.6, 103.1, 173.2, 335.6, 625.9, 1242.6", \ + " 84.6, 109.0, 177.1, 336.3, 625.9, 1242.6", \ + " 95.2, 118.5, 184.3, 340.2, 626.0, 1242.6", \ + " 115.7, 137.3, 199.8, 350.7, 630.7, 1242.5", \ + " 142.0, 166.6, 225.5, 370.4, 643.5, 1245.2", \ + " 174.8, 203.7, 267.4, 405.6, 669.7, 1259.1", \ + " 220.8, 252.6, 326.3, 463.5, 717.0, 1290.9", \ + " 287.0, 322.2, 403.8, 555.5, 798.8, 1352.6", \ + " 383.0, 422.6, 513.2, 683.3, 933.6, 1465.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.5, 43.2, 68.1, 123.3, 221.1, 428.7", \ + " 37.5, 47.4, 72.7, 128.3, 226.3, 434.0", \ + " 40.1, 50.4, 75.7, 131.5, 229.7, 437.5", \ + " 42.2, 53.6, 79.9, 135.8, 234.0, 441.9", \ + " 44.9, 57.7, 86.8, 143.4, 241.7, 449.7", \ + " 47.1, 61.8, 94.2, 154.6, 252.8, 460.8", \ + " 48.2, 65.4, 102.5, 169.0, 270.0, 477.8", \ + " 47.2, 67.4, 110.5, 185.3, 294.6, 503.0", \ + " 42.4, 66.1, 116.8, 203.1, 324.3, 541.7", \ + " 31.0, 58.9, 118.8, 220.1, 358.2, 596.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 52.1, 77.4, 145.0, 297.4, 568.2, 1143.6", \ + " 54.8, 79.2, 145.5, 297.4, 568.2, 1143.6", \ + " 59.3, 83.0, 148.3, 298.2, 568.2, 1143.6", \ + " 67.5, 89.6, 153.1, 301.2, 568.9, 1143.6", \ + " 82.8, 105.0, 164.4, 308.7, 573.2, 1144.0", \ + " 102.3, 126.4, 185.1, 323.6, 582.9, 1148.2", \ + " 132.1, 156.9, 219.7, 351.8, 603.2, 1159.7", \ + " 175.7, 201.4, 266.2, 400.8, 641.3, 1184.8", \ + " 238.7, 266.5, 334.3, 475.5, 709.6, 1234.9", \ + " 330.7, 360.6, 434.2, 581.9, 824.8, 1328.3" ); }} +timing() { /* ring osc delay aoi22v0x1, path b1 to z 70.3 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 43.5 ; */ +/* rise_resistance : 3.93 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 63.1, 98.1, 175.9, 313.6, 605.7", \ + " 55.5, 68.8, 104.0, 182.0, 319.8, 612.0", \ + " 60.8, 74.0, 108.9, 186.9, 324.7, 617.0", \ + " 68.7, 81.4, 116.0, 193.7, 331.4, 623.6", \ + " 81.3, 95.4, 129.1, 206.1, 343.5, 635.4", \ + " 96.0, 112.0, 149.1, 224.8, 361.3, 652.7", \ + " 115.3, 133.3, 175.5, 254.1, 389.1, 679.2", \ + " 140.5, 160.6, 208.0, 297.1, 431.6, 719.4", \ + " 174.5, 197.2, 250.4, 351.2, 497.9, 781.9", \ + " 221.0, 247.2, 307.5, 420.7, 588.2, 880.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.8, 155.4, 252.7, 471.1, 858.5, 1681.2", \ + " 120.6, 156.0, 251.8, 470.7, 858.5, 1681.2", \ + " 125.7, 160.1, 254.0, 470.2, 858.4, 1681.2", \ + " 134.1, 167.4, 259.1, 471.8, 858.2, 1681.2", \ + " 151.0, 182.9, 271.3, 479.1, 859.1, 1681.1", \ + " 177.4, 207.8, 292.8, 494.5, 867.3, 1680.8", \ + " 213.6, 248.3, 329.3, 523.6, 887.5, 1687.7", \ + " 257.5, 296.7, 386.6, 573.9, 926.1, 1710.5", \ + " 322.0, 364.2, 465.3, 656.4, 996.2, 1759.7", \ + " 416.9, 462.8, 572.2, 784.7, 1116.5, 1854.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.6, 45.7, 71.5, 127.3, 225.3, 432.8", \ + " 39.8, 50.1, 76.3, 132.6, 230.7, 438.4", \ + " 42.9, 53.2, 79.5, 135.9, 234.1, 441.9", \ + " 45.5, 57.0, 83.7, 140.2, 238.6, 446.5", \ + " 49.0, 61.8, 91.1, 147.9, 246.3, 454.3", \ + " 52.4, 66.7, 98.9, 159.1, 257.4, 465.4", \ + " 54.9, 71.4, 107.7, 173.8, 274.5, 482.4", \ + " 54.9, 74.0, 116.1, 190.2, 299.1, 507.6", \ + " 50.5, 72.8, 122.1, 207.8, 328.7, 546.0", \ + " 38.3, 64.5, 122.8, 223.8, 362.0, 600.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.7, 92.9, 160.3, 312.5, 583.3, 1158.7", \ + " 70.2, 94.5, 160.7, 312.5, 583.3, 1158.7", \ + " 74.5, 98.1, 163.4, 313.3, 583.3, 1158.7", \ + " 81.9, 104.4, 168.0, 316.2, 584.0, 1158.7", \ + " 98.8, 118.8, 178.9, 323.5, 588.1, 1159.0", \ + " 119.3, 142.2, 199.1, 338.0, 597.6, 1163.2", \ + " 150.6, 174.0, 234.6, 365.9, 617.7, 1174.5", \ + " 196.2, 220.4, 282.9, 414.9, 655.7, 1199.5", \ + " 262.0, 288.1, 353.4, 491.7, 724.2, 1249.5", \ + " 356.5, 385.7, 456.7, 601.1, 841.1, 1343.5" ); }} +timing() { /* ring osc delay aoi22v0x1, path b1 to z 62.5 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 43.5 ; */ +/* rise_resistance : 3.93 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.5, 54.9, 90.0, 167.8, 305.4, 597.5", \ + " 47.4, 60.7, 95.8, 173.9, 311.7, 603.8", \ + " 53.0, 65.9, 100.8, 178.8, 316.6, 608.7", \ + " 60.9, 73.6, 107.9, 185.5, 323.2, 615.4", \ + " 71.9, 86.9, 121.2, 198.0, 335.3, 627.2", \ + " 85.5, 102.4, 141.0, 216.8, 353.1, 644.5", \ + " 103.5, 122.4, 166.2, 246.3, 381.0, 671.1", \ + " 127.2, 148.4, 197.5, 288.5, 423.6, 711.3", \ + " 159.2, 183.4, 238.6, 341.4, 489.9, 773.8", \ + " 203.2, 231.3, 294.2, 409.7, 579.1, 872.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.5, 140.0, 237.3, 455.5, 842.8, 1665.2", \ + " 106.5, 141.4, 236.6, 455.2, 842.7, 1665.2", \ + " 112.4, 146.1, 239.2, 454.6, 842.7, 1665.1", \ + " 121.6, 154.2, 244.8, 456.6, 842.4, 1665.1", \ + " 139.5, 170.6, 257.8, 464.4, 843.6, 1665.1", \ + " 167.6, 196.5, 280.1, 480.3, 852.2, 1664.9", \ + " 199.4, 236.9, 317.5, 510.2, 872.8, 1672.0", \ + " 242.6, 282.7, 375.7, 561.3, 912.0, 1695.2", \ + " 306.4, 349.4, 451.9, 644.5, 983.0, 1744.9", \ + " 400.3, 447.1, 557.8, 772.1, 1104.0, 1839.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.7, 43.4, 68.3, 123.5, 221.3, 428.9", \ + " 37.9, 47.8, 73.1, 128.7, 226.8, 434.5", \ + " 40.6, 50.9, 76.3, 132.1, 230.2, 438.0", \ + " 42.7, 54.2, 80.5, 136.4, 234.6, 442.5", \ + " 45.4, 58.2, 87.5, 144.0, 242.3, 450.3", \ + " 47.3, 62.2, 94.8, 155.2, 253.5, 461.5", \ + " 47.7, 65.2, 102.7, 169.5, 270.6, 478.4", \ + " 45.1, 65.8, 109.9, 185.4, 295.0, 503.6", \ + " 37.5, 62.1, 114.3, 202.1, 324.1, 542.0", \ + " 21.7, 50.8, 112.9, 216.9, 356.7, 596.0" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 52.0, 77.4, 145.0, 297.3, 568.1, 1143.6", \ + " 54.5, 79.0, 145.4, 297.4, 568.2, 1143.6", \ + " 58.9, 82.7, 148.1, 298.1, 568.1, 1143.6", \ + " 66.8, 89.0, 152.7, 301.0, 568.9, 1143.6", \ + " 81.9, 104.0, 163.7, 308.3, 573.0, 1143.9", \ + " 101.1, 125.3, 184.1, 322.9, 582.5, 1148.1", \ + " 130.7, 155.7, 218.5, 350.9, 602.6, 1159.5", \ + " 173.9, 200.2, 265.3, 399.9, 640.6, 1184.4", \ + " 236.6, 265.3, 334.1, 475.3, 709.2, 1234.5", \ + " 327.7, 359.5, 435.0, 583.2, 825.5, 1328.4" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 43.5 ; */ +/* rise_resistance : 3.93 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 63.1, 98.1, 175.9, 313.6, 605.7", \ + " 55.5, 68.8, 104.0, 182.0, 319.8, 612.0", \ + " 60.8, 74.0, 108.9, 186.9, 324.7, 617.0", \ + " 68.7, 81.4, 116.0, 193.7, 331.4, 623.6", \ + " 81.3, 95.4, 129.1, 206.1, 343.5, 635.4", \ + " 96.0, 112.0, 149.1, 224.8, 361.3, 652.7", \ + " 115.3, 133.3, 175.5, 254.1, 389.1, 679.2", \ + " 140.5, 160.6, 208.0, 297.1, 431.6, 719.4", \ + " 174.5, 197.2, 250.4, 351.2, 497.9, 781.9", \ + " 221.0, 247.2, 307.5, 420.7, 588.2, 880.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.8, 155.4, 252.7, 471.1, 858.5, 1681.2", \ + " 120.6, 156.0, 251.8, 470.7, 858.5, 1681.2", \ + " 125.7, 160.1, 254.0, 470.2, 858.4, 1681.2", \ + " 134.1, 167.4, 259.1, 471.8, 858.2, 1681.2", \ + " 151.0, 182.9, 271.3, 479.1, 859.1, 1681.1", \ + " 177.4, 207.8, 292.8, 494.5, 867.3, 1680.8", \ + " 213.6, 248.3, 329.3, 523.6, 887.5, 1687.7", \ + " 257.5, 296.7, 386.6, 573.9, 926.1, 1710.5", \ + " 322.0, 364.2, 465.3, 656.4, 996.2, 1759.7", \ + " 416.9, 462.8, 572.2, 784.7, 1116.5, 1854.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.6, 45.7, 71.5, 127.3, 225.3, 432.8", \ + " 39.8, 50.1, 76.3, 132.6, 230.7, 438.4", \ + " 42.9, 53.2, 79.5, 135.9, 234.1, 441.9", \ + " 45.5, 57.0, 83.7, 140.2, 238.6, 446.5", \ + " 49.0, 61.8, 91.1, 147.9, 246.3, 454.3", \ + " 52.4, 66.7, 98.9, 159.1, 257.4, 465.4", \ + " 54.9, 71.4, 107.7, 173.8, 274.5, 482.4", \ + " 54.9, 74.0, 116.1, 190.2, 299.1, 507.6", \ + " 50.5, 72.8, 122.1, 207.8, 328.7, 546.0", \ + " 38.3, 64.5, 122.8, 223.8, 362.0, 600.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.7, 92.9, 160.3, 312.5, 583.3, 1158.7", \ + " 70.2, 94.5, 160.7, 312.5, 583.3, 1158.7", \ + " 74.5, 98.1, 163.4, 313.3, 583.3, 1158.7", \ + " 81.9, 104.4, 168.0, 316.2, 584.0, 1158.7", \ + " 98.8, 118.8, 178.9, 323.5, 588.1, 1159.0", \ + " 119.3, 142.2, 199.1, 338.0, 597.6, 1163.2", \ + " 150.6, 174.0, 234.6, 365.9, 617.7, 1174.5", \ + " 196.2, 220.4, 282.9, 414.9, 655.7, 1199.5", \ + " 262.0, 288.1, 353.4, 491.7, 724.2, 1249.5", \ + " 356.5, 385.7, 456.7, 601.1, 841.1, 1343.5" ); }} +timing() { /* ring osc delay aoi22v0x1, path b2 to z 51.6 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.3 ; */ +/* intrinsic_fall : 44.5 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.8, 37.8, 63.9, 121.7, 223.7, 440.3", \ + " 34.8, 44.6, 70.7, 128.6, 230.8, 447.5", \ + " 40.3, 50.4, 76.2, 134.0, 236.2, 453.0", \ + " 46.0, 57.9, 83.9, 141.4, 243.6, 460.3", \ + " 54.2, 68.2, 98.1, 155.0, 256.8, 473.3", \ + " 64.2, 80.2, 115.1, 175.1, 276.1, 492.2", \ + " 77.1, 95.5, 135.7, 205.3, 306.1, 521.0", \ + " 93.3, 114.7, 160.9, 241.5, 351.3, 564.4", \ + " 114.2, 139.3, 192.9, 285.8, 414.4, 631.3", \ + " 141.6, 171.3, 234.2, 341.7, 490.9, 735.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 61.1, 88.4, 161.0, 324.3, 614.4, 1230.8", \ + " 66.8, 92.1, 162.0, 324.2, 614.4, 1230.8", \ + " 74.0, 98.1, 165.9, 324.9, 614.3, 1230.8", \ + " 84.9, 107.8, 173.2, 328.7, 614.4, 1230.8", \ + " 106.5, 126.9, 188.9, 339.3, 619.1, 1230.8", \ + " 128.5, 156.1, 214.7, 359.1, 631.9, 1233.4", \ + " 160.0, 190.2, 256.9, 394.6, 658.3, 1247.3", \ + " 204.9, 237.6, 313.6, 452.6, 705.7, 1279.2", \ + " 269.8, 305.7, 389.1, 544.8, 787.9, 1341.2", \ + " 364.0, 404.4, 496.6, 669.7, 922.7, 1454.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 38.6, 63.5, 118.8, 216.6, 424.2", \ + " 34.8, 44.4, 69.6, 125.1, 223.1, 430.8", \ + " 38.9, 49.2, 74.3, 129.9, 227.9, 435.7", \ + " 42.4, 54.7, 80.7, 136.3, 234.3, 442.1", \ + " 46.5, 61.1, 92.0, 147.8, 245.7, 453.5", \ + " 50.4, 67.3, 103.6, 164.7, 262.3, 469.9", \ + " 53.7, 73.4, 115.7, 187.9, 287.8, 494.8", \ + " 55.8, 78.6, 127.7, 212.3, 325.7, 532.0", \ + " 55.3, 82.1, 139.2, 237.5, 371.4, 589.0", \ + " 50.4, 81.8, 148.8, 263.2, 420.1, 673.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.7, 75.5, 141.7, 290.5, 555.2, 1117.6", \ + " 55.5, 78.6, 142.5, 290.5, 555.2, 1117.6", \ + " 62.4, 84.5, 146.3, 291.2, 555.2, 1117.6", \ + " 72.9, 93.9, 153.6, 295.1, 555.4, 1117.6", \ + " 89.7, 112.6, 169.5, 306.2, 560.5, 1117.6", \ + " 109.5, 136.1, 195.1, 326.9, 574.2, 1121.0", \ + " 138.8, 167.4, 234.4, 363.3, 602.3, 1136.3", \ + " 180.6, 211.6, 284.0, 420.7, 652.4, 1170.9", \ + " 240.6, 275.1, 353.7, 504.6, 735.9, 1237.6", \ + " 327.9, 366.8, 454.2, 618.0, 867.7, 1356.7" ); }} +timing() { /* ring osc delay aoi22v0x1, path b2 to z 67.5 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.3 ; */ +/* intrinsic_fall : 44.5 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 55.6, 90.9, 168.9, 306.6, 598.7", \ + " 47.9, 61.3, 96.7, 174.9, 312.9, 605.2", \ + " 53.4, 66.4, 101.5, 179.7, 317.7, 610.1", \ + " 61.2, 74.0, 108.5, 186.4, 324.4, 616.7", \ + " 72.0, 87.1, 121.5, 198.7, 336.3, 628.4", \ + " 85.0, 102.2, 141.1, 217.2, 354.0, 645.6", \ + " 102.0, 121.4, 165.8, 246.4, 381.6, 672.0", \ + " 123.9, 145.9, 196.1, 288.1, 423.7, 712.0", \ + " 152.7, 178.0, 235.1, 339.8, 489.4, 774.1", \ + " 191.1, 220.8, 286.7, 405.5, 577.2, 871.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.8, 140.4, 237.6, 455.8, 843.0, 1665.3", \ + " 106.0, 141.1, 236.7, 455.4, 842.9, 1665.3", \ + " 111.2, 145.4, 238.9, 454.9, 842.9, 1665.3", \ + " 119.8, 152.8, 244.1, 456.4, 842.6, 1665.3", \ + " 136.9, 168.6, 256.5, 463.7, 843.5, 1665.3", \ + " 164.4, 193.7, 278.2, 479.3, 851.7, 1665.0", \ + " 195.5, 233.6, 314.9, 508.7, 871.9, 1671.8", \ + " 238.1, 278.7, 372.7, 559.3, 910.9, 1694.6", \ + " 301.8, 344.7, 448.0, 642.0, 981.6, 1744.1", \ + " 395.7, 442.2, 552.9, 768.9, 1102.2, 1839.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.1, 41.1, 66.9, 122.8, 220.7, 428.3", \ + " 37.1, 47.2, 73.2, 129.4, 227.5, 435.2", \ + " 41.9, 52.0, 78.0, 134.2, 232.4, 440.2", \ + " 46.3, 58.3, 84.5, 140.7, 238.9, 446.7", \ + " 51.8, 66.0, 96.2, 152.2, 250.3, 458.1", \ + " 57.0, 73.3, 108.8, 169.1, 266.9, 474.5", \ + " 61.8, 80.5, 121.7, 192.7, 292.3, 499.4", \ + " 65.0, 86.5, 134.2, 217.6, 330.0, 536.5", \ + " 65.1, 90.1, 145.5, 242.9, 376.0, 593.1", \ + " 59.7, 88.8, 153.8, 267.6, 424.4, 677.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.1, 90.7, 156.6, 305.4, 570.0, 1132.4", \ + " 70.4, 93.4, 157.3, 305.4, 570.0, 1132.4", \ + " 77.0, 99.0, 160.9, 306.0, 570.0, 1132.4", \ + " 87.0, 108.2, 168.0, 309.7, 570.2, 1132.4", \ + " 107.1, 126.5, 183.6, 320.4, 575.0, 1132.4", \ + " 129.2, 153.4, 209.2, 340.9, 588.5, 1135.6", \ + " 161.4, 187.4, 250.6, 377.4, 616.4, 1150.7", \ + " 207.0, 235.0, 303.2, 435.7, 666.6, 1185.1", \ + " 271.9, 303.1, 377.0, 522.8, 751.2, 1252.1", \ + " 364.7, 400.5, 482.9, 641.2, 885.5, 1372.3" ); }} +timing() { /* ring osc delay aoi22v0x1, path b2 to z 59.1 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.3 ; */ +/* intrinsic_fall : 44.5 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.9, 47.5, 82.8, 160.7, 298.4, 590.5", \ + " 40.0, 53.2, 88.5, 166.8, 304.7, 596.9", \ + " 45.9, 58.5, 93.4, 171.6, 309.5, 601.9", \ + " 52.4, 66.4, 100.4, 178.3, 316.2, 608.5", \ + " 61.9, 78.1, 113.7, 190.6, 328.1, 620.2", \ + " 73.5, 91.9, 132.7, 209.2, 345.8, 637.4", \ + " 88.9, 109.7, 156.1, 238.5, 373.5, 663.8", \ + " 108.8, 132.6, 185.1, 279.4, 415.8, 703.8", \ + " 135.1, 162.7, 222.7, 329.7, 481.3, 766.0", \ + " 170.3, 202.8, 272.4, 394.1, 567.9, 863.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 88.2, 124.9, 222.1, 440.2, 827.2, 1649.3", \ + " 91.8, 126.4, 221.5, 439.8, 827.2, 1649.3", \ + " 97.8, 131.4, 224.1, 439.3, 827.1, 1649.3", \ + " 107.2, 139.6, 229.8, 441.2, 826.8, 1649.3", \ + " 125.7, 156.3, 243.0, 449.0, 828.0, 1649.3", \ + " 152.6, 182.6, 265.6, 465.1, 836.6, 1649.0", \ + " 180.5, 219.8, 303.1, 495.3, 857.3, 1656.1", \ + " 222.9, 264.1, 361.8, 546.7, 896.9, 1679.4", \ + " 286.3, 329.7, 434.2, 630.0, 968.3, 1729.4", \ + " 379.5, 426.6, 538.3, 756.0, 1089.7, 1825.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.2, 38.8, 63.7, 119.0, 216.8, 424.3", \ + " 35.2, 44.9, 70.0, 125.6, 223.5, 431.2", \ + " 39.4, 49.7, 74.8, 130.4, 228.4, 436.2", \ + " 43.0, 55.2, 81.3, 136.9, 234.9, 442.7", \ + " 47.0, 61.7, 92.6, 148.4, 246.4, 454.1", \ + " 50.4, 67.5, 104.1, 165.3, 262.9, 470.5", \ + " 52.7, 72.8, 115.7, 188.3, 288.3, 495.4", \ + " 53.0, 76.6, 126.7, 212.2, 326.0, 532.5", \ + " 49.7, 77.4, 136.3, 236.3, 371.1, 589.2", \ + " 40.0, 72.8, 142.2, 259.5, 418.4, 672.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.7, 75.5, 141.7, 290.5, 555.2, 1117.6", \ + " 55.1, 78.3, 142.3, 290.5, 555.2, 1117.6", \ + " 61.7, 83.9, 145.9, 291.1, 555.2, 1117.6", \ + " 71.9, 93.0, 153.0, 294.8, 555.3, 1117.6", \ + " 88.6, 111.5, 168.6, 305.6, 560.2, 1117.6", \ + " 108.6, 135.2, 194.1, 326.1, 573.7, 1120.8", \ + " 138.3, 166.9, 233.7, 362.5, 601.6, 1135.9", \ + " 180.7, 212.0, 284.2, 420.4, 651.8, 1170.4", \ + " 241.4, 276.7, 355.6, 505.6, 736.2, 1237.4", \ + " 329.1, 369.7, 458.5, 621.7, 870.0, 1357.5" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 50.3 ; */ +/* intrinsic_fall : 44.5 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 55.6, 90.9, 168.9, 306.6, 598.7", \ + " 47.9, 61.3, 96.7, 174.9, 312.9, 605.2", \ + " 53.4, 66.4, 101.5, 179.7, 317.7, 610.1", \ + " 61.2, 74.0, 108.5, 186.4, 324.4, 616.7", \ + " 72.0, 87.1, 121.5, 198.7, 336.3, 628.4", \ + " 85.0, 102.2, 141.1, 217.2, 354.0, 645.6", \ + " 102.0, 121.4, 165.8, 246.4, 381.6, 672.0", \ + " 123.9, 145.9, 196.1, 288.1, 423.7, 712.0", \ + " 152.7, 178.0, 235.1, 339.8, 489.4, 774.1", \ + " 191.1, 220.8, 286.7, 405.5, 577.2, 871.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.8, 140.4, 237.6, 455.8, 843.0, 1665.3", \ + " 106.0, 141.1, 236.7, 455.4, 842.9, 1665.3", \ + " 111.2, 145.4, 238.9, 454.9, 842.9, 1665.3", \ + " 119.8, 152.8, 244.1, 456.4, 842.6, 1665.3", \ + " 136.9, 168.6, 256.5, 463.7, 843.5, 1665.3", \ + " 164.4, 193.7, 278.2, 479.3, 851.7, 1665.0", \ + " 195.5, 233.6, 314.9, 508.7, 871.9, 1671.8", \ + " 238.1, 278.7, 372.7, 559.3, 910.9, 1694.6", \ + " 301.8, 344.7, 448.0, 642.0, 981.6, 1744.1", \ + " 395.7, 442.2, 552.9, 768.9, 1102.2, 1839.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.1, 41.1, 66.9, 122.8, 220.7, 428.3", \ + " 37.1, 47.2, 73.2, 129.4, 227.5, 435.2", \ + " 41.9, 52.0, 78.0, 134.2, 232.4, 440.2", \ + " 46.3, 58.3, 84.5, 140.7, 238.9, 446.7", \ + " 51.8, 66.0, 96.2, 152.2, 250.3, 458.1", \ + " 57.0, 73.3, 108.8, 169.1, 266.9, 474.5", \ + " 61.8, 80.5, 121.7, 192.7, 292.3, 499.4", \ + " 65.0, 86.5, 134.2, 217.6, 330.0, 536.5", \ + " 65.1, 90.1, 145.5, 242.9, 376.0, 593.1", \ + " 59.7, 88.8, 153.8, 267.6, 424.4, 677.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.1, 90.7, 156.6, 305.4, 570.0, 1132.4", \ + " 70.4, 93.4, 157.3, 305.4, 570.0, 1132.4", \ + " 77.0, 99.0, 160.9, 306.0, 570.0, 1132.4", \ + " 87.0, 108.2, 168.0, 309.7, 570.2, 1132.4", \ + " 107.1, 126.5, 183.6, 320.4, 575.0, 1132.4", \ + " 129.2, 153.4, 209.2, 340.9, 588.5, 1135.6", \ + " 161.4, 187.4, 250.6, 377.4, 616.4, 1150.7", \ + " 207.0, 235.0, 303.2, 435.7, 666.6, 1185.1", \ + " 271.9, 303.1, 377.0, 522.8, 751.2, 1252.1", \ + " 364.7, 400.5, 482.9, 641.2, 885.5, 1372.3" ); }} +} +} +cell(aoi22v0x2) { /* 2008-01-06:07h26 characteristic delay 15.1 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1871 ; /* aoi22v0x2 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v0x2 FO4 effort 1.92 logical effort 1.83 */ +direction : input ; +capacitance : 9.15 ; +rise_capacitance : 9.10 ; +fall_capacitance : 9.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v0x2 */ +} +pin(a2) { /* aoi22v0x2 FO4 effort 1.83 logical effort 1.73 */ +direction : input ; +capacitance : 8.64 ; +rise_capacitance : 8.87 ; +fall_capacitance : 8.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v0x2 */ +} +pin(b1) { /* aoi22v0x2 FO4 effort 1.60 logical effort 1.80 */ +direction : input ; +capacitance : 9.13 ; +rise_capacitance : 8.47 ; +fall_capacitance : 9.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v0x2 */ +} +pin(b2) { /* aoi22v0x2 FO4 effort 1.50 logical effort 1.67 */ +direction : input ; +capacitance : 8.49 ; +rise_capacitance : 8.14 ; +fall_capacitance : 8.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 202 ; +max_fanout : 6 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v0x2 41.30 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 21.17, 21.19, 21.21, 21.21, 21.17", \ + " 20.75, 20.80, 20.88, 20.93, 20.92", \ + " 20.59, 20.65, 20.75, 20.83, 20.84", \ + " 20.50, 20.55, 20.65, 20.74, 20.77", \ + " 20.55, 20.56, 20.62, 20.69, 20.72", \ + " 20.97, 20.91, 20.84, 20.80, 20.78", \ + " 22.20, 21.98, 21.65, 21.32, 21.09", \ + " 24.86, 24.41, 23.61, 22.71, 22.03", \ + " 29.99, 29.22, 27.73, 25.86, 24.30", \ + " 38.84, 37.74, 35.43, 32.15, 29.10" ); }} +internal_power(a2_z_n) { /* aoi22v0x2 37.14 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 19.06, 19.13, 19.21, 19.25, 19.23", \ + " 18.56, 18.67, 18.84, 18.97, 19.01", \ + " 18.41, 18.51, 18.69, 18.85, 18.92", \ + " 18.34, 18.42, 18.57, 18.75, 18.84", \ + " 18.47, 18.48, 18.55, 18.68, 18.78", \ + " 19.01, 18.92, 18.83, 18.80, 18.82", \ + " 20.35, 20.10, 19.71, 19.35, 19.14", \ + " 23.05, 22.56, 21.71, 20.77, 20.07", \ + " 28.05, 27.25, 25.74, 23.88, 22.32", \ + " 36.59, 35.46, 33.13, 29.93, 26.98" ); }} +internal_power(b1_z_n) { /* aoi22v0x2 24.02 nW/MHz */ +related_pin : "b1" ; +power(pwr_x2_260_5x10) { +values( " 12.04, 12.22, 12.40, 12.51, 12.54", \ + " 11.58, 11.79, 12.11, 12.36, 12.48", \ + " 11.55, 11.72, 12.01, 12.29, 12.44", \ + " 11.72, 11.81, 12.01, 12.26, 12.42", \ + " 12.34, 12.27, 12.26, 12.37, 12.46", \ + " 13.62, 13.34, 13.00, 12.79, 12.71", \ + " 15.99, 15.43, 14.59, 13.83, 13.36", \ + " 19.96, 19.08, 17.57, 15.98, 14.83", \ + " 26.43, 25.20, 22.87, 20.07, 17.82", \ + " 36.72, 35.15, 31.94, 27.53, 23.59" ); }} +internal_power(b2_z_n) { /* aoi22v0x2 20.06 nW/MHz */ +related_pin : "b2" ; +power(pwr_x2_260_5x10) { +values( " 9.86, 10.08, 10.32, 10.47, 10.52", \ + " 9.51, 9.73, 10.07, 10.36, 10.51", \ + " 9.56, 9.71, 10.00, 10.30, 10.48", \ + " 9.81, 9.85, 10.03, 10.28, 10.46", \ + " 10.52, 10.40, 10.33, 10.40, 10.51", \ + " 11.86, 11.53, 11.11, 10.85, 10.76", \ + " 14.24, 13.63, 12.72, 11.91, 11.42", \ + " 18.14, 17.20, 15.65, 14.04, 12.88", \ + " 24.43, 23.12, 20.76, 18.02, 15.81", \ + " 34.39, 32.71, 29.42, 25.13, 21.38" ); }} +timing() { /* ring osc delay aoi22v0x2, path a1 to z 82.5 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.4, 64.4, 90.5, 148.6, 251.3, 469.4", \ + " 62.2, 72.3, 98.5, 156.7, 259.5, 477.7", \ + " 68.0, 78.1, 104.4, 162.6, 265.5, 483.7", \ + " 75.5, 85.7, 112.0, 170.2, 273.1, 491.3", \ + " 86.3, 98.0, 124.9, 183.2, 286.1, 504.3", \ + " 97.8, 111.4, 142.5, 201.6, 304.5, 522.7", \ + " 111.6, 127.4, 163.6, 229.3, 332.2, 550.2", \ + " 129.5, 147.6, 189.1, 264.8, 373.6, 591.1", \ + " 154.5, 175.3, 222.6, 309.0, 432.8, 653.4", \ + " 190.4, 214.2, 268.4, 366.5, 507.8, 749.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 101.7, 128.0, 199.2, 361.2, 650.8, 1267.1", \ + " 102.2, 128.3, 199.3, 361.2, 650.8, 1267.1", \ + " 104.1, 129.7, 199.9, 361.4, 650.8, 1267.1", \ + " 108.9, 133.9, 202.9, 362.4, 651.0, 1267.1", \ + " 120.4, 144.4, 211.6, 368.2, 653.0, 1267.1", \ + " 141.4, 163.7, 228.3, 381.3, 661.4, 1268.8", \ + " 175.5, 199.1, 259.5, 407.0, 680.8, 1278.9", \ + " 223.1, 248.5, 313.2, 452.8, 717.8, 1304.0", \ + " 295.1, 321.7, 390.5, 532.0, 784.7, 1354.5", \ + " 401.7, 429.7, 502.0, 653.9, 900.4, 1448.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.4, 65.1, 90.1, 144.4, 239.2, 439.2", \ + " 59.7, 69.5, 94.6, 149.0, 243.9, 444.0", \ + " 62.7, 72.6, 97.7, 152.2, 247.2, 447.3", \ + " 67.0, 76.8, 102.0, 156.5, 251.5, 451.7", \ + " 73.1, 83.8, 109.6, 164.2, 259.2, 459.4", \ + " 80.0, 91.6, 119.7, 175.5, 270.5, 470.6", \ + " 87.4, 100.4, 131.4, 191.8, 287.7, 487.6", \ + " 94.2, 109.1, 144.2, 210.9, 313.1, 513.0", \ + " 99.2, 116.6, 157.2, 232.7, 345.0, 551.9", \ + " 100.2, 120.5, 168.0, 255.6, 382.1, 607.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 96.3, 120.5, 185.3, 331.4, 591.4, 1144.2", \ + " 97.6, 121.5, 185.7, 331.4, 591.4, 1144.2", \ + " 100.5, 124.1, 187.7, 332.2, 591.5, 1144.2", \ + " 106.0, 129.0, 191.6, 334.7, 592.3, 1144.2", \ + " 118.8, 140.7, 201.2, 341.5, 596.2, 1144.8", \ + " 139.9, 161.9, 219.4, 355.3, 605.4, 1148.9", \ + " 169.8, 193.3, 252.0, 381.9, 625.2, 1160.4", \ + " 212.4, 237.5, 299.8, 428.5, 662.4, 1185.4", \ + " 273.5, 300.9, 367.7, 503.2, 729.5, 1235.4", \ + " 361.0, 391.9, 465.2, 610.0, 842.9, 1328.7" ); }} +timing() { /* ring osc delay aoi22v0x2, path a1 to z 92.5 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 83.1, 116.7, 191.5, 324.2, 605.8", \ + " 78.0, 90.9, 124.6, 199.6, 332.3, 614.0", \ + " 83.7, 96.5, 130.3, 205.3, 338.1, 619.8", \ + " 90.7, 103.6, 137.3, 212.4, 345.2, 627.0", \ + " 101.9, 115.1, 148.9, 223.9, 356.8, 638.5", \ + " 114.1, 129.0, 164.7, 239.7, 372.5, 654.3", \ + " 129.0, 145.8, 185.6, 263.4, 396.0, 677.5", \ + " 148.5, 167.2, 211.5, 297.1, 430.9, 711.8", \ + " 175.9, 196.9, 246.2, 340.5, 484.4, 764.5", \ + " 215.4, 239.3, 294.7, 399.0, 556.8, 846.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 123.5, 158.6, 253.1, 467.1, 848.8, 1660.4", \ + " 123.8, 158.9, 253.2, 467.1, 848.8, 1660.4", \ + " 124.9, 159.6, 253.5, 467.2, 848.8, 1660.4", \ + " 128.7, 162.8, 255.4, 467.7, 848.9, 1660.4", \ + " 137.6, 170.8, 261.9, 471.5, 849.6, 1660.4", \ + " 153.9, 185.8, 274.7, 481.1, 855.1, 1660.8", \ + " 184.4, 214.8, 299.3, 500.8, 869.2, 1666.8", \ + " 227.8, 260.7, 344.4, 537.5, 897.6, 1684.3", \ + " 295.4, 329.2, 416.9, 604.0, 951.6, 1722.4", \ + " 398.4, 433.0, 523.2, 716.5, 1048.9, 1797.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.0, 61.3, 87.1, 141.9, 237.1, 437.3", \ + " 55.2, 65.6, 91.5, 146.4, 241.6, 441.9", \ + " 58.3, 68.6, 94.6, 149.6, 244.8, 445.1", \ + " 62.7, 72.9, 98.8, 153.9, 249.1, 449.5", \ + " 68.9, 79.9, 106.5, 161.5, 256.8, 457.2", \ + " 75.7, 87.7, 116.4, 172.8, 268.0, 468.4", \ + " 82.8, 96.2, 127.8, 188.9, 285.2, 485.3", \ + " 88.9, 104.2, 140.1, 207.6, 310.4, 510.7", \ + " 92.7, 110.4, 151.9, 228.6, 341.8, 549.3", \ + " 91.8, 112.5, 161.0, 250.1, 378.0, 604.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.7, 129.5, 193.7, 339.2, 598.7, 1151.1", \ + " 107.4, 130.8, 194.3, 339.3, 598.7, 1151.1", \ + " 110.7, 133.6, 196.4, 340.2, 598.8, 1151.1", \ + " 116.7, 139.1, 200.6, 342.8, 599.8, 1151.2", \ + " 130.5, 151.7, 210.9, 349.9, 603.9, 1151.9", \ + " 153.3, 173.9, 230.0, 364.3, 613.4, 1156.2", \ + " 185.6, 207.7, 264.0, 392.1, 633.8, 1167.8", \ + " 231.7, 255.0, 314.3, 440.2, 672.2, 1193.4", \ + " 298.1, 323.2, 386.1, 517.5, 741.0, 1244.5", \ + " 393.2, 421.2, 489.5, 628.9, 857.4, 1339.8" ); }} +timing() { /* ring osc delay aoi22v0x2, path a1 to z 84.4 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.6, 75.4, 109.0, 183.8, 316.4, 598.0", \ + " 70.3, 83.2, 116.9, 191.8, 324.5, 606.2", \ + " 75.9, 88.8, 122.5, 197.6, 330.3, 612.0", \ + " 82.9, 95.9, 129.6, 204.6, 337.4, 619.1", \ + " 93.4, 107.3, 141.1, 216.2, 349.0, 630.7", \ + " 104.5, 120.1, 156.9, 232.0, 364.7, 646.4", \ + " 118.1, 135.7, 176.9, 255.7, 388.2, 669.7", \ + " 136.2, 155.9, 201.7, 288.7, 423.2, 704.0", \ + " 161.9, 184.2, 235.4, 331.4, 476.4, 756.7", \ + " 199.4, 224.9, 282.6, 388.9, 548.1, 838.7" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.6, 144.5, 238.7, 452.4, 833.8, 1645.1", \ + " 110.1, 144.8, 238.8, 452.4, 833.8, 1645.1", \ + " 111.5, 145.7, 239.2, 452.5, 833.8, 1645.1", \ + " 115.7, 149.3, 241.3, 453.1, 833.9, 1645.2", \ + " 125.2, 157.9, 248.2, 457.1, 834.8, 1645.2", \ + " 143.0, 173.7, 261.4, 467.0, 840.5, 1645.6", \ + " 173.2, 204.2, 286.9, 487.2, 854.8, 1651.8", \ + " 216.6, 249.2, 333.3, 524.6, 883.7, 1669.6", \ + " 284.6, 317.9, 405.4, 592.1, 938.2, 1708.0", \ + " 388.1, 422.3, 511.8, 705.1, 1036.4, 1783.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.3, 57.5, 83.2, 138.1, 233.3, 433.6", \ + " 51.5, 61.8, 87.6, 142.6, 237.8, 438.1", \ + " 54.6, 64.9, 90.7, 145.7, 241.0, 441.3", \ + " 58.7, 69.2, 94.9, 150.0, 245.3, 445.7", \ + " 64.4, 75.7, 102.6, 157.7, 253.0, 453.4", \ + " 70.3, 82.8, 112.0, 168.9, 264.2, 464.6", \ + " 76.2, 90.3, 122.9, 184.8, 281.4, 481.6", \ + " 80.8, 97.1, 134.4, 203.1, 306.5, 506.9", \ + " 82.6, 101.7, 145.0, 223.4, 337.5, 545.6", \ + " 79.4, 101.7, 152.5, 243.8, 373.1, 600.4" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 91.1, 114.9, 179.2, 324.8, 584.4, 1136.8", \ + " 92.8, 116.2, 179.8, 324.9, 584.4, 1136.8", \ + " 96.1, 119.1, 181.9, 325.8, 584.5, 1136.8", \ + " 102.2, 124.5, 186.1, 328.4, 585.5, 1136.9", \ + " 116.0, 137.2, 196.5, 335.5, 589.5, 1137.6", \ + " 137.5, 159.4, 215.7, 350.0, 599.1, 1141.9", \ + " 168.2, 191.3, 249.6, 377.8, 619.5, 1153.6", \ + " 212.2, 236.8, 298.3, 425.9, 657.9, 1179.2", \ + " 275.8, 302.7, 368.2, 502.3, 726.7, 1230.3", \ + " 367.4, 397.6, 469.4, 612.0, 842.8, 1325.5" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 79.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 83.1, 116.7, 191.5, 324.2, 605.8", \ + " 78.0, 90.9, 124.6, 199.6, 332.3, 614.0", \ + " 83.7, 96.5, 130.3, 205.3, 338.1, 619.8", \ + " 90.7, 103.6, 137.3, 212.4, 345.2, 627.0", \ + " 101.9, 115.1, 148.9, 223.9, 356.8, 638.5", \ + " 114.1, 129.0, 164.7, 239.7, 372.5, 654.3", \ + " 129.0, 145.8, 185.6, 263.4, 396.0, 677.5", \ + " 148.5, 167.2, 211.5, 297.1, 430.9, 711.8", \ + " 175.9, 196.9, 246.2, 340.5, 484.4, 764.5", \ + " 215.4, 239.3, 294.7, 399.0, 556.8, 846.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 123.5, 158.6, 253.1, 467.1, 848.8, 1660.4", \ + " 123.8, 158.9, 253.2, 467.1, 848.8, 1660.4", \ + " 124.9, 159.6, 253.5, 467.2, 848.8, 1660.4", \ + " 128.7, 162.8, 255.4, 467.7, 848.9, 1660.4", \ + " 137.6, 170.8, 261.9, 471.5, 849.6, 1660.4", \ + " 153.9, 185.8, 274.7, 481.1, 855.1, 1660.8", \ + " 184.4, 214.8, 299.3, 500.8, 869.2, 1666.8", \ + " 227.8, 260.7, 344.4, 537.5, 897.6, 1684.3", \ + " 295.4, 329.2, 416.9, 604.0, 951.6, 1722.4", \ + " 398.4, 433.0, 523.2, 716.5, 1048.9, 1797.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.0, 61.3, 87.1, 141.9, 237.1, 437.3", \ + " 55.2, 65.6, 91.5, 146.4, 241.6, 441.9", \ + " 58.3, 68.6, 94.6, 149.6, 244.8, 445.1", \ + " 62.7, 72.9, 98.8, 153.9, 249.1, 449.5", \ + " 68.9, 79.9, 106.5, 161.5, 256.8, 457.2", \ + " 75.7, 87.7, 116.4, 172.8, 268.0, 468.4", \ + " 82.8, 96.2, 127.8, 188.9, 285.2, 485.3", \ + " 88.9, 104.2, 140.1, 207.6, 310.4, 510.7", \ + " 92.7, 110.4, 151.9, 228.6, 341.8, 549.3", \ + " 91.8, 112.5, 161.0, 250.1, 378.0, 604.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.7, 129.5, 193.7, 339.2, 598.7, 1151.1", \ + " 107.4, 130.8, 194.3, 339.3, 598.7, 1151.1", \ + " 110.7, 133.6, 196.4, 340.2, 598.8, 1151.1", \ + " 116.7, 139.1, 200.6, 342.8, 599.8, 1151.2", \ + " 130.5, 151.7, 210.9, 349.9, 603.9, 1151.9", \ + " 153.3, 173.9, 230.0, 364.3, 613.4, 1156.2", \ + " 185.6, 207.7, 264.0, 392.1, 633.8, 1167.8", \ + " 231.7, 255.0, 314.3, 440.2, 672.2, 1193.4", \ + " 298.1, 323.2, 386.1, 517.5, 741.0, 1244.5", \ + " 393.2, 421.2, 489.5, 628.9, 857.4, 1339.8" ); }} +timing() { /* ring osc delay aoi22v0x2, path a2 to z 79.6 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 63.2 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.1, 59.4, 85.7, 143.9, 246.7, 464.8", \ + " 56.5, 66.9, 93.5, 151.9, 254.9, 473.1", \ + " 62.1, 72.5, 99.1, 157.7, 260.8, 479.1", \ + " 69.3, 79.9, 106.5, 165.1, 268.3, 486.7", \ + " 78.8, 91.3, 119.3, 177.9, 281.1, 499.6", \ + " 88.8, 103.5, 136.1, 196.1, 299.3, 517.8", \ + " 100.8, 117.9, 155.9, 223.5, 326.8, 545.2", \ + " 115.6, 135.6, 179.7, 257.8, 368.0, 585.9", \ + " 135.5, 159.0, 210.2, 300.0, 426.2, 647.9", \ + " 163.6, 190.9, 250.5, 354.1, 499.0, 743.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 90.5, 116.7, 187.8, 349.8, 639.3, 1255.4", \ + " 91.2, 117.2, 188.0, 349.9, 639.3, 1255.4", \ + " 93.4, 118.7, 188.6, 350.0, 639.3, 1255.4", \ + " 98.8, 123.3, 191.7, 351.0, 639.5, 1255.4", \ + " 111.0, 134.2, 200.6, 356.8, 641.4, 1255.4", \ + " 132.9, 154.2, 217.7, 370.0, 649.7, 1257.0", \ + " 165.5, 189.4, 249.3, 395.9, 669.2, 1267.1", \ + " 211.6, 237.3, 302.9, 442.0, 706.4, 1292.1", \ + " 282.1, 308.7, 378.3, 521.4, 773.5, 1342.7", \ + " 387.2, 415.0, 487.6, 641.6, 889.2, 1437.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.5, 61.3, 86.3, 140.6, 235.4, 435.4", \ + " 57.2, 67.0, 92.1, 146.5, 241.4, 441.5", \ + " 61.9, 71.7, 96.8, 151.2, 246.1, 446.2", \ + " 68.5, 78.2, 103.2, 157.6, 252.5, 452.6", \ + " 78.8, 89.6, 114.9, 169.1, 263.9, 464.0", \ + " 89.2, 101.8, 131.1, 186.0, 280.6, 480.4", \ + " 99.9, 114.7, 149.0, 211.5, 306.1, 505.4", \ + " 110.6, 127.6, 167.5, 240.9, 344.3, 542.7", \ + " 120.9, 140.5, 186.5, 272.1, 394.0, 599.8", \ + " 129.6, 152.4, 205.7, 304.8, 447.7, 685.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.3, 118.0, 181.4, 324.3, 578.5, 1118.9", \ + " 95.8, 119.0, 181.6, 324.4, 578.5, 1118.9", \ + " 100.4, 122.9, 184.1, 324.7, 578.6, 1118.9", \ + " 108.6, 130.4, 190.0, 327.8, 578.8, 1118.9", \ + " 125.4, 146.5, 203.8, 337.5, 583.2, 1119.0", \ + " 150.7, 172.3, 227.8, 356.8, 596.1, 1122.3", \ + " 182.5, 207.8, 266.7, 391.8, 623.2, 1137.3", \ + " 226.0, 254.1, 320.5, 448.2, 672.2, 1171.7", \ + " 287.1, 318.7, 392.6, 533.7, 755.1, 1238.0", \ + " 374.1, 410.3, 493.3, 649.8, 886.0, 1356.7" ); }} +timing() { /* ring osc delay aoi22v0x2, path a2 to z 89.7 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 63.2 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 76.7, 110.5, 185.5, 318.2, 599.8", \ + " 70.9, 84.0, 118.1, 193.4, 326.3, 608.1", \ + " 76.3, 89.4, 123.5, 199.0, 332.0, 613.9", \ + " 83.1, 96.2, 130.3, 205.8, 339.0, 620.9", \ + " 93.5, 107.4, 141.6, 217.1, 350.3, 632.4", \ + " 104.6, 120.3, 157.2, 232.7, 365.8, 647.9", \ + " 118.0, 135.7, 177.0, 256.2, 389.0, 671.0", \ + " 134.9, 155.0, 201.4, 288.9, 423.7, 705.1", \ + " 157.9, 181.1, 233.5, 330.7, 476.5, 757.4", \ + " 190.0, 216.8, 277.0, 386.1, 547.0, 838.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.9, 143.9, 238.2, 452.1, 833.6, 1645.0", \ + " 109.5, 144.3, 238.4, 452.2, 833.6, 1645.0", \ + " 110.7, 145.1, 238.8, 452.3, 833.7, 1645.0", \ + " 114.9, 148.5, 240.7, 452.7, 833.7, 1645.0", \ + " 124.4, 157.0, 247.4, 456.5, 834.4, 1645.0", \ + " 141.7, 172.6, 260.5, 466.2, 839.9, 1645.3", \ + " 171.8, 202.8, 285.5, 486.1, 853.9, 1651.3", \ + " 213.9, 246.9, 331.4, 523.1, 882.5, 1668.8", \ + " 280.1, 313.8, 402.3, 589.8, 936.7, 1706.9", \ + " 382.1, 416.3, 506.4, 701.5, 1034.0, 1782.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 57.4, 83.2, 138.1, 233.2, 433.5", \ + " 52.8, 63.1, 89.0, 143.9, 239.1, 439.4", \ + " 57.5, 67.8, 93.6, 148.6, 243.8, 444.1", \ + " 64.6, 74.4, 100.1, 155.0, 250.1, 450.4", \ + " 74.7, 86.0, 111.8, 166.5, 261.5, 461.8", \ + " 84.9, 97.9, 127.9, 183.3, 278.1, 478.2", \ + " 95.3, 110.4, 145.3, 208.7, 303.6, 503.1", \ + " 105.4, 122.6, 163.1, 237.5, 341.6, 540.3", \ + " 114.5, 134.4, 181.1, 267.8, 390.7, 597.2", \ + " 121.5, 144.5, 198.6, 299.1, 443.3, 682.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.5, 126.9, 189.8, 331.9, 585.5, 1125.5", \ + " 105.8, 128.3, 189.9, 331.8, 585.5, 1125.5", \ + " 111.1, 132.8, 192.9, 332.4, 585.6, 1125.5", \ + " 120.0, 141.0, 199.2, 335.7, 585.9, 1125.5", \ + " 138.1, 158.3, 214.0, 346.0, 590.7, 1125.6", \ + " 165.7, 185.4, 239.2, 366.1, 604.0, 1129.2", \ + " 200.5, 223.9, 279.9, 402.4, 631.9, 1144.8", \ + " 248.3, 274.1, 336.9, 460.8, 682.3, 1179.7", \ + " 315.4, 344.3, 413.6, 549.7, 767.4, 1247.4", \ + " 410.8, 443.8, 521.4, 671.4, 902.1, 1368.5" ); }} +timing() { /* ring osc delay aoi22v0x2, path a2 to z 81.1 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 63.2 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.8, 69.0, 102.8, 177.7, 310.4, 592.0", \ + " 62.9, 76.2, 110.3, 185.6, 318.5, 600.3", \ + " 68.3, 81.6, 115.7, 191.2, 324.2, 606.0", \ + " 75.1, 88.4, 122.5, 198.1, 331.2, 613.1", \ + " 84.5, 99.3, 133.8, 209.3, 342.5, 624.6", \ + " 94.3, 110.9, 149.2, 224.9, 358.0, 640.1", \ + " 106.2, 125.1, 168.0, 248.4, 381.2, 663.2", \ + " 121.4, 143.0, 191.3, 280.5, 416.0, 697.3", \ + " 142.1, 167.2, 222.2, 321.4, 468.5, 749.6", \ + " 171.5, 200.7, 264.1, 375.7, 538.2, 831.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.0, 129.7, 223.8, 437.4, 818.7, 1629.8", \ + " 95.7, 130.2, 224.0, 437.4, 818.7, 1629.8", \ + " 97.5, 131.2, 224.4, 437.6, 818.7, 1629.8", \ + " 102.2, 135.2, 226.6, 438.1, 818.8, 1629.8", \ + " 112.5, 144.3, 233.7, 442.1, 819.6, 1629.8", \ + " 131.6, 160.8, 247.3, 452.1, 825.2, 1630.2", \ + " 160.6, 192.1, 273.2, 472.5, 839.6, 1636.3", \ + " 202.7, 235.4, 320.5, 510.2, 868.6, 1654.0", \ + " 269.8, 302.6, 390.6, 577.9, 923.4, 1692.6", \ + " 372.4, 405.9, 495.0, 689.9, 1021.6, 1768.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.4, 53.7, 79.4, 134.3, 229.4, 429.7", \ + " 49.1, 59.4, 85.1, 140.1, 235.3, 435.6", \ + " 53.9, 64.1, 89.8, 144.7, 240.0, 440.3", \ + " 60.7, 70.8, 96.2, 151.1, 246.4, 446.7", \ + " 69.7, 81.5, 108.0, 162.6, 257.7, 458.0", \ + " 78.5, 92.3, 123.4, 179.5, 274.3, 474.4", \ + " 87.4, 103.4, 139.8, 204.6, 299.8, 499.3", \ + " 95.7, 114.2, 156.5, 232.5, 337.8, 536.6", \ + " 102.8, 124.2, 173.1, 261.8, 386.2, 593.5", \ + " 107.2, 132.1, 188.8, 291.8, 437.8, 678.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.1, 112.6, 175.5, 317.8, 571.5, 1111.5", \ + " 91.4, 113.9, 175.7, 317.7, 571.5, 1111.5", \ + " 96.6, 118.5, 178.6, 318.2, 571.5, 1111.5", \ + " 105.5, 126.6, 185.0, 321.6, 571.8, 1111.5", \ + " 123.3, 143.7, 199.8, 331.9, 576.6, 1111.6", \ + " 148.5, 170.8, 224.8, 352.0, 590.0, 1115.2", \ + " 180.9, 206.1, 265.2, 388.3, 617.9, 1130.8", \ + " 225.8, 253.9, 319.8, 446.4, 668.3, 1165.7", \ + " 289.5, 321.0, 394.1, 533.8, 753.2, 1233.4", \ + " 380.5, 416.8, 499.0, 653.4, 887.4, 1354.4" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 63.2 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 76.7, 110.5, 185.5, 318.2, 599.8", \ + " 70.9, 84.0, 118.1, 193.4, 326.3, 608.1", \ + " 76.3, 89.4, 123.5, 199.0, 332.0, 613.9", \ + " 83.1, 96.2, 130.3, 205.8, 339.0, 620.9", \ + " 93.5, 107.4, 141.6, 217.1, 350.3, 632.4", \ + " 104.6, 120.3, 157.2, 232.7, 365.8, 647.9", \ + " 118.0, 135.7, 177.0, 256.2, 389.0, 671.0", \ + " 134.9, 155.0, 201.4, 288.9, 423.7, 705.1", \ + " 157.9, 181.1, 233.5, 330.7, 476.5, 757.4", \ + " 190.0, 216.8, 277.0, 386.1, 547.0, 838.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.9, 143.9, 238.2, 452.1, 833.6, 1645.0", \ + " 109.5, 144.3, 238.4, 452.2, 833.6, 1645.0", \ + " 110.7, 145.1, 238.8, 452.3, 833.7, 1645.0", \ + " 114.9, 148.5, 240.7, 452.7, 833.7, 1645.0", \ + " 124.4, 157.0, 247.4, 456.5, 834.4, 1645.0", \ + " 141.7, 172.6, 260.5, 466.2, 839.9, 1645.3", \ + " 171.8, 202.8, 285.5, 486.1, 853.9, 1651.3", \ + " 213.9, 246.9, 331.4, 523.1, 882.5, 1668.8", \ + " 280.1, 313.8, 402.3, 589.8, 936.7, 1706.9", \ + " 382.1, 416.3, 506.4, 701.5, 1034.0, 1782.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 57.4, 83.2, 138.1, 233.2, 433.5", \ + " 52.8, 63.1, 89.0, 143.9, 239.1, 439.4", \ + " 57.5, 67.8, 93.6, 148.6, 243.8, 444.1", \ + " 64.6, 74.4, 100.1, 155.0, 250.1, 450.4", \ + " 74.7, 86.0, 111.8, 166.5, 261.5, 461.8", \ + " 84.9, 97.9, 127.9, 183.3, 278.1, 478.2", \ + " 95.3, 110.4, 145.3, 208.7, 303.6, 503.1", \ + " 105.4, 122.6, 163.1, 237.5, 341.6, 540.3", \ + " 114.5, 134.4, 181.1, 267.8, 390.7, 597.2", \ + " 121.5, 144.5, 198.6, 299.1, 443.3, 682.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.5, 126.9, 189.8, 331.9, 585.5, 1125.5", \ + " 105.8, 128.3, 189.9, 331.8, 585.5, 1125.5", \ + " 111.1, 132.8, 192.9, 332.4, 585.6, 1125.5", \ + " 120.0, 141.0, 199.2, 335.7, 585.9, 1125.5", \ + " 138.1, 158.3, 214.0, 346.0, 590.7, 1125.6", \ + " 165.7, 185.4, 239.2, 366.1, 604.0, 1129.2", \ + " 200.5, 223.9, 279.9, 402.4, 631.9, 1144.8", \ + " 248.3, 274.1, 336.9, 460.8, 682.3, 1179.7", \ + " 315.4, 344.3, 413.6, 549.7, 767.4, 1247.4", \ + " 410.8, 443.8, 521.4, 671.4, 902.1, 1368.5" ); }} +timing() { /* ring osc delay aoi22v0x2, path b1 to z 53.4 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.1 ; */ +/* intrinsic_fall : 42.9 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 42.6, 67.7, 123.3, 221.7, 430.5", \ + " 39.9, 49.4, 74.5, 130.2, 228.7, 437.6", \ + " 46.0, 55.2, 80.0, 135.7, 234.2, 443.1", \ + " 52.7, 63.3, 87.9, 143.2, 241.6, 450.4", \ + " 62.4, 74.8, 102.2, 156.9, 254.8, 463.5", \ + " 74.2, 88.3, 120.2, 177.1, 274.3, 482.4", \ + " 89.7, 105.7, 142.3, 207.8, 304.5, 511.5", \ + " 109.7, 128.0, 169.5, 245.1, 350.2, 555.2", \ + " 136.4, 157.6, 205.0, 291.1, 413.7, 622.5", \ + " 172.7, 197.4, 252.2, 350.5, 491.6, 727.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.4, 97.6, 167.6, 325.1, 605.0, 1199.6", \ + " 76.6, 101.1, 168.7, 325.0, 605.0, 1199.6", \ + " 83.6, 107.1, 172.7, 325.9, 605.0, 1199.6", \ + " 94.3, 116.6, 180.0, 330.0, 605.2, 1199.6", \ + " 114.9, 135.6, 195.6, 340.8, 610.3, 1199.6", \ + " 140.8, 165.1, 221.5, 360.7, 623.5, 1202.8", \ + " 173.6, 201.5, 263.6, 396.3, 650.2, 1217.5", \ + " 219.5, 250.3, 321.8, 454.6, 698.1, 1250.1", \ + " 285.5, 319.5, 398.8, 546.9, 780.7, 1313.0", \ + " 381.3, 419.7, 507.6, 673.0, 916.0, 1427.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 42.2, 66.2, 119.2, 213.1, 412.4", \ + " 37.0, 46.4, 70.7, 124.1, 218.3, 417.7", \ + " 39.4, 49.4, 73.8, 127.3, 221.6, 421.1", \ + " 41.5, 52.5, 77.9, 131.6, 225.9, 425.5", \ + " 44.1, 56.5, 84.7, 139.2, 233.6, 433.3", \ + " 46.3, 60.5, 91.9, 150.5, 244.8, 444.4", \ + " 47.3, 64.0, 99.9, 164.5, 261.9, 461.4", \ + " 46.2, 65.7, 107.6, 180.3, 286.2, 486.7", \ + " 41.2, 64.1, 113.4, 197.4, 315.1, 525.4", \ + " 29.5, 56.5, 114.7, 213.5, 347.9, 578.9" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 51.3, 75.6, 140.6, 286.8, 546.8, 1099.2", \ + " 54.1, 77.6, 141.2, 286.8, 546.8, 1099.2", \ + " 58.8, 81.5, 144.0, 287.8, 546.8, 1099.2", \ + " 67.1, 88.2, 149.0, 290.9, 547.7, 1099.2", \ + " 82.2, 103.8, 160.5, 298.7, 552.2, 1099.8", \ + " 101.7, 124.9, 181.5, 313.8, 562.2, 1104.3", \ + " 131.6, 155.4, 215.8, 342.5, 583.1, 1116.3", \ + " 175.1, 199.9, 262.3, 392.1, 621.9, 1142.2", \ + " 237.9, 264.7, 330.2, 466.3, 691.1, 1193.5", \ + " 329.7, 358.5, 429.8, 572.2, 806.4, 1288.5" ); }} +timing() { /* ring osc delay aoi22v0x2, path b1 to z 68.3 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.1 ; */ +/* intrinsic_fall : 42.9 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 62.1, 95.9, 170.9, 303.7, 585.3", \ + " 54.9, 67.8, 101.7, 177.0, 309.9, 591.7", \ + " 60.3, 73.0, 106.7, 181.9, 314.8, 596.6", \ + " 68.2, 80.5, 113.7, 188.7, 321.5, 603.2", \ + " 80.6, 94.3, 126.9, 201.1, 333.5, 615.0", \ + " 95.2, 110.8, 146.9, 219.8, 351.4, 632.3", \ + " 114.4, 131.8, 172.9, 249.3, 379.3, 658.9", \ + " 139.5, 159.0, 205.0, 291.8, 421.9, 699.2", \ + " 173.3, 195.4, 247.1, 345.1, 488.2, 761.9", \ + " 219.8, 245.2, 303.9, 414.0, 577.2, 860.6" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.4, 151.6, 245.4, 455.9, 829.5, 1622.7", \ + " 118.3, 152.3, 244.6, 455.6, 829.4, 1622.7", \ + " 123.5, 156.6, 246.9, 455.1, 829.4, 1622.7", \ + " 131.9, 164.0, 252.2, 456.9, 829.1, 1622.7", \ + " 148.9, 179.6, 264.6, 464.5, 830.4, 1622.7", \ + " 175.5, 204.6, 286.3, 480.2, 839.2, 1622.5", \ + " 211.1, 245.3, 323.0, 509.8, 859.8, 1630.3", \ + " 255.0, 293.0, 380.6, 560.6, 899.2, 1654.0", \ + " 319.4, 360.2, 458.2, 643.5, 970.2, 1704.4", \ + " 414.0, 458.5, 564.5, 770.9, 1091.3, 1800.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.0, 44.7, 69.5, 123.1, 217.1, 416.4", \ + " 39.2, 49.1, 74.2, 128.2, 222.4, 421.9", \ + " 42.2, 52.2, 77.4, 131.5, 225.9, 425.4", \ + " 44.8, 55.9, 81.6, 135.8, 230.3, 429.9", \ + " 48.2, 60.5, 88.8, 143.5, 238.0, 437.7", \ + " 51.5, 65.4, 96.5, 154.7, 249.2, 448.9", \ + " 53.8, 69.7, 105.0, 169.0, 266.2, 465.8", \ + " 53.6, 72.1, 112.9, 185.0, 290.5, 491.0", \ + " 48.8, 70.4, 118.4, 201.8, 319.3, 529.4", \ + " 36.1, 61.6, 118.3, 216.8, 351.4, 582.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.3, 90.4, 155.2, 301.3, 561.2, 1113.6", \ + " 68.8, 92.2, 155.7, 301.3, 561.2, 1113.6", \ + " 73.2, 95.8, 158.4, 302.2, 561.2, 1113.6", \ + " 80.7, 102.2, 163.1, 305.2, 562.1, 1113.6", \ + " 97.5, 116.8, 174.3, 312.7, 566.4, 1114.1", \ + " 118.1, 140.0, 194.8, 327.6, 576.2, 1118.6", \ + " 149.3, 171.9, 230.2, 356.0, 596.9, 1130.4", \ + " 194.8, 218.1, 278.3, 405.6, 635.6, 1156.2", \ + " 260.4, 285.6, 348.6, 481.9, 705.1, 1207.5", \ + " 354.5, 382.8, 451.5, 590.9, 822.3, 1303.0" ); }} +timing() { /* ring osc delay aoi22v0x2, path b1 to z 60.7 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.1 ; */ +/* intrinsic_fall : 42.9 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 54.3, 88.1, 163.1, 295.8, 577.4", \ + " 47.2, 60.0, 93.9, 169.1, 302.0, 583.8", \ + " 52.8, 65.3, 98.9, 174.0, 306.9, 588.7", \ + " 60.6, 73.0, 106.0, 180.8, 313.6, 595.3", \ + " 71.5, 86.1, 119.3, 193.3, 325.7, 607.2", \ + " 85.0, 101.4, 139.0, 212.1, 343.6, 624.4", \ + " 102.9, 121.3, 163.9, 241.7, 371.5, 651.1", \ + " 126.5, 147.2, 194.9, 283.5, 414.2, 691.4", \ + " 158.5, 182.0, 235.7, 335.6, 480.5, 754.1", \ + " 202.5, 229.7, 290.9, 403.3, 568.3, 852.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.7, 136.9, 230.6, 440.9, 814.3, 1607.3", \ + " 104.8, 138.3, 230.0, 440.6, 814.3, 1607.3", \ + " 110.6, 143.2, 232.8, 440.2, 814.2, 1607.3", \ + " 119.9, 151.3, 238.5, 442.4, 813.9, 1607.3", \ + " 137.9, 167.8, 251.6, 450.4, 815.6, 1607.3", \ + " 166.2, 193.8, 274.1, 466.7, 824.7, 1607.2", \ + " 197.4, 233.7, 311.7, 497.0, 845.8, 1615.2", \ + " 240.6, 279.4, 370.2, 548.5, 885.7, 1639.4", \ + " 304.3, 345.9, 445.2, 632.0, 957.5, 1690.3", \ + " 398.0, 443.3, 550.5, 758.6, 1079.2, 1786.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.2, 42.4, 66.4, 119.4, 213.3, 412.6", \ + " 37.4, 46.8, 71.1, 124.6, 218.7, 418.1", \ + " 39.9, 49.9, 74.3, 127.9, 222.1, 421.6", \ + " 42.0, 53.1, 78.5, 132.2, 226.5, 426.1", \ + " 44.6, 57.1, 85.3, 139.8, 234.2, 433.9", \ + " 46.5, 60.9, 92.5, 151.1, 245.4, 445.1", \ + " 46.7, 63.7, 100.1, 164.9, 262.5, 462.0", \ + " 44.0, 64.1, 106.9, 180.3, 286.6, 487.2", \ + " 36.3, 60.1, 110.8, 196.4, 314.9, 525.7", \ + " 20.1, 48.3, 108.7, 210.1, 346.4, 578.4" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 51.3, 75.6, 140.6, 286.8, 546.8, 1099.2", \ + " 53.9, 77.4, 141.1, 286.8, 546.8, 1099.2", \ + " 58.3, 81.1, 143.8, 287.7, 546.8, 1099.2", \ + " 66.4, 87.6, 148.5, 290.7, 547.6, 1099.2", \ + " 81.3, 102.8, 159.7, 298.2, 552.0, 1099.7", \ + " 100.6, 123.9, 180.5, 313.1, 561.8, 1104.2", \ + " 130.2, 154.3, 214.7, 341.6, 582.5, 1116.1", \ + " 173.4, 198.7, 261.5, 391.3, 621.2, 1141.8", \ + " 235.9, 263.7, 330.1, 466.1, 690.7, 1193.1", \ + " 326.8, 357.5, 430.7, 573.6, 807.3, 1288.7" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.1 ; */ +/* intrinsic_fall : 42.9 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 62.1, 95.9, 170.9, 303.7, 585.3", \ + " 54.9, 67.8, 101.7, 177.0, 309.9, 591.7", \ + " 60.3, 73.0, 106.7, 181.9, 314.8, 596.6", \ + " 68.2, 80.5, 113.7, 188.7, 321.5, 603.2", \ + " 80.6, 94.3, 126.9, 201.1, 333.5, 615.0", \ + " 95.2, 110.8, 146.9, 219.8, 351.4, 632.3", \ + " 114.4, 131.8, 172.9, 249.3, 379.3, 658.9", \ + " 139.5, 159.0, 205.0, 291.8, 421.9, 699.2", \ + " 173.3, 195.4, 247.1, 345.1, 488.2, 761.9", \ + " 219.8, 245.2, 303.9, 414.0, 577.2, 860.6" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.4, 151.6, 245.4, 455.9, 829.5, 1622.7", \ + " 118.3, 152.3, 244.6, 455.6, 829.4, 1622.7", \ + " 123.5, 156.6, 246.9, 455.1, 829.4, 1622.7", \ + " 131.9, 164.0, 252.2, 456.9, 829.1, 1622.7", \ + " 148.9, 179.6, 264.6, 464.5, 830.4, 1622.7", \ + " 175.5, 204.6, 286.3, 480.2, 839.2, 1622.5", \ + " 211.1, 245.3, 323.0, 509.8, 859.8, 1630.3", \ + " 255.0, 293.0, 380.6, 560.6, 899.2, 1654.0", \ + " 319.4, 360.2, 458.2, 643.5, 970.2, 1704.4", \ + " 414.0, 458.5, 564.5, 770.9, 1091.3, 1800.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.0, 44.7, 69.5, 123.1, 217.1, 416.4", \ + " 39.2, 49.1, 74.2, 128.2, 222.4, 421.9", \ + " 42.2, 52.2, 77.4, 131.5, 225.9, 425.4", \ + " 44.8, 55.9, 81.6, 135.8, 230.3, 429.9", \ + " 48.2, 60.5, 88.8, 143.5, 238.0, 437.7", \ + " 51.5, 65.4, 96.5, 154.7, 249.2, 448.9", \ + " 53.8, 69.7, 105.0, 169.0, 266.2, 465.8", \ + " 53.6, 72.1, 112.9, 185.0, 290.5, 491.0", \ + " 48.8, 70.4, 118.4, 201.8, 319.3, 529.4", \ + " 36.1, 61.6, 118.3, 216.8, 351.4, 582.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.3, 90.4, 155.2, 301.3, 561.2, 1113.6", \ + " 68.8, 92.2, 155.7, 301.3, 561.2, 1113.6", \ + " 73.2, 95.8, 158.4, 302.2, 561.2, 1113.6", \ + " 80.7, 102.2, 163.1, 305.2, 562.1, 1113.6", \ + " 97.5, 116.8, 174.3, 312.7, 566.4, 1114.1", \ + " 118.1, 140.0, 194.8, 327.6, 576.2, 1118.6", \ + " 149.3, 171.9, 230.2, 356.0, 596.9, 1130.4", \ + " 194.8, 218.1, 278.3, 405.6, 635.6, 1156.2", \ + " 260.4, 285.6, 348.6, 481.9, 705.1, 1207.5", \ + " 354.5, 382.8, 451.5, 590.9, 822.3, 1303.0" ); }} +timing() { /* ring osc delay aoi22v0x2, path b2 to z 50.2 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.3 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.7, 37.4, 62.6, 118.3, 216.7, 425.6", \ + " 34.7, 44.2, 69.3, 125.2, 223.8, 432.8", \ + " 40.2, 50.0, 74.8, 130.6, 229.2, 438.2", \ + " 45.8, 57.4, 82.6, 138.1, 236.6, 445.6", \ + " 54.1, 67.6, 96.7, 151.6, 249.8, 458.6", \ + " 64.0, 79.5, 113.4, 171.8, 269.2, 477.4", \ + " 76.9, 94.8, 133.8, 201.7, 299.2, 506.4", \ + " 93.1, 113.8, 158.7, 237.3, 344.5, 549.9", \ + " 114.0, 138.4, 190.5, 281.0, 406.5, 616.9", \ + " 141.5, 170.3, 231.5, 336.2, 481.7, 720.6" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.4, 86.7, 156.7, 314.1, 593.9, 1188.3", \ + " 66.2, 90.5, 157.8, 314.0, 593.9, 1188.3", \ + " 73.4, 96.6, 161.8, 314.9, 593.8, 1188.3", \ + " 84.3, 106.3, 169.2, 318.9, 594.0, 1188.3", \ + " 106.0, 125.5, 185.0, 329.8, 599.1, 1188.2", \ + " 127.8, 154.4, 211.1, 349.8, 612.3, 1191.4", \ + " 159.1, 188.4, 253.4, 385.6, 639.1, 1206.0", \ + " 204.0, 235.6, 309.4, 444.0, 687.3, 1238.7", \ + " 268.8, 303.6, 384.5, 535.9, 770.2, 1301.9", \ + " 362.8, 401.9, 491.4, 659.6, 905.4, 1416.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 38.0, 62.0, 115.0, 208.9, 408.2", \ + " 34.6, 43.8, 68.0, 121.3, 215.4, 414.8", \ + " 38.6, 48.6, 72.7, 126.1, 220.2, 419.7", \ + " 42.0, 53.9, 79.2, 132.5, 226.6, 426.1", \ + " 46.1, 60.2, 90.2, 144.0, 238.0, 437.5", \ + " 49.8, 66.2, 101.5, 161.0, 254.6, 453.9", \ + " 53.1, 72.1, 113.2, 183.6, 280.2, 478.8", \ + " 55.0, 77.1, 124.8, 207.1, 317.8, 516.1", \ + " 54.3, 80.2, 135.8, 231.4, 362.0, 573.2", \ + " 49.1, 79.5, 144.6, 256.1, 408.9, 656.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.0, 73.8, 137.3, 280.2, 534.3, 1074.2", \ + " 54.8, 77.0, 138.2, 280.2, 534.3, 1074.2", \ + " 61.8, 83.0, 142.2, 281.1, 534.3, 1074.2", \ + " 72.4, 92.5, 149.6, 285.2, 534.6, 1074.2", \ + " 89.0, 111.3, 165.7, 296.5, 540.1, 1074.2", \ + " 108.9, 134.5, 191.5, 317.6, 554.3, 1078.2", \ + " 138.2, 165.7, 230.5, 354.4, 583.0, 1094.4", \ + " 179.9, 209.8, 279.7, 412.0, 633.8, 1130.0", \ + " 239.8, 273.2, 349.1, 495.0, 717.9, 1198.1", \ + " 327.1, 364.6, 449.2, 607.6, 849.6, 1318.6" ); }} +timing() { /* ring osc delay aoi22v0x2, path b2 to z 65.7 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.3 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.8, 54.9, 89.0, 164.2, 297.0, 578.7", \ + " 47.6, 60.6, 94.7, 170.2, 303.3, 585.1", \ + " 53.1, 65.7, 99.6, 175.0, 308.1, 590.0", \ + " 60.9, 73.3, 106.6, 181.7, 314.8, 596.7", \ + " 71.6, 86.2, 119.7, 194.0, 326.7, 608.4", \ + " 84.5, 101.2, 139.1, 212.6, 344.4, 625.6", \ + " 101.4, 120.2, 163.5, 241.8, 372.1, 652.0", \ + " 123.2, 144.5, 193.4, 283.1, 414.4, 692.1", \ + " 151.9, 176.5, 232.1, 334.0, 480.0, 754.4", \ + " 190.3, 219.1, 283.2, 398.9, 566.3, 852.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.9, 137.1, 230.8, 441.1, 814.5, 1607.5", \ + " 104.1, 137.9, 230.0, 440.8, 814.5, 1607.5", \ + " 109.5, 142.3, 232.3, 440.3, 814.4, 1607.5", \ + " 118.1, 149.9, 237.7, 442.1, 814.1, 1607.5", \ + " 135.2, 165.7, 250.3, 449.7, 815.4, 1607.4", \ + " 163.0, 190.9, 272.2, 465.5, 824.1, 1607.3", \ + " 193.6, 230.4, 309.1, 495.4, 844.8, 1614.9", \ + " 236.2, 275.4, 367.2, 546.5, 884.5, 1638.7", \ + " 299.8, 341.3, 441.3, 629.5, 956.0, 1689.4", \ + " 393.5, 438.5, 545.7, 755.4, 1077.4, 1786.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.8, 40.5, 65.2, 118.9, 212.9, 412.2", \ + " 36.8, 46.5, 71.5, 125.4, 219.6, 419.0", \ + " 41.6, 51.4, 76.3, 130.2, 224.5, 424.0", \ + " 45.9, 57.5, 82.8, 136.7, 231.0, 430.5", \ + " 51.3, 65.0, 94.4, 148.2, 242.4, 441.9", \ + " 56.3, 72.1, 106.6, 165.1, 259.0, 458.3", \ + " 60.9, 79.0, 119.0, 188.2, 284.4, 483.2", \ + " 63.8, 84.6, 130.9, 212.2, 322.0, 520.3", \ + " 63.5, 87.7, 141.7, 236.5, 366.4, 577.1", \ + " 57.6, 85.9, 149.1, 260.1, 412.9, 659.8" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.7, 88.3, 151.6, 294.4, 548.5, 1088.4", \ + " 69.1, 91.1, 152.4, 294.4, 548.5, 1088.4", \ + " 75.7, 96.8, 156.1, 295.1, 548.5, 1088.4", \ + " 85.8, 106.1, 163.3, 299.1, 548.7, 1088.4", \ + " 105.8, 124.5, 179.1, 310.1, 554.0, 1088.4", \ + " 127.8, 151.2, 204.9, 331.0, 567.9, 1092.2", \ + " 159.9, 185.0, 246.1, 367.9, 596.5, 1108.1", \ + " 205.3, 232.4, 298.3, 426.4, 647.5, 1143.7", \ + " 270.1, 300.3, 371.8, 512.8, 732.6, 1212.0", \ + " 362.5, 397.3, 477.2, 630.3, 867.4, 1333.6" ); }} +timing() { /* ring osc delay aoi22v0x2, path b2 to z 57.6 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.3 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.9, 47.1, 81.2, 156.4, 289.1, 570.8", \ + " 40.0, 52.8, 86.9, 162.4, 295.4, 577.2", \ + " 46.0, 58.1, 91.8, 167.2, 300.3, 582.2", \ + " 52.4, 66.0, 98.8, 173.9, 306.9, 588.8", \ + " 61.8, 77.5, 112.1, 186.2, 318.8, 600.5", \ + " 73.4, 91.2, 130.9, 204.9, 336.6, 617.7", \ + " 88.8, 108.9, 154.1, 234.3, 364.3, 644.2", \ + " 108.6, 131.7, 182.7, 274.5, 406.7, 684.3", \ + " 134.9, 161.7, 220.0, 324.2, 472.1, 746.7", \ + " 170.2, 201.7, 269.3, 387.8, 557.2, 844.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 86.9, 122.2, 215.9, 426.2, 799.4, 1592.1", \ + " 90.5, 123.9, 215.4, 425.8, 799.3, 1592.1", \ + " 96.6, 128.9, 218.1, 425.4, 799.2, 1592.1", \ + " 106.1, 137.2, 224.0, 427.5, 799.0, 1592.1", \ + " 124.5, 154.0, 237.3, 435.6, 800.5, 1592.0", \ + " 151.2, 180.4, 260.1, 452.0, 809.6, 1591.9", \ + " 179.2, 217.1, 297.8, 482.6, 830.8, 1599.9", \ + " 221.6, 261.4, 355.9, 534.4, 871.1, 1624.1", \ + " 284.8, 326.8, 428.0, 618.0, 943.3, 1675.3", \ + " 377.8, 423.4, 531.6, 742.9, 1065.4, 1772.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 38.2, 62.2, 115.2, 209.1, 408.4", \ + " 35.0, 44.2, 68.4, 121.8, 215.9, 415.2", \ + " 39.1, 49.1, 73.2, 126.6, 220.7, 420.2", \ + " 42.6, 54.5, 79.7, 133.0, 227.2, 426.7", \ + " 46.5, 60.7, 90.8, 144.6, 238.7, 438.1", \ + " 49.8, 66.4, 102.0, 161.5, 255.2, 454.5", \ + " 52.0, 71.5, 113.2, 183.9, 280.6, 479.4", \ + " 52.1, 75.0, 123.7, 206.9, 318.0, 516.6", \ + " 48.6, 75.5, 132.7, 230.1, 361.6, 573.3", \ + " 38.6, 70.4, 137.9, 252.2, 407.1, 655.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.0, 73.8, 137.3, 280.2, 534.3, 1074.2", \ + " 54.4, 76.6, 138.0, 280.2, 534.3, 1074.2", \ + " 61.1, 82.3, 141.8, 280.9, 534.3, 1074.2", \ + " 71.4, 91.6, 149.0, 284.9, 534.6, 1074.2", \ + " 88.0, 110.2, 164.8, 295.9, 539.9, 1074.2", \ + " 108.0, 133.6, 190.5, 316.8, 553.8, 1078.1", \ + " 137.7, 165.2, 229.8, 353.6, 582.4, 1094.0", \ + " 180.1, 210.2, 280.0, 411.8, 633.3, 1129.5", \ + " 240.7, 274.8, 351.1, 496.2, 718.2, 1197.9", \ + " 328.3, 367.5, 453.6, 611.5, 852.0, 1319.4" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.3 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.8, 54.9, 89.0, 164.2, 297.0, 578.7", \ + " 47.6, 60.6, 94.7, 170.2, 303.3, 585.1", \ + " 53.1, 65.7, 99.6, 175.0, 308.1, 590.0", \ + " 60.9, 73.3, 106.6, 181.7, 314.8, 596.7", \ + " 71.6, 86.2, 119.7, 194.0, 326.7, 608.4", \ + " 84.5, 101.2, 139.1, 212.6, 344.4, 625.6", \ + " 101.4, 120.2, 163.5, 241.8, 372.1, 652.0", \ + " 123.2, 144.5, 193.4, 283.1, 414.4, 692.1", \ + " 151.9, 176.5, 232.1, 334.0, 480.0, 754.4", \ + " 190.3, 219.1, 283.2, 398.9, 566.3, 852.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.9, 137.1, 230.8, 441.1, 814.5, 1607.5", \ + " 104.1, 137.9, 230.0, 440.8, 814.5, 1607.5", \ + " 109.5, 142.3, 232.3, 440.3, 814.4, 1607.5", \ + " 118.1, 149.9, 237.7, 442.1, 814.1, 1607.5", \ + " 135.2, 165.7, 250.3, 449.7, 815.4, 1607.4", \ + " 163.0, 190.9, 272.2, 465.5, 824.1, 1607.3", \ + " 193.6, 230.4, 309.1, 495.4, 844.8, 1614.9", \ + " 236.2, 275.4, 367.2, 546.5, 884.5, 1638.7", \ + " 299.8, 341.3, 441.3, 629.5, 956.0, 1689.4", \ + " 393.5, 438.5, 545.7, 755.4, 1077.4, 1786.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.8, 40.5, 65.2, 118.9, 212.9, 412.2", \ + " 36.8, 46.5, 71.5, 125.4, 219.6, 419.0", \ + " 41.6, 51.4, 76.3, 130.2, 224.5, 424.0", \ + " 45.9, 57.5, 82.8, 136.7, 231.0, 430.5", \ + " 51.3, 65.0, 94.4, 148.2, 242.4, 441.9", \ + " 56.3, 72.1, 106.6, 165.1, 259.0, 458.3", \ + " 60.9, 79.0, 119.0, 188.2, 284.4, 483.2", \ + " 63.8, 84.6, 130.9, 212.2, 322.0, 520.3", \ + " 63.5, 87.7, 141.7, 236.5, 366.4, 577.1", \ + " 57.6, 85.9, 149.1, 260.1, 412.9, 659.8" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.7, 88.3, 151.6, 294.4, 548.5, 1088.4", \ + " 69.1, 91.1, 152.4, 294.4, 548.5, 1088.4", \ + " 75.7, 96.8, 156.1, 295.1, 548.5, 1088.4", \ + " 85.8, 106.1, 163.3, 299.1, 548.7, 1088.4", \ + " 105.8, 124.5, 179.1, 310.1, 554.0, 1088.4", \ + " 127.8, 151.2, 204.9, 331.0, 567.9, 1092.2", \ + " 159.9, 185.0, 246.1, 367.9, 596.5, 1108.1", \ + " 205.3, 232.4, 298.3, 426.4, 647.5, 1143.7", \ + " 270.1, 300.3, 371.8, 512.8, 732.6, 1212.0", \ + " 362.5, 397.3, 477.2, 630.3, 867.4, 1333.6" ); }} +} +} +cell(aoi22v0x3) { /* 2008-01-06:07h27 characteristic delay 14.9 ps */ +area : 18 ; /* tracks */ +cell_leakage_power : 2818 ; /* aoi22v0x3 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v0x3 FO4 effort 1.88 logical effort 1.80 */ +direction : input ; +capacitance : 13.57 ; +rise_capacitance : 13.49 ; +fall_capacitance : 13.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v0x3 */ +} +pin(a2) { /* aoi22v0x3 FO4 effort 1.81 logical effort 1.72 */ +direction : input ; +capacitance : 12.97 ; +rise_capacitance : 13.32 ; +fall_capacitance : 12.61 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v0x3 */ +} +pin(b1) { /* aoi22v0x3 FO4 effort 1.55 logical effort 1.72 */ +direction : input ; +capacitance : 13.18 ; +rise_capacitance : 12.21 ; +fall_capacitance : 14.16 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v0x3 */ +} +pin(b2) { /* aoi22v0x3 FO4 effort 1.50 logical effort 1.69 */ +direction : input ; +capacitance : 12.95 ; +rise_capacitance : 12.41 ; +fall_capacitance : 13.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 304 ; +max_fanout : 8 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v0x3 60.60 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 31.08, 31.11, 31.14, 31.14, 31.09", \ + " 30.44, 30.52, 30.64, 30.72, 30.71", \ + " 30.21, 30.30, 30.45, 30.57, 30.59", \ + " 30.07, 30.15, 30.30, 30.44, 30.49", \ + " 30.16, 30.18, 30.26, 30.36, 30.42", \ + " 30.83, 30.73, 30.62, 30.55, 30.51", \ + " 32.74, 32.40, 31.87, 31.36, 31.00", \ + " 36.85, 36.14, 34.89, 33.50, 32.44", \ + " 44.68, 43.49, 41.20, 38.32, 35.92", \ + " 58.11, 56.43, 52.90, 47.89, 43.23" ); }} +internal_power(a2_z_n) { /* aoi22v0x3 54.44 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 27.94, 28.06, 28.19, 28.25, 28.23", \ + " 27.19, 27.36, 27.62, 27.82, 27.89", \ + " 26.96, 27.12, 27.39, 27.64, 27.76", \ + " 26.86, 26.98, 27.22, 27.49, 27.64", \ + " 27.07, 27.09, 27.20, 27.39, 27.54", \ + " 27.92, 27.78, 27.62, 27.58, 27.61", \ + " 29.99, 29.60, 28.99, 28.43, 28.10", \ + " 34.14, 33.38, 32.06, 30.61, 29.54", \ + " 41.78, 40.55, 38.23, 35.35, 32.97", \ + " 54.76, 53.02, 49.47, 44.57, 40.06" ); }} +internal_power(b1_z_n) { /* aoi22v0x3 35.04 nW/MHz */ +related_pin : "b1" ; +power(pwr_x3_390_5x10) { +values( " 17.52, 17.77, 18.03, 18.19, 18.23", \ + " 16.86, 17.18, 17.63, 17.99, 18.15", \ + " 16.85, 17.08, 17.50, 17.89, 18.10", \ + " 17.13, 17.24, 17.52, 17.86, 18.08", \ + " 18.11, 17.98, 17.93, 18.04, 18.16", \ + " 20.08, 19.63, 19.07, 18.71, 18.55", \ + " 23.70, 22.83, 21.51, 20.32, 19.57", \ + " 29.75, 28.38, 26.05, 23.60, 21.81", \ + " 39.55, 37.67, 34.11, 29.83, 26.38", \ + " 55.12, 52.73, 47.85, 41.14, 35.15" ); }} +internal_power(b2_z_n) { /* aoi22v0x3 29.34 nW/MHz */ +related_pin : "b2" ; +power(pwr_x3_390_5x10) { +values( " 14.40, 14.77, 15.16, 15.41, 15.49", \ + " 13.86, 14.21, 14.75, 15.22, 15.45", \ + " 13.93, 14.17, 14.63, 15.12, 15.40", \ + " 14.32, 14.39, 14.67, 15.08, 15.37", \ + " 15.42, 15.22, 15.12, 15.26, 15.44", \ + " 17.47, 16.95, 16.31, 15.92, 15.80", \ + " 21.10, 20.15, 18.76, 17.53, 16.80", \ + " 27.03, 25.57, 23.20, 20.75, 19.00", \ + " 36.56, 34.56, 30.95, 26.78, 23.44", \ + " 51.64, 49.08, 44.07, 37.57, 31.88" ); }} +timing() { /* ring osc delay aoi22v0x3, path a1 to z 80.8 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 60.2 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 63.3, 89.4, 147.5, 250.2, 468.3", \ + " 61.1, 71.2, 97.4, 155.6, 258.4, 476.6", \ + " 66.9, 77.0, 103.3, 161.5, 264.4, 482.6", \ + " 74.3, 84.6, 110.9, 169.1, 272.0, 490.2", \ + " 85.0, 96.7, 123.8, 182.1, 285.0, 503.2", \ + " 96.3, 110.0, 141.2, 200.5, 303.3, 521.6", \ + " 110.0, 125.9, 162.3, 228.2, 331.1, 549.1", \ + " 127.9, 146.2, 187.8, 263.6, 372.5, 590.0", \ + " 153.1, 174.0, 221.4, 307.9, 431.8, 652.4", \ + " 189.3, 213.3, 267.5, 365.6, 506.9, 748.5" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 99.5, 125.8, 197.0, 359.1, 648.7, 1264.9", \ + " 100.0, 126.1, 197.1, 359.1, 648.7, 1264.9", \ + " 102.0, 127.6, 197.8, 359.3, 648.7, 1264.9", \ + " 106.9, 131.9, 200.9, 360.3, 648.8, 1264.9", \ + " 118.6, 142.5, 209.6, 366.3, 650.9, 1265.0", \ + " 139.9, 162.1, 226.5, 379.5, 659.4, 1266.7", \ + " 174.0, 197.6, 258.0, 405.3, 678.9, 1276.9", \ + " 221.6, 247.1, 311.9, 451.3, 716.0, 1302.1", \ + " 293.7, 320.3, 389.0, 530.6, 783.1, 1352.6", \ + " 399.6, 427.7, 500.2, 652.3, 898.7, 1446.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 63.3, 88.0, 141.5, 235.1, 432.4", \ + " 57.9, 67.7, 92.4, 146.1, 239.8, 437.2", \ + " 61.0, 70.7, 95.6, 149.3, 243.0, 440.5", \ + " 65.2, 75.0, 99.8, 153.6, 247.4, 444.9", \ + " 71.2, 81.8, 107.5, 161.3, 255.1, 452.6", \ + " 77.9, 89.5, 117.3, 172.6, 266.3, 463.8", \ + " 85.0, 98.0, 128.8, 188.8, 283.5, 480.8", \ + " 91.3, 106.3, 141.3, 207.6, 308.9, 506.2", \ + " 95.7, 113.1, 153.7, 228.9, 340.4, 545.1", \ + " 95.8, 116.2, 163.7, 251.0, 376.8, 600.3" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.8, 116.7, 180.6, 324.8, 581.4, 1126.9", \ + " 94.2, 117.8, 181.1, 324.8, 581.4, 1126.9", \ + " 97.2, 120.5, 183.1, 325.7, 581.4, 1126.9", \ + " 102.8, 125.5, 187.1, 328.2, 582.3, 1126.9", \ + " 115.8, 137.4, 196.9, 335.1, 586.4, 1127.5", \ + " 136.9, 158.8, 215.3, 349.1, 595.7, 1131.8", \ + " 166.7, 190.0, 248.3, 376.1, 615.7, 1143.4", \ + " 209.0, 234.0, 295.7, 423.0, 653.4, 1168.8", \ + " 269.9, 297.2, 363.5, 497.7, 720.9, 1219.3", \ + " 356.9, 387.8, 460.8, 604.3, 834.6, 1313.3" ); }} +timing() { /* ring osc delay aoi22v0x3, path a1 to z 90.8 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 60.2 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.0, 81.8, 115.4, 190.3, 322.9, 604.5", \ + " 76.7, 89.6, 123.3, 198.3, 331.1, 612.7", \ + " 82.3, 95.2, 129.0, 204.0, 336.8, 618.5", \ + " 89.4, 102.2, 136.0, 211.1, 343.9, 625.6", \ + " 100.5, 113.7, 147.5, 222.5, 355.4, 637.1", \ + " 112.5, 127.5, 163.3, 238.3, 371.1, 652.8", \ + " 127.3, 144.1, 184.1, 262.0, 394.5, 676.1", \ + " 146.8, 165.6, 210.0, 295.7, 429.6, 710.4", \ + " 174.5, 195.5, 244.9, 339.2, 483.1, 763.2", \ + " 214.3, 238.2, 293.7, 398.0, 555.7, 845.3" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.9, 156.0, 250.5, 464.6, 846.3, 1657.9", \ + " 121.3, 156.3, 250.6, 464.6, 846.3, 1657.9", \ + " 122.4, 157.0, 251.0, 464.7, 846.3, 1657.9", \ + " 126.3, 160.3, 253.0, 465.2, 846.4, 1657.9", \ + " 135.3, 168.6, 259.6, 469.1, 847.2, 1657.9", \ + " 151.9, 183.7, 272.5, 478.8, 852.8, 1658.3", \ + " 182.5, 213.1, 297.4, 498.7, 866.9, 1664.4", \ + " 226.1, 259.0, 342.8, 535.6, 895.5, 1682.0", \ + " 293.7, 327.5, 415.3, 602.3, 949.6, 1720.2", \ + " 396.3, 431.0, 521.3, 714.8, 1047.0, 1795.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 59.6, 85.0, 139.1, 233.0, 430.6", \ + " 53.6, 63.8, 89.4, 143.6, 237.5, 435.1", \ + " 56.7, 66.9, 92.5, 146.8, 240.7, 438.4", \ + " 61.0, 71.2, 96.7, 151.1, 245.0, 442.7", \ + " 67.1, 78.1, 104.4, 158.7, 252.7, 450.4", \ + " 73.7, 85.7, 114.1, 170.0, 264.0, 461.6", \ + " 80.5, 93.9, 125.4, 185.9, 281.1, 478.6", \ + " 86.2, 101.5, 137.3, 204.4, 306.2, 503.9", \ + " 89.3, 107.1, 148.5, 224.9, 337.2, 542.6", \ + " 87.6, 108.3, 156.7, 245.6, 372.8, 597.3" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.1, 125.6, 189.0, 332.5, 588.6, 1133.8", \ + " 103.9, 126.9, 189.6, 332.6, 588.6, 1133.8", \ + " 107.3, 129.9, 191.7, 333.6, 588.8, 1133.8", \ + " 113.5, 135.5, 196.0, 336.2, 589.8, 1133.8", \ + " 127.5, 148.3, 206.5, 343.5, 594.0, 1134.6", \ + " 150.2, 170.8, 226.0, 358.2, 603.7, 1139.0", \ + " 182.5, 204.4, 260.2, 386.2, 624.3, 1150.9", \ + " 228.5, 251.6, 310.3, 434.7, 663.1, 1176.9", \ + " 294.6, 319.5, 381.9, 512.0, 732.4, 1228.5", \ + " 389.3, 417.2, 485.1, 623.1, 849.1, 1324.4" ); }} +timing() { /* ring osc delay aoi22v0x3, path a1 to z 82.8 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 60.2 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.3, 74.1, 107.7, 182.5, 315.1, 596.7", \ + " 68.9, 81.8, 115.6, 190.5, 323.2, 604.9", \ + " 74.5, 87.5, 121.2, 196.2, 329.0, 610.6", \ + " 81.5, 94.5, 128.2, 203.3, 336.1, 617.8", \ + " 91.8, 105.8, 139.7, 214.7, 347.6, 629.3", \ + " 102.7, 118.5, 155.5, 230.5, 363.3, 645.0", \ + " 116.3, 134.0, 175.3, 254.3, 386.7, 668.2", \ + " 134.4, 154.2, 200.2, 287.3, 421.8, 702.6", \ + " 160.3, 182.8, 234.0, 330.0, 475.1, 755.4", \ + " 198.2, 223.7, 281.5, 387.8, 547.0, 837.5" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.9, 141.8, 236.0, 449.8, 831.2, 1642.6", \ + " 107.4, 142.2, 236.2, 449.8, 831.2, 1642.6", \ + " 109.0, 143.1, 236.6, 449.9, 831.3, 1642.6", \ + " 113.3, 146.8, 238.8, 450.5, 831.3, 1642.6", \ + " 123.0, 155.5, 245.8, 454.7, 832.3, 1642.6", \ + " 141.0, 171.5, 259.2, 464.7, 838.1, 1643.0", \ + " 171.3, 202.5, 284.9, 485.0, 852.5, 1649.4", \ + " 214.8, 247.4, 331.7, 522.6, 881.5, 1667.2", \ + " 282.9, 316.2, 403.7, 590.4, 936.2, 1705.7", \ + " 385.9, 420.2, 509.9, 703.2, 1034.4, 1781.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 55.8, 81.2, 135.3, 229.2, 426.8", \ + " 49.9, 60.1, 85.6, 139.8, 233.7, 431.4", \ + " 53.1, 63.2, 88.7, 142.9, 236.9, 434.6", \ + " 57.1, 67.5, 92.9, 147.2, 241.3, 439.0", \ + " 62.6, 73.8, 100.5, 154.9, 249.0, 446.7", \ + " 68.3, 80.7, 109.8, 166.1, 260.2, 457.9", \ + " 73.8, 88.0, 120.5, 181.8, 277.4, 474.9", \ + " 77.9, 94.3, 131.5, 199.8, 302.3, 500.2", \ + " 79.1, 98.2, 141.6, 219.7, 333.0, 538.9", \ + " 75.0, 97.3, 148.2, 239.4, 367.9, 593.3" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.7, 111.1, 174.6, 318.3, 574.4, 1119.6", \ + " 89.5, 112.5, 175.2, 318.4, 574.5, 1119.6", \ + " 92.8, 115.5, 177.4, 319.4, 574.6, 1119.6", \ + " 99.0, 121.0, 181.7, 322.0, 575.6, 1119.7", \ + " 113.2, 134.0, 192.2, 329.3, 579.8, 1120.4", \ + " 134.5, 156.2, 211.7, 344.0, 589.5, 1124.9", \ + " 165.1, 188.0, 245.8, 372.0, 610.2, 1136.8", \ + " 208.9, 233.4, 294.3, 420.5, 648.9, 1162.7", \ + " 272.2, 299.0, 364.1, 496.8, 718.2, 1214.3", \ + " 363.3, 393.5, 465.0, 606.3, 834.6, 1310.3" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 60.2 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.0, 81.8, 115.4, 190.3, 322.9, 604.5", \ + " 76.7, 89.6, 123.3, 198.3, 331.1, 612.7", \ + " 82.3, 95.2, 129.0, 204.0, 336.8, 618.5", \ + " 89.4, 102.2, 136.0, 211.1, 343.9, 625.6", \ + " 100.5, 113.7, 147.5, 222.5, 355.4, 637.1", \ + " 112.5, 127.5, 163.3, 238.3, 371.1, 652.8", \ + " 127.3, 144.1, 184.1, 262.0, 394.5, 676.1", \ + " 146.8, 165.6, 210.0, 295.7, 429.6, 710.4", \ + " 174.5, 195.5, 244.9, 339.2, 483.1, 763.2", \ + " 214.3, 238.2, 293.7, 398.0, 555.7, 845.3" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.9, 156.0, 250.5, 464.6, 846.3, 1657.9", \ + " 121.3, 156.3, 250.6, 464.6, 846.3, 1657.9", \ + " 122.4, 157.0, 251.0, 464.7, 846.3, 1657.9", \ + " 126.3, 160.3, 253.0, 465.2, 846.4, 1657.9", \ + " 135.3, 168.6, 259.6, 469.1, 847.2, 1657.9", \ + " 151.9, 183.7, 272.5, 478.8, 852.8, 1658.3", \ + " 182.5, 213.1, 297.4, 498.7, 866.9, 1664.4", \ + " 226.1, 259.0, 342.8, 535.6, 895.5, 1682.0", \ + " 293.7, 327.5, 415.3, 602.3, 949.6, 1720.2", \ + " 396.3, 431.0, 521.3, 714.8, 1047.0, 1795.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 59.6, 85.0, 139.1, 233.0, 430.6", \ + " 53.6, 63.8, 89.4, 143.6, 237.5, 435.1", \ + " 56.7, 66.9, 92.5, 146.8, 240.7, 438.4", \ + " 61.0, 71.2, 96.7, 151.1, 245.0, 442.7", \ + " 67.1, 78.1, 104.4, 158.7, 252.7, 450.4", \ + " 73.7, 85.7, 114.1, 170.0, 264.0, 461.6", \ + " 80.5, 93.9, 125.4, 185.9, 281.1, 478.6", \ + " 86.2, 101.5, 137.3, 204.4, 306.2, 503.9", \ + " 89.3, 107.1, 148.5, 224.9, 337.2, 542.6", \ + " 87.6, 108.3, 156.7, 245.6, 372.8, 597.3" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.1, 125.6, 189.0, 332.5, 588.6, 1133.8", \ + " 103.9, 126.9, 189.6, 332.6, 588.6, 1133.8", \ + " 107.3, 129.9, 191.7, 333.6, 588.8, 1133.8", \ + " 113.5, 135.5, 196.0, 336.2, 589.8, 1133.8", \ + " 127.5, 148.3, 206.5, 343.5, 594.0, 1134.6", \ + " 150.2, 170.8, 226.0, 358.2, 603.7, 1139.0", \ + " 182.5, 204.4, 260.2, 386.2, 624.3, 1150.9", \ + " 228.5, 251.6, 310.3, 434.7, 663.1, 1176.9", \ + " 294.6, 319.5, 381.9, 512.0, 732.4, 1228.5", \ + " 389.3, 417.2, 485.1, 623.1, 849.1, 1324.4" ); }} +timing() { /* ring osc delay aoi22v0x3, path a2 to z 78.0 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.29 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 58.4, 84.7, 142.9, 245.7, 463.8", \ + " 55.4, 65.8, 92.5, 150.9, 253.9, 472.1", \ + " 61.0, 71.5, 98.1, 156.7, 259.8, 478.1", \ + " 68.2, 78.8, 105.5, 164.1, 267.3, 485.7", \ + " 77.4, 90.1, 118.2, 176.8, 280.1, 498.6", \ + " 87.3, 102.1, 134.9, 195.1, 298.3, 516.8", \ + " 99.1, 116.4, 154.7, 222.5, 325.8, 544.2", \ + " 113.9, 134.1, 178.4, 256.7, 367.0, 584.9", \ + " 134.0, 157.6, 209.0, 299.0, 425.2, 647.0", \ + " 162.3, 189.8, 249.5, 353.3, 498.2, 742.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 88.3, 114.5, 185.7, 347.7, 637.2, 1253.3", \ + " 89.1, 115.0, 185.9, 347.7, 637.2, 1253.3", \ + " 91.4, 116.6, 186.5, 347.9, 637.2, 1253.3", \ + " 96.9, 121.3, 189.7, 348.9, 637.4, 1253.3", \ + " 109.3, 132.5, 198.8, 354.9, 639.4, 1253.3", \ + " 131.5, 152.7, 216.0, 368.2, 647.8, 1255.0", \ + " 163.9, 187.9, 247.9, 394.3, 667.4, 1265.1", \ + " 210.1, 235.7, 301.5, 440.5, 704.6, 1290.2", \ + " 280.6, 307.1, 376.8, 520.1, 771.9, 1340.9", \ + " 385.1, 413.0, 485.7, 639.9, 887.6, 1435.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.9, 59.6, 84.3, 137.8, 231.4, 428.8", \ + " 55.6, 65.4, 90.1, 143.8, 237.4, 434.9", \ + " 60.3, 70.0, 94.8, 148.5, 242.2, 439.6", \ + " 67.0, 76.5, 101.2, 154.9, 248.6, 446.0", \ + " 77.0, 87.8, 112.9, 166.4, 260.0, 457.4", \ + " 87.0, 99.7, 128.9, 183.3, 276.6, 473.8", \ + " 97.3, 112.1, 146.4, 208.7, 302.1, 498.8", \ + " 107.5, 124.5, 164.3, 237.5, 340.3, 536.1", \ + " 117.1, 136.7, 182.7, 268.0, 389.3, 593.2", \ + " 124.8, 147.6, 200.9, 299.8, 442.0, 678.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.8, 114.3, 176.9, 317.9, 568.7, 1102.0", \ + " 92.5, 115.4, 177.1, 317.9, 568.7, 1102.0", \ + " 97.3, 119.5, 179.7, 318.3, 568.8, 1102.0", \ + " 105.6, 127.1, 185.7, 321.5, 569.0, 1102.0", \ + " 122.6, 143.4, 199.8, 331.5, 573.7, 1102.0", \ + " 147.6, 169.3, 223.9, 351.0, 586.8, 1105.6", \ + " 179.1, 204.3, 263.1, 386.2, 614.2, 1121.0", \ + " 222.3, 250.4, 316.4, 442.9, 663.6, 1155.8", \ + " 283.1, 314.6, 388.1, 528.2, 746.9, 1222.7", \ + " 369.5, 405.8, 488.6, 643.9, 878.1, 1342.1" ); }} +timing() { /* ring osc delay aoi22v0x3, path a2 to z 88.1 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.29 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 75.5, 109.3, 184.3, 317.0, 598.6", \ + " 69.6, 82.8, 116.9, 192.2, 325.2, 606.9", \ + " 75.0, 88.2, 122.3, 197.8, 330.8, 612.7", \ + " 81.8, 95.0, 129.1, 204.6, 337.8, 619.7", \ + " 92.1, 106.1, 140.3, 215.8, 349.0, 631.1", \ + " 102.9, 118.8, 155.9, 231.3, 364.5, 646.6", \ + " 116.2, 134.1, 175.6, 254.9, 387.7, 669.7", \ + " 133.2, 153.5, 200.0, 287.6, 422.5, 703.8", \ + " 156.3, 179.6, 232.2, 329.5, 475.4, 756.2", \ + " 188.7, 215.7, 276.0, 385.1, 546.0, 837.7" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.4, 141.4, 235.7, 449.7, 831.2, 1642.6", \ + " 107.0, 141.8, 235.9, 449.7, 831.2, 1642.6", \ + " 108.3, 142.6, 236.3, 449.8, 831.2, 1642.6", \ + " 112.6, 146.2, 238.3, 450.3, 831.3, 1642.6", \ + " 122.2, 154.8, 245.1, 454.2, 832.0, 1642.6", \ + " 139.9, 170.5, 258.4, 463.9, 837.6, 1642.9", \ + " 169.9, 201.1, 283.6, 484.0, 851.7, 1648.9", \ + " 212.0, 245.1, 329.8, 521.2, 880.4, 1666.5", \ + " 278.4, 312.1, 400.6, 588.1, 934.7, 1704.8", \ + " 380.0, 414.3, 504.4, 699.7, 1032.1, 1779.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 55.9, 81.3, 135.5, 229.3, 426.9", \ + " 51.3, 61.6, 87.1, 141.3, 235.2, 432.8", \ + " 56.1, 66.2, 91.7, 146.0, 239.9, 437.5", \ + " 63.2, 72.9, 98.2, 152.3, 246.2, 443.9", \ + " 73.0, 84.2, 109.9, 163.8, 257.6, 455.2", \ + " 82.8, 95.9, 125.7, 180.7, 274.2, 471.6", \ + " 92.8, 107.9, 142.8, 205.9, 299.7, 496.6", \ + " 102.3, 119.6, 160.0, 234.1, 337.7, 533.8", \ + " 110.8, 130.7, 177.4, 263.7, 386.0, 590.7", \ + " 116.9, 139.9, 194.0, 294.1, 437.7, 675.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.1, 123.1, 185.1, 325.4, 575.7, 1108.5", \ + " 102.5, 124.7, 185.4, 325.3, 575.7, 1108.5", \ + " 107.9, 129.3, 188.4, 325.9, 575.7, 1108.5", \ + " 117.0, 137.6, 194.9, 329.4, 576.1, 1108.5", \ + " 135.3, 155.1, 209.9, 340.0, 581.1, 1108.7", \ + " 162.6, 182.5, 235.3, 360.3, 594.7, 1112.5", \ + " 197.2, 220.5, 276.2, 396.9, 622.9, 1128.4", \ + " 244.7, 270.4, 332.8, 455.5, 673.7, 1163.9", \ + " 311.5, 340.3, 409.2, 544.1, 759.2, 1232.1", \ + " 406.5, 439.5, 516.6, 665.5, 894.2, 1353.9" ); }} +timing() { /* ring osc delay aoi22v0x3, path a2 to z 79.6 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.29 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 67.7, 101.6, 176.5, 309.2, 590.8", \ + " 61.6, 74.9, 109.1, 184.4, 317.3, 599.1", \ + " 67.0, 80.3, 114.5, 189.9, 323.0, 604.8", \ + " 73.8, 87.1, 121.3, 196.8, 329.9, 611.9", \ + " 82.9, 97.8, 132.5, 208.0, 341.2, 623.3", \ + " 92.5, 109.3, 147.8, 223.5, 356.7, 638.8", \ + " 104.4, 123.4, 166.5, 247.1, 379.9, 661.8", \ + " 119.5, 141.3, 189.8, 279.1, 414.7, 696.0", \ + " 140.3, 165.6, 220.8, 320.1, 467.3, 748.4", \ + " 170.0, 199.4, 263.0, 374.7, 537.1, 829.9" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.3, 127.1, 221.2, 434.8, 816.1, 1627.2", \ + " 93.2, 127.6, 221.4, 434.9, 816.2, 1627.2", \ + " 95.0, 128.7, 221.9, 435.0, 816.2, 1627.2", \ + " 99.9, 132.8, 224.2, 435.6, 816.2, 1627.2", \ + " 110.4, 142.0, 231.4, 439.7, 817.1, 1627.3", \ + " 129.8, 158.8, 245.1, 449.8, 822.8, 1627.7", \ + " 158.6, 190.1, 271.3, 470.4, 837.3, 1633.9", \ + " 200.9, 233.5, 318.9, 508.3, 866.4, 1651.7", \ + " 268.1, 300.9, 388.8, 576.2, 921.3, 1690.3", \ + " 370.4, 403.8, 493.0, 688.0, 1019.6, 1765.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 52.2, 77.5, 131.6, 225.6, 423.2", \ + " 47.7, 57.9, 83.3, 137.5, 231.4, 429.1", \ + " 52.6, 62.6, 87.9, 142.1, 236.1, 433.8", \ + " 59.3, 69.3, 94.4, 148.5, 242.5, 440.1", \ + " 67.9, 79.7, 106.1, 160.0, 253.9, 451.5", \ + " 76.3, 90.2, 121.2, 176.9, 270.5, 467.9", \ + " 84.8, 100.9, 137.3, 201.8, 295.9, 492.8", \ + " 92.6, 111.1, 153.4, 229.2, 334.0, 530.1", \ + " 99.0, 120.4, 169.3, 257.7, 381.5, 587.0", \ + " 102.4, 127.3, 184.1, 286.9, 432.1, 671.1" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.7, 108.9, 171.0, 311.4, 561.7, 1094.6", \ + " 88.2, 110.4, 171.3, 311.3, 561.7, 1094.6", \ + " 93.5, 115.1, 174.3, 311.9, 561.8, 1094.6", \ + " 102.5, 123.4, 180.8, 315.5, 562.2, 1094.7", \ + " 120.6, 140.6, 195.8, 326.0, 567.2, 1094.8", \ + " 145.3, 168.0, 221.0, 346.3, 580.8, 1098.7", \ + " 177.5, 202.7, 261.6, 382.9, 609.0, 1114.6", \ + " 222.2, 250.1, 315.7, 441.2, 659.8, 1150.0", \ + " 285.4, 317.0, 389.7, 528.3, 745.0, 1218.2", \ + " 375.9, 412.3, 494.2, 647.5, 879.5, 1339.9" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 71.9 ; */ +/* intrinsic_fall : 61.8 ; */ +/* rise_resistance : 1.29 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 75.5, 109.3, 184.3, 317.0, 598.6", \ + " 69.6, 82.8, 116.9, 192.2, 325.2, 606.9", \ + " 75.0, 88.2, 122.3, 197.8, 330.8, 612.7", \ + " 81.8, 95.0, 129.1, 204.6, 337.8, 619.7", \ + " 92.1, 106.1, 140.3, 215.8, 349.0, 631.1", \ + " 102.9, 118.8, 155.9, 231.3, 364.5, 646.6", \ + " 116.2, 134.1, 175.6, 254.9, 387.7, 669.7", \ + " 133.2, 153.5, 200.0, 287.6, 422.5, 703.8", \ + " 156.3, 179.6, 232.2, 329.5, 475.4, 756.2", \ + " 188.7, 215.7, 276.0, 385.1, 546.0, 837.7" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.4, 141.4, 235.7, 449.7, 831.2, 1642.6", \ + " 107.0, 141.8, 235.9, 449.7, 831.2, 1642.6", \ + " 108.3, 142.6, 236.3, 449.8, 831.2, 1642.6", \ + " 112.6, 146.2, 238.3, 450.3, 831.3, 1642.6", \ + " 122.2, 154.8, 245.1, 454.2, 832.0, 1642.6", \ + " 139.9, 170.5, 258.4, 463.9, 837.6, 1642.9", \ + " 169.9, 201.1, 283.6, 484.0, 851.7, 1648.9", \ + " 212.0, 245.1, 329.8, 521.2, 880.4, 1666.5", \ + " 278.4, 312.1, 400.6, 588.1, 934.7, 1704.8", \ + " 380.0, 414.3, 504.4, 699.7, 1032.1, 1779.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 55.9, 81.3, 135.5, 229.3, 426.9", \ + " 51.3, 61.6, 87.1, 141.3, 235.2, 432.8", \ + " 56.1, 66.2, 91.7, 146.0, 239.9, 437.5", \ + " 63.2, 72.9, 98.2, 152.3, 246.2, 443.9", \ + " 73.0, 84.2, 109.9, 163.8, 257.6, 455.2", \ + " 82.8, 95.9, 125.7, 180.7, 274.2, 471.6", \ + " 92.8, 107.9, 142.8, 205.9, 299.7, 496.6", \ + " 102.3, 119.6, 160.0, 234.1, 337.7, 533.8", \ + " 110.8, 130.7, 177.4, 263.7, 386.0, 590.7", \ + " 116.9, 139.9, 194.0, 294.1, 437.7, 675.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.1, 123.1, 185.1, 325.4, 575.7, 1108.5", \ + " 102.5, 124.7, 185.4, 325.3, 575.7, 1108.5", \ + " 107.9, 129.3, 188.4, 325.9, 575.7, 1108.5", \ + " 117.0, 137.6, 194.9, 329.4, 576.1, 1108.5", \ + " 135.3, 155.1, 209.9, 340.0, 581.1, 1108.7", \ + " 162.6, 182.5, 235.3, 360.3, 594.7, 1112.5", \ + " 197.2, 220.5, 276.2, 396.9, 622.9, 1128.4", \ + " 244.7, 270.4, 332.8, 455.5, 673.7, 1163.9", \ + " 311.5, 340.3, 409.2, 544.1, 759.2, 1232.1", \ + " 406.5, 439.5, 516.6, 665.5, 894.2, 1353.9" ); }} +timing() { /* ring osc delay aoi22v0x3, path b1 to z 52.2 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 41.6 ; */ +/* rise_resistance : 1.26 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 41.7, 66.7, 122.3, 220.7, 429.6", \ + " 39.0, 48.5, 73.5, 129.2, 227.7, 436.6", \ + " 45.1, 54.4, 79.1, 134.7, 233.2, 442.1", \ + " 51.7, 62.4, 87.0, 142.3, 240.6, 449.5", \ + " 61.4, 73.8, 101.4, 156.0, 253.9, 462.5", \ + " 73.2, 87.3, 119.4, 176.3, 273.5, 481.5", \ + " 88.6, 104.7, 141.4, 206.9, 303.7, 510.6", \ + " 108.7, 127.1, 168.6, 244.2, 349.4, 554.3", \ + " 135.5, 156.7, 204.3, 290.4, 413.0, 621.7", \ + " 171.9, 196.7, 251.7, 350.0, 491.0, 726.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.7, 96.0, 166.0, 323.4, 603.3, 1197.9", \ + " 75.2, 99.7, 167.1, 323.3, 603.3, 1197.9", \ + " 82.3, 105.7, 171.2, 324.3, 603.3, 1197.9", \ + " 93.0, 115.3, 178.5, 328.4, 603.6, 1197.9", \ + " 113.6, 134.2, 194.2, 339.3, 608.8, 1197.9", \ + " 138.9, 163.7, 220.1, 359.3, 622.0, 1201.2", \ + " 171.5, 199.6, 262.1, 394.9, 648.7, 1215.9", \ + " 217.1, 248.1, 319.9, 453.0, 696.6, 1248.5", \ + " 282.7, 317.0, 396.5, 545.1, 779.1, 1311.4", \ + " 378.0, 416.7, 504.9, 670.6, 914.0, 1425.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 40.8, 64.4, 116.7, 209.4, 406.0", \ + " 35.7, 45.0, 68.9, 121.6, 214.5, 411.3", \ + " 38.0, 48.0, 72.0, 124.9, 217.8, 414.7", \ + " 40.1, 51.0, 76.2, 129.1, 222.2, 419.1", \ + " 42.6, 54.9, 82.9, 136.8, 229.9, 426.9", \ + " 44.5, 58.8, 90.0, 148.0, 241.1, 438.1", \ + " 45.3, 62.0, 97.9, 161.9, 258.2, 455.0", \ + " 43.7, 63.3, 105.2, 177.5, 282.4, 480.4", \ + " 38.1, 61.2, 110.5, 194.2, 310.9, 519.1", \ + " 25.7, 52.8, 111.1, 209.6, 343.2, 572.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 49.1, 73.1, 137.2, 281.4, 538.0, 1083.2", \ + " 52.1, 75.1, 137.9, 281.5, 538.0, 1083.2", \ + " 56.8, 79.0, 140.7, 282.6, 538.0, 1083.2", \ + " 65.3, 85.9, 145.7, 285.7, 539.1, 1083.2", \ + " 80.0, 101.8, 157.4, 293.6, 543.6, 1083.8", \ + " 99.6, 122.5, 178.7, 308.9, 553.8, 1088.5", \ + " 129.5, 153.0, 212.8, 337.9, 574.9, 1100.7", \ + " 172.8, 197.5, 259.2, 387.8, 614.0, 1126.9", \ + " 235.4, 262.1, 327.1, 461.6, 683.7, 1178.6", \ + " 327.0, 355.6, 426.4, 567.5, 799.0, 1274.3" ); }} +timing() { /* ring osc delay aoi22v0x3, path b1 to z 67.0 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 41.6 ; */ +/* rise_resistance : 1.26 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.9, 60.8, 94.6, 169.7, 302.4, 584.0", \ + " 53.8, 66.7, 100.5, 175.7, 308.6, 590.4", \ + " 59.2, 71.8, 105.5, 180.6, 313.5, 595.3", \ + " 67.2, 79.4, 112.6, 187.5, 320.2, 601.9", \ + " 79.4, 93.2, 125.8, 200.0, 332.3, 613.8", \ + " 94.0, 109.6, 145.8, 218.7, 350.2, 631.1", \ + " 113.2, 130.7, 171.8, 248.3, 378.2, 657.7", \ + " 138.3, 157.9, 204.0, 290.9, 420.9, 698.1", \ + " 172.3, 194.4, 246.2, 344.2, 487.4, 760.9", \ + " 218.9, 244.4, 303.2, 413.3, 576.4, 859.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.0, 149.2, 242.9, 453.5, 827.0, 1620.3", \ + " 116.1, 150.1, 242.3, 453.2, 827.0, 1620.3", \ + " 121.4, 154.4, 244.7, 452.7, 826.9, 1620.3", \ + " 129.9, 161.8, 250.0, 454.7, 826.7, 1620.3", \ + " 146.9, 177.5, 262.5, 462.3, 828.1, 1620.3", \ + " 173.5, 202.6, 284.2, 478.0, 836.9, 1620.2", \ + " 208.3, 243.2, 320.9, 507.7, 857.6, 1627.9", \ + " 252.0, 290.1, 378.3, 558.3, 896.9, 1651.7", \ + " 316.0, 357.1, 455.2, 641.0, 967.8, 1702.1", \ + " 410.1, 454.8, 561.1, 767.8, 1088.6, 1797.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 43.2, 67.6, 120.5, 213.3, 409.9", \ + " 37.9, 47.6, 72.4, 125.7, 218.6, 415.4", \ + " 40.8, 50.7, 75.6, 129.0, 222.0, 418.9", \ + " 43.3, 54.4, 79.8, 133.3, 226.5, 423.4", \ + " 46.7, 58.9, 87.0, 141.0, 234.2, 431.2", \ + " 49.8, 63.6, 94.6, 152.2, 245.4, 442.4", \ + " 51.8, 67.7, 102.8, 166.4, 262.5, 459.4", \ + " 51.1, 69.6, 110.4, 182.1, 286.6, 484.6", \ + " 45.7, 67.4, 115.4, 198.5, 315.1, 523.1", \ + " 32.3, 57.8, 114.6, 212.8, 346.6, 575.7" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 63.8, 87.6, 151.5, 295.7, 552.2, 1097.3", \ + " 66.5, 89.5, 152.1, 295.7, 552.2, 1097.3", \ + " 70.9, 93.2, 154.8, 296.7, 552.2, 1097.3", \ + " 78.5, 99.7, 159.7, 299.7, 553.1, 1097.3", \ + " 95.1, 114.5, 170.9, 307.4, 557.6, 1097.9", \ + " 115.7, 137.4, 191.7, 322.4, 567.5, 1102.5", \ + " 147.0, 169.3, 227.0, 351.1, 588.4, 1114.5", \ + " 192.4, 215.5, 275.1, 401.0, 627.5, 1140.6", \ + " 257.7, 282.9, 345.3, 477.0, 697.4, 1192.4", \ + " 351.4, 379.6, 448.0, 586.0, 814.6, 1288.6" ); }} +timing() { /* ring osc delay aoi22v0x3, path b1 to z 59.4 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 41.6 ; */ +/* rise_resistance : 1.26 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.1, 53.1, 86.9, 161.9, 294.6, 576.2", \ + " 46.1, 58.9, 92.7, 167.9, 300.8, 582.5", \ + " 51.8, 64.2, 97.7, 172.8, 305.7, 587.4", \ + " 59.5, 72.0, 104.9, 179.7, 312.4, 594.1", \ + " 70.4, 85.0, 118.3, 192.2, 324.5, 605.9", \ + " 83.8, 100.3, 138.0, 211.1, 342.4, 623.2", \ + " 101.7, 120.1, 162.8, 240.8, 370.4, 649.9", \ + " 125.3, 146.1, 193.8, 282.6, 413.3, 690.3", \ + " 157.4, 181.0, 234.8, 334.8, 479.7, 753.2", \ + " 201.6, 228.9, 290.3, 402.6, 567.6, 852.2" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.3, 134.5, 228.2, 438.6, 812.0, 1604.9", \ + " 102.7, 136.2, 227.8, 438.2, 811.9, 1604.9", \ + " 108.6, 141.1, 230.6, 437.9, 811.8, 1604.9", \ + " 117.9, 149.2, 236.4, 440.1, 811.6, 1604.9", \ + " 136.0, 165.8, 249.5, 448.2, 813.3, 1604.9", \ + " 164.3, 191.8, 272.1, 464.5, 822.4, 1604.9", \ + " 194.6, 231.1, 309.6, 494.8, 843.6, 1613.0", \ + " 237.6, 276.6, 367.9, 546.3, 883.5, 1637.2", \ + " 300.9, 342.7, 442.2, 629.6, 955.2, 1688.0", \ + " 394.1, 439.6, 547.1, 755.5, 1076.6, 1784.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.8, 41.0, 64.6, 116.9, 209.6, 406.2", \ + " 36.1, 45.4, 69.4, 122.1, 214.9, 411.7", \ + " 38.5, 48.5, 72.5, 125.4, 218.3, 415.2", \ + " 40.6, 51.6, 76.8, 129.7, 222.8, 419.7", \ + " 43.1, 55.5, 83.5, 137.4, 230.5, 427.5", \ + " 44.7, 59.1, 90.5, 148.6, 241.7, 438.7", \ + " 44.6, 61.7, 98.0, 162.3, 258.8, 455.7", \ + " 41.4, 61.6, 104.5, 177.5, 282.7, 480.9", \ + " 33.1, 57.1, 107.9, 193.1, 310.7, 519.3", \ + " 16.2, 44.5, 105.0, 206.2, 341.6, 571.6" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 49.1, 73.0, 137.2, 281.4, 538.0, 1083.2", \ + " 51.8, 74.9, 137.8, 281.5, 538.0, 1083.2", \ + " 56.3, 78.7, 140.5, 282.5, 538.0, 1083.2", \ + " 64.6, 85.3, 145.3, 285.5, 539.0, 1083.2", \ + " 79.1, 100.8, 156.7, 293.1, 543.4, 1083.8", \ + " 98.4, 121.4, 177.6, 308.2, 553.4, 1088.3", \ + " 128.0, 151.9, 211.7, 337.0, 574.2, 1100.4", \ + " 171.0, 196.3, 258.4, 387.0, 613.4, 1126.5", \ + " 233.3, 261.0, 327.0, 461.5, 683.3, 1178.3", \ + " 323.8, 354.5, 427.3, 568.9, 799.9, 1274.5" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 41.6 ; */ +/* rise_resistance : 1.26 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.9, 60.8, 94.6, 169.7, 302.4, 584.0", \ + " 53.8, 66.7, 100.5, 175.7, 308.6, 590.4", \ + " 59.2, 71.8, 105.5, 180.6, 313.5, 595.3", \ + " 67.2, 79.4, 112.6, 187.5, 320.2, 601.9", \ + " 79.4, 93.2, 125.8, 200.0, 332.3, 613.8", \ + " 94.0, 109.6, 145.8, 218.7, 350.2, 631.1", \ + " 113.2, 130.7, 171.8, 248.3, 378.2, 657.7", \ + " 138.3, 157.9, 204.0, 290.9, 420.9, 698.1", \ + " 172.3, 194.4, 246.2, 344.2, 487.4, 760.9", \ + " 218.9, 244.4, 303.2, 413.3, 576.4, 859.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.0, 149.2, 242.9, 453.5, 827.0, 1620.3", \ + " 116.1, 150.1, 242.3, 453.2, 827.0, 1620.3", \ + " 121.4, 154.4, 244.7, 452.7, 826.9, 1620.3", \ + " 129.9, 161.8, 250.0, 454.7, 826.7, 1620.3", \ + " 146.9, 177.5, 262.5, 462.3, 828.1, 1620.3", \ + " 173.5, 202.6, 284.2, 478.0, 836.9, 1620.2", \ + " 208.3, 243.2, 320.9, 507.7, 857.6, 1627.9", \ + " 252.0, 290.1, 378.3, 558.3, 896.9, 1651.7", \ + " 316.0, 357.1, 455.2, 641.0, 967.8, 1702.1", \ + " 410.1, 454.8, 561.1, 767.8, 1088.6, 1797.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 43.2, 67.6, 120.5, 213.3, 409.9", \ + " 37.9, 47.6, 72.4, 125.7, 218.6, 415.4", \ + " 40.8, 50.7, 75.6, 129.0, 222.0, 418.9", \ + " 43.3, 54.4, 79.8, 133.3, 226.5, 423.4", \ + " 46.7, 58.9, 87.0, 141.0, 234.2, 431.2", \ + " 49.8, 63.6, 94.6, 152.2, 245.4, 442.4", \ + " 51.8, 67.7, 102.8, 166.4, 262.5, 459.4", \ + " 51.1, 69.6, 110.4, 182.1, 286.6, 484.6", \ + " 45.7, 67.4, 115.4, 198.5, 315.1, 523.1", \ + " 32.3, 57.8, 114.6, 212.8, 346.6, 575.7" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 63.8, 87.6, 151.5, 295.7, 552.2, 1097.3", \ + " 66.5, 89.5, 152.1, 295.7, 552.2, 1097.3", \ + " 70.9, 93.2, 154.8, 296.7, 552.2, 1097.3", \ + " 78.5, 99.7, 159.7, 299.7, 553.1, 1097.3", \ + " 95.1, 114.5, 170.9, 307.4, 557.6, 1097.9", \ + " 115.7, 137.4, 191.7, 322.4, 567.5, 1102.5", \ + " 147.0, 169.3, 227.0, 351.1, 588.4, 1114.5", \ + " 192.4, 215.5, 275.1, 401.0, 627.5, 1140.6", \ + " 257.7, 282.9, 345.3, 477.0, 697.4, 1192.4", \ + " 351.4, 379.6, 448.0, 586.0, 814.6, 1288.6" ); }} +timing() { /* ring osc delay aoi22v0x3, path b2 to z 49.6 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.0 ; */ +/* intrinsic_fall : 43.6 ; */ +/* rise_resistance : 1.27 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.3, 37.1, 62.4, 118.1, 216.5, 425.4", \ + " 34.3, 43.8, 69.0, 124.9, 223.6, 432.6", \ + " 39.7, 49.6, 74.5, 130.3, 229.0, 438.0", \ + " 45.2, 57.0, 82.3, 137.8, 236.3, 445.3", \ + " 53.3, 67.0, 96.4, 151.3, 249.5, 458.3", \ + " 63.2, 78.9, 113.0, 171.5, 268.9, 477.2", \ + " 76.0, 94.0, 133.3, 201.3, 298.9, 506.1", \ + " 92.1, 113.1, 158.2, 237.0, 344.3, 549.6", \ + " 113.1, 137.7, 190.0, 280.6, 406.3, 616.7", \ + " 140.7, 169.7, 231.2, 336.0, 481.5, 720.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.7, 85.0, 155.0, 312.4, 592.1, 1186.5", \ + " 64.6, 88.8, 156.0, 312.3, 592.1, 1186.5", \ + " 71.9, 95.0, 160.1, 313.1, 592.1, 1186.5", \ + " 82.9, 104.8, 167.5, 317.2, 592.3, 1186.5", \ + " 104.8, 124.1, 183.4, 328.1, 597.3, 1186.5", \ + " 125.9, 152.8, 209.5, 348.1, 610.6, 1189.7", \ + " 157.0, 186.4, 252.0, 384.0, 637.4, 1204.3", \ + " 201.5, 233.3, 307.5, 442.3, 685.5, 1237.0", \ + " 265.9, 300.9, 382.1, 534.0, 768.3, 1300.1", \ + " 359.4, 398.8, 488.5, 657.3, 903.4, 1414.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.2, 37.3, 61.0, 113.4, 206.0, 402.7", \ + " 33.9, 43.1, 67.0, 119.6, 212.5, 409.3", \ + " 37.7, 47.8, 71.6, 124.4, 217.3, 414.1", \ + " 41.0, 53.0, 78.1, 130.8, 223.7, 420.6", \ + " 44.8, 59.0, 89.0, 142.3, 235.1, 432.0", \ + " 48.3, 64.7, 100.1, 159.2, 251.7, 448.4", \ + " 51.2, 70.2, 111.4, 181.5, 277.2, 473.3", \ + " 52.6, 74.8, 122.5, 204.5, 314.6, 510.6", \ + " 51.3, 77.3, 132.9, 228.3, 358.2, 567.6", \ + " 45.2, 75.8, 141.0, 252.1, 404.2, 650.0" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.8, 71.3, 134.0, 275.0, 525.7, 1058.6", \ + " 52.8, 74.6, 134.9, 275.0, 525.7, 1058.6", \ + " 59.9, 80.7, 138.9, 275.9, 525.7, 1058.6", \ + " 70.7, 90.3, 146.5, 280.1, 526.1, 1058.6", \ + " 86.8, 109.4, 162.8, 291.6, 531.8, 1058.6", \ + " 106.4, 132.1, 188.7, 312.9, 546.1, 1062.8", \ + " 135.6, 163.0, 227.4, 349.8, 575.1, 1079.2", \ + " 177.1, 206.9, 276.4, 407.8, 626.3, 1115.3", \ + " 236.7, 270.1, 345.6, 490.4, 710.6, 1183.9", \ + " 323.5, 361.1, 445.4, 602.6, 842.4, 1304.9" ); }} +timing() { /* ring osc delay aoi22v0x3, path b2 to z 64.9 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.0 ; */ +/* intrinsic_fall : 43.6 ; */ +/* rise_resistance : 1.27 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 54.6, 88.7, 163.9, 296.8, 578.5", \ + " 47.2, 60.2, 94.4, 169.9, 303.0, 584.9", \ + " 52.7, 65.3, 99.2, 174.7, 307.9, 589.8", \ + " 60.3, 72.8, 106.2, 181.4, 314.4, 596.4", \ + " 70.9, 85.7, 119.2, 193.7, 326.4, 608.1", \ + " 83.7, 100.5, 138.7, 212.2, 344.0, 625.3", \ + " 100.5, 119.5, 162.9, 241.5, 371.7, 651.7", \ + " 122.3, 143.8, 192.8, 282.7, 414.1, 691.8", \ + " 151.0, 175.8, 231.5, 333.6, 479.7, 754.1", \ + " 189.5, 218.5, 282.9, 398.7, 566.1, 852.3" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.6, 134.7, 228.4, 438.7, 812.0, 1605.0", \ + " 101.8, 135.5, 227.6, 438.4, 812.0, 1605.0", \ + " 107.2, 140.0, 229.9, 437.9, 811.9, 1605.0", \ + " 115.9, 147.6, 235.3, 439.7, 811.7, 1605.0", \ + " 133.2, 163.5, 248.0, 447.3, 812.9, 1604.9", \ + " 161.3, 188.8, 269.9, 463.2, 821.6, 1604.8", \ + " 191.0, 228.1, 306.9, 493.1, 842.4, 1612.4", \ + " 233.2, 272.6, 365.0, 544.1, 882.1, 1636.3", \ + " 296.4, 338.1, 438.5, 627.0, 953.5, 1686.9", \ + " 389.5, 434.7, 542.3, 752.5, 1074.7, 1783.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 39.7, 64.2, 117.1, 209.9, 406.6", \ + " 36.1, 45.7, 70.4, 123.7, 216.6, 413.4", \ + " 40.8, 50.6, 75.2, 128.5, 221.5, 418.4", \ + " 44.9, 56.6, 81.7, 134.9, 228.0, 424.9", \ + " 50.0, 63.8, 93.2, 146.5, 239.4, 436.3", \ + " 54.8, 70.6, 105.1, 163.3, 256.0, 452.7", \ + " 59.0, 77.1, 117.1, 186.1, 281.4, 477.6", \ + " 61.4, 82.3, 128.6, 209.6, 318.8, 514.7", \ + " 60.5, 84.8, 138.8, 233.3, 362.5, 571.4", \ + " 53.7, 82.1, 145.4, 256.0, 408.1, 653.6" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.3, 85.5, 148.0, 289.0, 539.6, 1072.5", \ + " 66.8, 88.5, 148.8, 288.9, 539.6, 1072.4", \ + " 73.5, 94.2, 152.6, 289.7, 539.6, 1072.4", \ + " 83.7, 103.6, 159.9, 293.7, 540.0, 1072.4", \ + " 103.5, 122.2, 175.9, 304.9, 545.4, 1072.4", \ + " 125.3, 148.6, 201.8, 326.0, 559.5, 1076.5", \ + " 157.2, 182.2, 242.9, 363.1, 588.4, 1092.8", \ + " 202.5, 229.4, 294.9, 421.8, 639.7, 1128.7", \ + " 266.8, 297.0, 368.1, 507.9, 725.1, 1197.5", \ + " 358.7, 393.5, 473.2, 625.1, 860.0, 1319.8" ); }} +timing() { /* ring osc delay aoi22v0x3, path b2 to z 56.9 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.0 ; */ +/* intrinsic_fall : 43.6 ; */ +/* rise_resistance : 1.27 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.5, 46.7, 80.9, 156.1, 288.9, 570.6", \ + " 39.6, 52.4, 86.5, 162.1, 295.2, 577.0", \ + " 45.5, 57.7, 91.4, 166.9, 300.0, 581.9", \ + " 51.7, 65.6, 98.4, 173.6, 306.6, 588.5", \ + " 61.0, 76.9, 111.7, 185.9, 318.5, 600.3", \ + " 72.5, 90.5, 130.5, 204.5, 336.2, 617.4", \ + " 87.8, 108.2, 153.5, 234.0, 364.0, 643.9", \ + " 107.6, 130.9, 182.1, 274.2, 406.4, 684.0", \ + " 133.9, 160.9, 219.4, 323.8, 471.9, 746.4", \ + " 169.3, 201.1, 269.0, 387.6, 557.0, 844.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.6, 119.9, 213.6, 423.8, 796.9, 1589.6", \ + " 88.3, 121.6, 213.0, 423.4, 796.9, 1589.6", \ + " 94.5, 126.7, 215.8, 423.0, 796.8, 1589.6", \ + " 104.0, 135.0, 221.7, 425.1, 796.5, 1589.6", \ + " 122.7, 151.9, 235.1, 433.2, 798.1, 1589.6", \ + " 148.9, 178.4, 257.9, 449.7, 807.2, 1589.5", \ + " 176.5, 214.7, 295.6, 480.3, 828.4, 1597.5", \ + " 218.5, 258.6, 353.6, 532.1, 868.7, 1621.7", \ + " 281.4, 323.5, 425.1, 615.6, 940.8, 1672.8", \ + " 373.9, 419.6, 528.2, 740.0, 1062.7, 1769.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.4, 37.5, 61.2, 113.6, 206.2, 402.9", \ + " 34.3, 43.5, 67.4, 120.1, 212.9, 409.7", \ + " 38.3, 48.3, 72.2, 124.9, 217.8, 414.7", \ + " 41.6, 53.6, 78.7, 131.4, 224.3, 421.2", \ + " 45.3, 59.5, 89.6, 142.9, 235.7, 432.6", \ + " 48.2, 64.9, 100.5, 159.7, 252.3, 449.0", \ + " 50.1, 69.6, 111.4, 181.8, 277.7, 473.9", \ + " 49.7, 72.6, 121.4, 204.4, 314.9, 511.0", \ + " 45.5, 72.5, 129.8, 226.9, 357.8, 567.7", \ + " 34.6, 66.6, 134.2, 248.2, 402.3, 649.4" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.8, 71.3, 134.0, 275.0, 525.7, 1058.6", \ + " 52.4, 74.2, 134.7, 275.0, 525.7, 1058.6", \ + " 59.1, 80.0, 138.6, 275.8, 525.7, 1058.6", \ + " 69.7, 89.4, 145.9, 279.8, 526.0, 1058.6", \ + " 85.8, 108.3, 161.8, 291.0, 531.5, 1058.6", \ + " 105.6, 131.1, 187.6, 312.0, 545.6, 1062.6", \ + " 135.1, 162.6, 226.8, 349.0, 574.5, 1078.9", \ + " 177.2, 207.4, 276.7, 407.5, 625.8, 1114.8", \ + " 237.4, 271.6, 347.6, 491.5, 711.0, 1183.7", \ + " 324.5, 363.9, 449.7, 606.5, 844.8, 1305.8" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 50.0 ; */ +/* intrinsic_fall : 43.6 ; */ +/* rise_resistance : 1.27 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 54.6, 88.7, 163.9, 296.8, 578.5", \ + " 47.2, 60.2, 94.4, 169.9, 303.0, 584.9", \ + " 52.7, 65.3, 99.2, 174.7, 307.9, 589.8", \ + " 60.3, 72.8, 106.2, 181.4, 314.4, 596.4", \ + " 70.9, 85.7, 119.2, 193.7, 326.4, 608.1", \ + " 83.7, 100.5, 138.7, 212.2, 344.0, 625.3", \ + " 100.5, 119.5, 162.9, 241.5, 371.7, 651.7", \ + " 122.3, 143.8, 192.8, 282.7, 414.1, 691.8", \ + " 151.0, 175.8, 231.5, 333.6, 479.7, 754.1", \ + " 189.5, 218.5, 282.9, 398.7, 566.1, 852.3" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.6, 134.7, 228.4, 438.7, 812.0, 1605.0", \ + " 101.8, 135.5, 227.6, 438.4, 812.0, 1605.0", \ + " 107.2, 140.0, 229.9, 437.9, 811.9, 1605.0", \ + " 115.9, 147.6, 235.3, 439.7, 811.7, 1605.0", \ + " 133.2, 163.5, 248.0, 447.3, 812.9, 1604.9", \ + " 161.3, 188.8, 269.9, 463.2, 821.6, 1604.8", \ + " 191.0, 228.1, 306.9, 493.1, 842.4, 1612.4", \ + " 233.2, 272.6, 365.0, 544.1, 882.1, 1636.3", \ + " 296.4, 338.1, 438.5, 627.0, 953.5, 1686.9", \ + " 389.5, 434.7, 542.3, 752.5, 1074.7, 1783.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 39.7, 64.2, 117.1, 209.9, 406.6", \ + " 36.1, 45.7, 70.4, 123.7, 216.6, 413.4", \ + " 40.8, 50.6, 75.2, 128.5, 221.5, 418.4", \ + " 44.9, 56.6, 81.7, 134.9, 228.0, 424.9", \ + " 50.0, 63.8, 93.2, 146.5, 239.4, 436.3", \ + " 54.8, 70.6, 105.1, 163.3, 256.0, 452.7", \ + " 59.0, 77.1, 117.1, 186.1, 281.4, 477.6", \ + " 61.4, 82.3, 128.6, 209.6, 318.8, 514.7", \ + " 60.5, 84.8, 138.8, 233.3, 362.5, 571.4", \ + " 53.7, 82.1, 145.4, 256.0, 408.1, 653.6" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.3, 85.5, 148.0, 289.0, 539.6, 1072.5", \ + " 66.8, 88.5, 148.8, 288.9, 539.6, 1072.4", \ + " 73.5, 94.2, 152.6, 289.7, 539.6, 1072.4", \ + " 83.7, 103.6, 159.9, 293.7, 540.0, 1072.4", \ + " 103.5, 122.2, 175.9, 304.9, 545.4, 1072.4", \ + " 125.3, 148.6, 201.8, 326.0, 559.5, 1076.5", \ + " 157.2, 182.2, 242.9, 363.1, 588.4, 1092.8", \ + " 202.5, 229.4, 294.9, 421.8, 639.7, 1128.7", \ + " 266.8, 297.0, 368.1, 507.9, 725.1, 1197.5", \ + " 358.7, 393.5, 473.2, 625.1, 860.0, 1319.8" ); }} +} +} +cell(aoi22v0x4) { /* 2008-01-06:07h27 characteristic delay 14.9 ps */ +area : 23 ; /* tracks */ +cell_leakage_power : 3742 ; /* aoi22v0x4 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v0x4 FO4 effort 1.90 logical effort 1.81 */ +direction : input ; +capacitance : 18.14 ; +rise_capacitance : 18.05 ; +fall_capacitance : 18.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v0x4 */ +} +pin(a2) { /* aoi22v0x4 FO4 effort 1.82 logical effort 1.73 */ +direction : input ; +capacitance : 17.28 ; +rise_capacitance : 17.75 ; +fall_capacitance : 16.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v0x4 */ +} +pin(b1) { /* aoi22v0x4 FO4 effort 1.55 logical effort 1.72 */ +direction : input ; +capacitance : 17.44 ; +rise_capacitance : 16.16 ; +fall_capacitance : 18.73 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v0x4 */ +} +pin(b2) { /* aoi22v0x4 FO4 effort 1.51 logical effort 1.68 */ +direction : input ; +capacitance : 17.07 ; +rise_capacitance : 16.36 ; +fall_capacitance : 17.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 405 ; +max_fanout : 10 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v0x4 81.23 nW/MHz */ +related_pin : "a1" ; +power(pwr_x4_520_5x10) { +values( " 41.66, 41.71, 41.75, 41.74, 41.67", \ + " 40.81, 40.92, 41.07, 41.18, 41.17", \ + " 40.50, 40.62, 40.81, 40.97, 41.00", \ + " 40.31, 40.42, 40.61, 40.80, 40.87", \ + " 40.41, 40.45, 40.55, 40.70, 40.77", \ + " 41.29, 41.17, 41.02, 40.94, 40.89", \ + " 43.80, 43.36, 42.66, 42.00, 41.54", \ + " 49.21, 48.28, 46.64, 44.81, 43.42", \ + " 59.55, 57.98, 54.96, 51.17, 48.01", \ + " 77.32, 75.09, 70.43, 63.81, 57.65" ); }} +internal_power(a2_z_n) { /* aoi22v0x4 73.06 nW/MHz */ +related_pin : "a2" ; +power(pwr_x4_520_5x10) { +values( " 37.51, 37.67, 37.84, 37.92, 37.89", \ + " 36.50, 36.73, 37.08, 37.35, 37.44", \ + " 36.18, 36.40, 36.77, 37.11, 37.26", \ + " 36.04, 36.20, 36.53, 36.90, 37.10", \ + " 36.31, 36.34, 36.49, 36.76, 36.97", \ + " 37.41, 37.24, 37.04, 37.00, 37.05", \ + " 40.14, 39.63, 38.83, 38.11, 37.69", \ + " 45.61, 44.61, 42.88, 40.98, 39.58", \ + " 55.70, 54.08, 51.02, 47.24, 44.11", \ + " 72.86, 70.56, 65.87, 59.40, 53.45" ); }} +internal_power(b1_z_n) { /* aoi22v0x4 47.21 nW/MHz */ +related_pin : "b1" ; +power(pwr_x4_520_5x10) { +values( " 23.61, 23.94, 24.28, 24.47, 24.52", \ + " 22.76, 23.16, 23.75, 24.22, 24.43", \ + " 22.72, 23.04, 23.58, 24.09, 24.37", \ + " 23.09, 23.24, 23.61, 24.06, 24.34", \ + " 24.36, 24.20, 24.14, 24.29, 24.44", \ + " 26.95, 26.37, 25.65, 25.17, 24.96", \ + " 31.73, 30.58, 28.86, 27.29, 26.30", \ + " 39.71, 37.91, 34.85, 31.61, 29.26", \ + " 52.67, 50.18, 45.49, 39.83, 35.28", \ + " 73.28, 70.11, 63.65, 54.78, 46.86" ); }} +internal_power(b2_z_n) { /* aoi22v0x4 39.70 nW/MHz */ +related_pin : "b2" ; +power(pwr_x4_520_5x10) { +values( " 19.52, 19.98, 20.48, 20.80, 20.90", \ + " 18.79, 19.24, 19.95, 20.56, 20.86", \ + " 18.88, 19.19, 19.80, 20.43, 20.80", \ + " 19.38, 19.48, 19.85, 20.38, 20.76", \ + " 20.81, 20.57, 20.44, 20.61, 20.85", \ + " 23.50, 22.83, 21.99, 21.48, 21.33", \ + " 28.28, 27.04, 25.22, 23.60, 22.64", \ + " 36.10, 34.19, 31.08, 27.85, 25.54", \ + " 48.69, 46.06, 41.31, 35.81, 31.41", \ + " 68.64, 65.26, 58.64, 50.05, 42.54" ); }} +timing() { /* ring osc delay aoi22v0x4, path a1 to z 81.6 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.0 ; */ +/* intrinsic_fall : 61.2 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 63.7, 89.8, 147.8, 250.6, 468.6", \ + " 61.4, 71.5, 97.8, 155.9, 258.8, 476.9", \ + " 67.3, 77.4, 103.6, 161.8, 264.7, 482.9", \ + " 74.7, 84.9, 111.2, 169.4, 272.3, 490.5", \ + " 85.4, 97.1, 124.1, 182.4, 285.3, 503.5", \ + " 96.8, 110.4, 141.6, 200.8, 303.7, 521.9", \ + " 110.5, 126.4, 162.6, 228.5, 331.4, 549.4", \ + " 128.3, 146.5, 188.2, 263.9, 372.8, 590.3", \ + " 153.4, 174.2, 221.7, 308.1, 432.0, 652.7", \ + " 189.3, 213.2, 267.4, 365.7, 507.0, 748.6" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 100.2, 126.5, 197.7, 359.8, 649.4, 1265.7", \ + " 100.7, 126.8, 197.9, 359.8, 649.4, 1265.7", \ + " 102.7, 128.2, 198.5, 360.0, 649.5, 1265.7", \ + " 107.6, 132.6, 201.6, 361.1, 649.6, 1265.7", \ + " 119.2, 143.2, 210.4, 367.0, 651.7, 1265.8", \ + " 140.5, 162.8, 227.2, 380.2, 660.2, 1267.5", \ + " 174.6, 198.4, 258.7, 406.1, 679.7, 1277.7", \ + " 222.4, 247.8, 312.7, 452.1, 716.8, 1302.9", \ + " 294.5, 321.1, 389.9, 531.5, 783.9, 1353.5", \ + " 400.6, 428.7, 501.2, 653.3, 899.7, 1447.5" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.7, 64.4, 89.4, 143.6, 238.4, 438.4", \ + " 59.0, 68.8, 93.9, 148.2, 243.1, 443.1", \ + " 62.0, 71.9, 97.0, 151.4, 246.3, 446.4", \ + " 66.2, 76.1, 101.2, 155.7, 250.7, 450.8", \ + " 72.3, 83.0, 108.9, 163.4, 258.4, 458.6", \ + " 79.1, 90.7, 118.8, 174.7, 269.6, 469.7", \ + " 86.3, 99.4, 130.5, 190.9, 286.9, 486.8", \ + " 92.9, 108.0, 143.2, 210.0, 312.3, 512.2", \ + " 97.7, 115.2, 155.9, 231.6, 344.1, 551.0", \ + " 98.5, 118.9, 166.6, 254.4, 381.1, 606.7" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 94.7, 118.9, 183.7, 329.8, 589.8, 1142.6", \ + " 96.1, 120.0, 184.1, 329.8, 589.8, 1142.6", \ + " 99.1, 122.6, 186.2, 330.7, 589.9, 1142.6", \ + " 104.6, 127.6, 190.1, 333.2, 590.7, 1142.6", \ + " 117.5, 139.4, 199.8, 340.0, 594.7, 1143.2", \ + " 138.6, 160.7, 218.1, 353.8, 603.9, 1147.4", \ + " 168.5, 192.0, 250.9, 380.6, 623.7, 1158.8", \ + " 211.0, 236.2, 298.5, 427.3, 661.1, 1183.9", \ + " 272.0, 299.5, 366.4, 502.0, 728.2, 1234.0", \ + " 359.3, 390.4, 463.8, 608.8, 841.7, 1327.3" ); }} +timing() { /* ring osc delay aoi22v0x4, path a1 to z 91.5 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.0 ; */ +/* intrinsic_fall : 61.2 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 82.2, 115.7, 190.6, 323.2, 604.8", \ + " 77.1, 89.9, 123.6, 198.6, 331.4, 613.0", \ + " 82.7, 95.6, 129.3, 204.3, 337.1, 618.8", \ + " 89.7, 102.6, 136.3, 211.4, 344.2, 625.9", \ + " 100.8, 114.0, 147.8, 222.8, 355.7, 637.5", \ + " 112.9, 127.8, 163.6, 238.6, 371.4, 653.1", \ + " 127.7, 144.5, 184.4, 262.3, 394.8, 676.3", \ + " 147.1, 165.9, 210.3, 295.9, 429.8, 710.7", \ + " 174.6, 195.7, 245.0, 339.4, 483.3, 763.4", \ + " 214.1, 238.1, 293.5, 397.9, 555.7, 845.4" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.8, 156.9, 251.4, 465.5, 847.2, 1658.8", \ + " 122.2, 157.2, 251.5, 465.5, 847.2, 1658.8", \ + " 123.3, 157.9, 251.9, 465.6, 847.3, 1658.8", \ + " 127.2, 161.2, 253.9, 466.1, 847.3, 1658.8", \ + " 136.1, 169.4, 260.5, 470.1, 848.1, 1658.9", \ + " 152.7, 184.6, 273.4, 479.7, 853.7, 1659.2", \ + " 183.4, 214.0, 298.3, 499.6, 867.9, 1665.4", \ + " 227.0, 259.9, 343.8, 536.6, 896.4, 1683.0", \ + " 294.7, 328.5, 416.4, 603.4, 950.6, 1721.2", \ + " 397.5, 432.2, 522.5, 715.9, 1048.1, 1796.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 60.7, 86.4, 141.2, 236.3, 436.5", \ + " 54.6, 64.9, 90.8, 145.7, 240.8, 441.0", \ + " 57.7, 68.0, 93.9, 148.8, 244.0, 444.2", \ + " 62.0, 72.3, 98.1, 153.1, 248.3, 448.6", \ + " 68.1, 79.2, 105.8, 160.8, 256.0, 456.3", \ + " 74.8, 86.9, 115.6, 172.0, 267.2, 467.5", \ + " 81.8, 95.3, 127.0, 188.1, 284.4, 484.5", \ + " 87.7, 103.1, 139.1, 206.7, 309.6, 509.8", \ + " 91.3, 109.1, 150.7, 227.6, 340.9, 548.5", \ + " 90.2, 111.0, 159.6, 248.9, 377.0, 603.6" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 103.9, 127.8, 192.0, 337.5, 597.0, 1149.4", \ + " 105.7, 129.1, 192.6, 337.6, 597.0, 1149.4", \ + " 109.0, 132.0, 194.7, 338.5, 597.2, 1149.4", \ + " 115.2, 137.5, 199.0, 341.1, 598.1, 1149.5", \ + " 129.1, 150.3, 209.4, 348.3, 602.2, 1150.2", \ + " 151.9, 172.6, 228.6, 362.8, 611.8, 1154.5", \ + " 184.2, 206.3, 262.7, 390.6, 632.3, 1166.3", \ + " 230.3, 253.6, 313.0, 438.9, 670.7, 1191.9", \ + " 296.6, 321.7, 384.7, 516.2, 739.6, 1243.1", \ + " 391.5, 419.6, 488.0, 627.5, 856.1, 1338.4" ); }} +timing() { /* ring osc delay aoi22v0x4, path a1 to z 83.5 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.0 ; */ +/* intrinsic_fall : 61.2 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 74.5, 108.1, 182.9, 315.5, 597.0", \ + " 69.4, 82.3, 116.0, 190.9, 323.6, 605.2", \ + " 75.0, 87.9, 121.6, 196.6, 329.4, 611.0", \ + " 82.0, 94.9, 128.6, 203.7, 336.5, 618.2", \ + " 92.3, 106.2, 140.1, 215.1, 347.9, 629.7", \ + " 103.2, 118.9, 155.9, 230.9, 363.6, 645.4", \ + " 116.8, 134.4, 175.7, 254.6, 387.1, 668.6", \ + " 134.8, 154.6, 200.5, 287.6, 422.1, 703.0", \ + " 160.6, 183.0, 234.2, 330.3, 475.4, 755.7", \ + " 198.2, 223.7, 281.5, 387.9, 547.1, 837.7" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 107.9, 142.8, 237.1, 450.9, 832.3, 1643.7", \ + " 108.4, 143.2, 237.2, 450.9, 832.3, 1643.7", \ + " 109.9, 144.1, 237.7, 451.0, 832.4, 1643.7", \ + " 114.2, 147.8, 239.9, 451.6, 832.4, 1643.7", \ + " 123.9, 156.5, 246.8, 455.8, 833.3, 1643.7", \ + " 141.9, 172.5, 260.2, 465.7, 839.2, 1644.1", \ + " 172.2, 203.4, 285.9, 486.1, 853.6, 1650.5", \ + " 215.8, 248.5, 332.7, 523.7, 882.6, 1668.3", \ + " 284.0, 317.4, 404.9, 591.6, 937.4, 1706.9", \ + " 387.2, 421.5, 511.2, 704.5, 1035.7, 1782.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 57.0, 82.6, 137.4, 232.5, 432.7", \ + " 50.9, 61.2, 86.9, 141.8, 237.0, 437.3", \ + " 54.1, 64.3, 90.0, 145.0, 240.2, 440.5", \ + " 58.1, 68.6, 94.3, 149.3, 244.5, 444.9", \ + " 63.6, 75.0, 101.9, 157.0, 252.2, 452.6", \ + " 69.5, 82.0, 111.3, 168.2, 263.4, 463.7", \ + " 75.2, 89.4, 122.1, 184.0, 280.6, 480.7", \ + " 79.6, 96.0, 133.4, 202.2, 305.7, 506.1", \ + " 81.2, 100.3, 143.9, 222.4, 336.6, 544.7", \ + " 77.7, 100.1, 151.1, 242.7, 372.1, 599.6" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 89.5, 113.3, 177.6, 323.2, 582.8, 1135.2", \ + " 91.2, 114.6, 178.2, 323.3, 582.8, 1135.2", \ + " 94.6, 117.5, 180.3, 324.2, 582.9, 1135.2", \ + " 100.7, 123.0, 184.6, 326.9, 583.9, 1135.3", \ + " 114.8, 135.9, 195.0, 334.0, 588.0, 1136.0", \ + " 136.2, 158.1, 214.3, 348.6, 597.6, 1140.3", \ + " 166.8, 190.0, 248.4, 376.4, 618.0, 1152.1", \ + " 210.7, 235.5, 297.0, 424.7, 656.5, 1177.7", \ + " 274.3, 301.2, 366.9, 501.0, 725.5, 1228.9", \ + " 365.7, 396.0, 468.0, 610.7, 841.6, 1324.2" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 79.0 ; */ +/* intrinsic_fall : 61.2 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.4, 82.2, 115.7, 190.6, 323.2, 604.8", \ + " 77.1, 89.9, 123.6, 198.6, 331.4, 613.0", \ + " 82.7, 95.6, 129.3, 204.3, 337.1, 618.8", \ + " 89.7, 102.6, 136.3, 211.4, 344.2, 625.9", \ + " 100.8, 114.0, 147.8, 222.8, 355.7, 637.5", \ + " 112.9, 127.8, 163.6, 238.6, 371.4, 653.1", \ + " 127.7, 144.5, 184.4, 262.3, 394.8, 676.3", \ + " 147.1, 165.9, 210.3, 295.9, 429.8, 710.7", \ + " 174.6, 195.7, 245.0, 339.4, 483.3, 763.4", \ + " 214.1, 238.1, 293.5, 397.9, 555.7, 845.4" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.8, 156.9, 251.4, 465.5, 847.2, 1658.8", \ + " 122.2, 157.2, 251.5, 465.5, 847.2, 1658.8", \ + " 123.3, 157.9, 251.9, 465.6, 847.3, 1658.8", \ + " 127.2, 161.2, 253.9, 466.1, 847.3, 1658.8", \ + " 136.1, 169.4, 260.5, 470.1, 848.1, 1658.9", \ + " 152.7, 184.6, 273.4, 479.7, 853.7, 1659.2", \ + " 183.4, 214.0, 298.3, 499.6, 867.9, 1665.4", \ + " 227.0, 259.9, 343.8, 536.6, 896.4, 1683.0", \ + " 294.7, 328.5, 416.4, 603.4, 950.6, 1721.2", \ + " 397.5, 432.2, 522.5, 715.9, 1048.1, 1796.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 60.7, 86.4, 141.2, 236.3, 436.5", \ + " 54.6, 64.9, 90.8, 145.7, 240.8, 441.0", \ + " 57.7, 68.0, 93.9, 148.8, 244.0, 444.2", \ + " 62.0, 72.3, 98.1, 153.1, 248.3, 448.6", \ + " 68.1, 79.2, 105.8, 160.8, 256.0, 456.3", \ + " 74.8, 86.9, 115.6, 172.0, 267.2, 467.5", \ + " 81.8, 95.3, 127.0, 188.1, 284.4, 484.5", \ + " 87.7, 103.1, 139.1, 206.7, 309.6, 509.8", \ + " 91.3, 109.1, 150.7, 227.6, 340.9, 548.5", \ + " 90.2, 111.0, 159.6, 248.9, 377.0, 603.6" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 103.9, 127.8, 192.0, 337.5, 597.0, 1149.4", \ + " 105.7, 129.1, 192.6, 337.6, 597.0, 1149.4", \ + " 109.0, 132.0, 194.7, 338.5, 597.2, 1149.4", \ + " 115.2, 137.5, 199.0, 341.1, 598.1, 1149.5", \ + " 129.1, 150.3, 209.4, 348.3, 602.2, 1150.2", \ + " 151.9, 172.6, 228.6, 362.8, 611.8, 1154.5", \ + " 184.2, 206.3, 262.7, 390.6, 632.3, 1166.3", \ + " 230.3, 253.6, 313.0, 438.9, 670.7, 1191.9", \ + " 296.6, 321.7, 384.7, 516.2, 739.6, 1243.1", \ + " 391.5, 419.6, 488.0, 627.5, 856.1, 1338.4" ); }} +timing() { /* ring osc delay aoi22v0x4, path a2 to z 78.8 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.4 ; */ +/* intrinsic_fall : 62.7 ; */ +/* rise_resistance : 0.97 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.5, 58.8, 85.1, 143.3, 246.1, 464.2", \ + " 55.8, 66.2, 92.8, 151.3, 254.3, 472.5", \ + " 61.5, 71.9, 98.5, 157.1, 260.1, 478.4", \ + " 68.6, 79.2, 105.9, 164.5, 267.7, 486.0", \ + " 77.9, 90.5, 118.6, 177.2, 280.5, 498.9", \ + " 87.8, 102.6, 135.3, 195.4, 298.6, 517.1", \ + " 99.7, 116.9, 155.1, 222.8, 326.2, 544.5", \ + " 114.5, 134.6, 178.8, 257.0, 367.3, 585.2", \ + " 134.5, 158.0, 209.3, 299.3, 425.5, 647.3", \ + " 162.5, 189.9, 249.6, 353.4, 498.3, 742.6" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 89.1, 115.3, 186.5, 348.6, 638.1, 1254.2", \ + " 89.8, 115.8, 186.7, 348.6, 638.1, 1254.2", \ + " 92.1, 117.4, 187.3, 348.8, 638.1, 1254.2", \ + " 97.6, 122.0, 190.5, 349.8, 638.2, 1254.2", \ + " 110.0, 133.2, 199.6, 355.7, 640.2, 1254.2", \ + " 132.2, 153.5, 216.8, 369.1, 648.7, 1255.8", \ + " 164.9, 188.8, 248.8, 395.2, 668.3, 1266.0", \ + " 211.0, 236.7, 302.5, 441.5, 705.6, 1291.1", \ + " 281.6, 308.2, 377.9, 521.1, 772.9, 1341.9", \ + " 386.3, 414.2, 486.9, 641.1, 888.7, 1436.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.0, 60.7, 85.7, 139.9, 234.7, 434.7", \ + " 56.6, 66.5, 91.5, 145.9, 240.7, 440.8", \ + " 61.3, 71.1, 96.2, 150.6, 245.5, 445.5", \ + " 68.0, 77.6, 102.6, 157.0, 251.9, 451.9", \ + " 78.1, 89.0, 114.3, 168.5, 263.3, 463.3", \ + " 88.3, 101.0, 130.4, 185.4, 279.9, 479.7", \ + " 98.8, 113.7, 148.1, 210.9, 305.4, 504.7", \ + " 109.3, 126.4, 166.4, 240.1, 343.6, 542.0", \ + " 119.4, 139.1, 185.3, 271.1, 393.2, 599.1", \ + " 127.8, 150.7, 204.2, 303.6, 446.7, 684.6" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 92.7, 116.4, 179.9, 322.7, 577.0, 1117.3", \ + " 94.3, 117.5, 180.1, 322.8, 577.0, 1117.3", \ + " 98.9, 121.5, 182.6, 323.2, 577.0, 1117.3", \ + " 107.2, 129.0, 188.5, 326.3, 577.2, 1117.3", \ + " 124.1, 145.2, 202.5, 336.1, 581.7, 1117.4", \ + " 149.4, 171.1, 226.5, 355.4, 594.6, 1120.7", \ + " 181.1, 206.4, 265.5, 390.5, 621.8, 1135.8", \ + " 224.4, 252.6, 319.2, 447.0, 670.9, 1170.2", \ + " 285.4, 317.1, 391.1, 532.4, 753.8, 1236.6", \ + " 372.1, 408.5, 491.7, 648.4, 884.8, 1355.4" ); }} +timing() { /* ring osc delay aoi22v0x4, path a2 to z 88.8 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.4 ; */ +/* intrinsic_fall : 62.7 ; */ +/* rise_resistance : 0.97 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.9, 75.9, 109.8, 184.7, 317.5, 599.1", \ + " 70.1, 83.2, 117.3, 192.7, 325.6, 607.4", \ + " 75.5, 88.6, 122.7, 198.2, 331.3, 613.1", \ + " 82.2, 95.4, 129.5, 205.0, 338.2, 620.1", \ + " 92.5, 106.5, 140.7, 216.2, 349.4, 631.5", \ + " 103.4, 119.2, 156.3, 231.7, 364.9, 647.0", \ + " 116.7, 134.5, 176.0, 255.2, 388.1, 670.1", \ + " 133.7, 153.8, 200.3, 287.9, 422.8, 704.2", \ + " 156.7, 179.9, 232.4, 329.7, 475.6, 756.5", \ + " 188.8, 215.7, 276.0, 385.1, 546.1, 837.9" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 107.4, 142.4, 236.8, 450.8, 832.3, 1643.7", \ + " 107.9, 142.8, 236.9, 450.8, 832.3, 1643.7", \ + " 109.3, 143.6, 237.3, 450.9, 832.3, 1643.7", \ + " 113.6, 147.2, 239.3, 451.4, 832.4, 1643.7", \ + " 123.1, 155.8, 246.2, 455.3, 833.1, 1643.7", \ + " 140.8, 171.6, 259.5, 465.1, 838.7, 1644.0", \ + " 171.0, 202.2, 284.8, 485.2, 852.9, 1650.1", \ + " 213.3, 246.4, 331.0, 522.4, 881.6, 1667.7", \ + " 279.7, 313.4, 401.9, 589.4, 936.0, 1706.0", \ + " 381.4, 415.7, 505.9, 701.2, 1033.5, 1781.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 57.0, 82.7, 137.5, 232.6, 432.8", \ + " 52.3, 62.6, 88.5, 143.3, 238.4, 438.7", \ + " 57.1, 67.3, 93.1, 148.0, 243.1, 443.4", \ + " 64.1, 73.9, 99.5, 154.4, 249.5, 449.8", \ + " 74.1, 85.4, 111.3, 165.9, 260.9, 461.1", \ + " 84.1, 97.2, 127.2, 182.7, 277.5, 477.5", \ + " 94.3, 109.4, 144.5, 208.0, 302.9, 502.4", \ + " 104.1, 121.5, 162.1, 236.7, 341.0, 539.6", \ + " 113.1, 133.0, 179.9, 266.8, 389.9, 596.6", \ + " 119.8, 142.9, 197.1, 297.9, 442.3, 681.5" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.8, 125.2, 188.0, 330.2, 583.9, 1123.8", \ + " 104.2, 126.7, 188.3, 330.2, 583.9, 1123.8", \ + " 109.5, 131.2, 191.2, 330.7, 583.9, 1123.8", \ + " 118.5, 139.5, 197.6, 334.1, 584.2, 1123.9", \ + " 136.7, 156.9, 212.5, 344.5, 589.1, 1124.0", \ + " 164.2, 184.1, 237.7, 364.6, 602.5, 1127.6", \ + " 199.0, 222.4, 278.5, 401.0, 630.4, 1143.2", \ + " 246.6, 272.5, 335.4, 459.5, 680.8, 1178.2", \ + " 313.6, 342.6, 412.0, 548.3, 766.0, 1245.9", \ + " 408.8, 442.0, 519.7, 669.9, 900.8, 1367.0" ); }} +timing() { /* ring osc delay aoi22v0x4, path a2 to z 80.3 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.4 ; */ +/* intrinsic_fall : 62.7 ; */ +/* rise_resistance : 0.97 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.1, 68.3, 102.1, 177.0, 309.7, 591.3", \ + " 62.2, 75.5, 109.6, 184.9, 317.9, 599.6", \ + " 67.6, 80.8, 115.0, 190.5, 323.5, 605.3", \ + " 74.3, 87.6, 121.7, 197.3, 330.4, 612.4", \ + " 83.4, 98.4, 133.0, 208.4, 341.7, 623.7", \ + " 93.1, 109.9, 148.3, 224.0, 357.1, 639.3", \ + " 105.0, 123.9, 167.0, 247.5, 380.3, 662.3", \ + " 120.1, 141.8, 190.2, 279.5, 415.1, 696.4", \ + " 140.9, 166.1, 221.1, 320.4, 467.6, 748.8", \ + " 170.4, 199.6, 263.1, 374.8, 537.3, 830.2" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 93.4, 128.2, 222.4, 436.1, 817.4, 1628.5", \ + " 94.2, 128.7, 222.6, 436.1, 817.4, 1628.5", \ + " 96.1, 129.8, 223.0, 436.2, 817.4, 1628.5", \ + " 100.9, 133.9, 225.3, 436.8, 817.5, 1628.5", \ + " 111.4, 143.1, 232.6, 441.0, 818.3, 1628.5", \ + " 130.8, 159.9, 246.4, 451.1, 824.1, 1628.9", \ + " 159.8, 191.4, 272.6, 471.7, 838.6, 1635.2", \ + " 202.2, 234.9, 320.2, 509.6, 867.8, 1653.0", \ + " 269.4, 302.3, 390.3, 577.6, 922.7, 1691.7", \ + " 371.8, 405.3, 494.6, 689.6, 1021.1, 1767.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 53.3, 78.9, 133.7, 228.8, 429.1", \ + " 48.7, 58.9, 84.6, 139.5, 234.7, 434.9", \ + " 53.5, 63.6, 89.3, 144.2, 239.4, 439.6", \ + " 60.2, 70.3, 95.7, 150.5, 245.7, 446.0", \ + " 69.0, 80.9, 107.4, 162.0, 257.1, 457.3", \ + " 77.7, 91.6, 122.7, 178.9, 273.7, 473.7", \ + " 86.3, 102.5, 139.0, 203.9, 299.2, 498.7", \ + " 94.4, 113.1, 155.5, 231.7, 337.2, 535.9", \ + " 101.3, 122.8, 171.9, 260.8, 385.4, 592.8", \ + " 105.5, 130.4, 187.4, 290.6, 436.8, 677.4" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 87.5, 110.9, 173.9, 316.2, 569.9, 1109.9", \ + " 89.8, 112.3, 174.1, 316.1, 569.9, 1109.9", \ + " 95.1, 116.9, 177.1, 316.7, 569.9, 1109.9", \ + " 104.0, 125.2, 183.5, 320.1, 570.3, 1109.9", \ + " 122.0, 142.4, 198.3, 330.5, 575.1, 1110.0", \ + " 147.1, 169.6, 223.5, 350.6, 588.5, 1113.7", \ + " 179.4, 204.7, 263.9, 386.9, 616.5, 1129.2", \ + " 224.2, 252.3, 318.3, 445.1, 666.9, 1164.3", \ + " 287.7, 319.3, 392.6, 532.5, 751.8, 1232.0", \ + " 378.5, 414.9, 497.4, 651.9, 886.1, 1353.1" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 72.4 ; */ +/* intrinsic_fall : 62.7 ; */ +/* rise_resistance : 0.97 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.9, 75.9, 109.8, 184.7, 317.5, 599.1", \ + " 70.1, 83.2, 117.3, 192.7, 325.6, 607.4", \ + " 75.5, 88.6, 122.7, 198.2, 331.3, 613.1", \ + " 82.2, 95.4, 129.5, 205.0, 338.2, 620.1", \ + " 92.5, 106.5, 140.7, 216.2, 349.4, 631.5", \ + " 103.4, 119.2, 156.3, 231.7, 364.9, 647.0", \ + " 116.7, 134.5, 176.0, 255.2, 388.1, 670.1", \ + " 133.7, 153.8, 200.3, 287.9, 422.8, 704.2", \ + " 156.7, 179.9, 232.4, 329.7, 475.6, 756.5", \ + " 188.8, 215.7, 276.0, 385.1, 546.1, 837.9" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 107.4, 142.4, 236.8, 450.8, 832.3, 1643.7", \ + " 107.9, 142.8, 236.9, 450.8, 832.3, 1643.7", \ + " 109.3, 143.6, 237.3, 450.9, 832.3, 1643.7", \ + " 113.6, 147.2, 239.3, 451.4, 832.4, 1643.7", \ + " 123.1, 155.8, 246.2, 455.3, 833.1, 1643.7", \ + " 140.8, 171.6, 259.5, 465.1, 838.7, 1644.0", \ + " 171.0, 202.2, 284.8, 485.2, 852.9, 1650.1", \ + " 213.3, 246.4, 331.0, 522.4, 881.6, 1667.7", \ + " 279.7, 313.4, 401.9, 589.4, 936.0, 1706.0", \ + " 381.4, 415.7, 505.9, 701.2, 1033.5, 1781.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 57.0, 82.7, 137.5, 232.6, 432.8", \ + " 52.3, 62.6, 88.5, 143.3, 238.4, 438.7", \ + " 57.1, 67.3, 93.1, 148.0, 243.1, 443.4", \ + " 64.1, 73.9, 99.5, 154.4, 249.5, 449.8", \ + " 74.1, 85.4, 111.3, 165.9, 260.9, 461.1", \ + " 84.1, 97.2, 127.2, 182.7, 277.5, 477.5", \ + " 94.3, 109.4, 144.5, 208.0, 302.9, 502.4", \ + " 104.1, 121.5, 162.1, 236.7, 341.0, 539.6", \ + " 113.1, 133.0, 179.9, 266.8, 389.9, 596.6", \ + " 119.8, 142.9, 197.1, 297.9, 442.3, 681.5" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.8, 125.2, 188.0, 330.2, 583.9, 1123.8", \ + " 104.2, 126.7, 188.3, 330.2, 583.9, 1123.8", \ + " 109.5, 131.2, 191.2, 330.7, 583.9, 1123.8", \ + " 118.5, 139.5, 197.6, 334.1, 584.2, 1123.9", \ + " 136.7, 156.9, 212.5, 344.5, 589.1, 1124.0", \ + " 164.2, 184.1, 237.7, 364.6, 602.5, 1127.6", \ + " 199.0, 222.4, 278.5, 401.0, 630.4, 1143.2", \ + " 246.6, 272.5, 335.4, 459.5, 680.8, 1178.2", \ + " 313.6, 342.6, 412.0, 548.3, 766.0, 1245.9", \ + " 408.8, 442.0, 519.7, 669.9, 900.8, 1367.0" ); }} +timing() { /* ring osc delay aoi22v0x4, path b1 to z 52.7 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.4 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.4, 42.0, 67.0, 122.6, 221.0, 429.8", \ + " 39.3, 48.8, 73.8, 129.5, 228.0, 436.9", \ + " 45.4, 54.6, 79.4, 135.0, 233.4, 442.3", \ + " 52.1, 62.7, 87.3, 142.5, 240.9, 449.7", \ + " 61.8, 74.2, 101.6, 156.2, 254.2, 462.8", \ + " 73.5, 87.7, 119.6, 176.5, 273.7, 481.8", \ + " 89.0, 105.0, 141.6, 207.2, 303.9, 510.8", \ + " 109.0, 127.3, 168.9, 244.4, 349.6, 554.5", \ + " 135.6, 156.8, 204.3, 290.5, 413.1, 621.9", \ + " 171.7, 196.5, 251.5, 349.9, 491.0, 726.4" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.6, 96.8, 166.8, 324.3, 604.2, 1198.8", \ + " 76.0, 100.5, 168.0, 324.2, 604.2, 1198.8", \ + " 83.0, 106.5, 172.0, 325.2, 604.1, 1198.8", \ + " 93.7, 116.1, 179.3, 329.3, 604.4, 1198.8", \ + " 114.3, 135.0, 195.0, 340.1, 609.6, 1198.7", \ + " 139.9, 164.5, 220.9, 360.1, 622.9, 1202.1", \ + " 172.7, 200.7, 262.9, 395.7, 649.6, 1216.8", \ + " 218.5, 249.4, 321.0, 454.0, 697.5, 1249.4", \ + " 284.4, 318.6, 397.9, 546.2, 780.1, 1312.3", \ + " 380.2, 418.7, 506.7, 672.2, 915.3, 1426.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.2, 41.5, 65.4, 118.4, 212.3, 411.5", \ + " 36.3, 45.6, 69.9, 123.3, 217.4, 416.8", \ + " 38.7, 48.7, 73.0, 126.5, 220.8, 420.2", \ + " 40.8, 51.8, 77.2, 130.8, 225.1, 424.7", \ + " 43.4, 55.8, 84.0, 138.5, 232.8, 432.4", \ + " 45.6, 59.8, 91.2, 149.7, 244.0, 443.6", \ + " 46.5, 63.2, 99.3, 163.8, 261.2, 460.6", \ + " 45.3, 64.9, 106.9, 179.6, 285.5, 485.9", \ + " 40.2, 63.3, 112.7, 196.7, 314.4, 524.7", \ + " 28.4, 55.6, 113.9, 212.8, 347.2, 578.2" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 50.7, 75.0, 139.9, 286.1, 546.1, 1098.6", \ + " 53.6, 77.0, 140.6, 286.1, 546.1, 1098.6", \ + " 58.2, 80.8, 143.4, 287.2, 546.1, 1098.6", \ + " 66.6, 87.6, 148.4, 290.3, 547.1, 1098.6", \ + " 81.5, 103.3, 159.9, 298.1, 551.6, 1099.1", \ + " 101.1, 124.3, 181.0, 313.3, 561.6, 1103.7", \ + " 131.1, 154.9, 215.2, 342.0, 582.5, 1115.7", \ + " 174.6, 199.4, 261.7, 391.6, 621.4, 1141.6", \ + " 237.3, 264.2, 329.8, 465.7, 690.6, 1192.9", \ + " 329.2, 357.9, 429.3, 571.7, 805.9, 1288.0" ); }} +timing() { /* ring osc delay aoi22v0x4, path b1 to z 67.5 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.4 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.3, 61.2, 94.9, 170.0, 302.7, 584.3", \ + " 54.1, 67.0, 100.9, 176.0, 308.9, 590.7", \ + " 59.5, 72.2, 105.8, 181.0, 313.8, 595.6", \ + " 67.5, 79.7, 112.9, 187.8, 320.5, 602.2", \ + " 79.8, 93.5, 126.1, 200.3, 332.6, 614.1", \ + " 94.4, 109.9, 146.1, 219.0, 350.5, 631.4", \ + " 113.5, 131.0, 172.1, 248.5, 378.4, 658.0", \ + " 138.5, 158.1, 204.2, 291.0, 421.1, 698.3", \ + " 172.3, 194.4, 246.2, 344.3, 487.5, 761.1", \ + " 218.6, 244.1, 302.9, 413.1, 576.4, 859.8" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.9, 150.1, 243.9, 454.4, 828.0, 1621.2", \ + " 117.1, 151.1, 243.3, 454.1, 827.9, 1621.2", \ + " 122.3, 155.3, 245.6, 453.7, 827.9, 1621.2", \ + " 130.7, 162.8, 250.9, 455.6, 827.6, 1621.2", \ + " 147.8, 178.4, 263.4, 463.2, 829.0, 1621.2", \ + " 174.4, 203.5, 285.1, 479.0, 837.8, 1621.1", \ + " 209.5, 244.2, 321.9, 508.6, 858.5, 1628.9", \ + " 253.5, 291.5, 379.4, 559.4, 897.9, 1652.7", \ + " 317.8, 358.8, 456.7, 642.3, 969.0, 1703.1", \ + " 412.4, 457.0, 563.1, 769.5, 1090.1, 1799.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.2, 43.9, 68.6, 122.2, 216.2, 415.5", \ + " 38.5, 48.3, 73.4, 127.4, 221.6, 421.0", \ + " 41.4, 51.5, 76.6, 130.7, 225.0, 424.5", \ + " 44.0, 55.2, 80.8, 135.0, 229.4, 429.0", \ + " 47.5, 59.8, 88.1, 142.7, 237.1, 436.8", \ + " 50.8, 64.6, 95.8, 153.9, 248.3, 448.0", \ + " 53.0, 68.9, 104.2, 168.3, 265.4, 464.9", \ + " 52.6, 71.2, 112.1, 184.2, 289.7, 490.2", \ + " 47.7, 69.4, 117.6, 201.1, 318.5, 528.6", \ + " 34.9, 60.5, 117.4, 216.0, 350.7, 581.8" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.4, 89.5, 154.3, 300.4, 560.3, 1112.7", \ + " 68.0, 91.4, 154.9, 300.4, 560.3, 1112.7", \ + " 72.4, 95.1, 157.6, 301.4, 560.3, 1112.7", \ + " 79.9, 101.4, 162.3, 304.3, 561.2, 1112.7", \ + " 96.5, 116.1, 173.5, 311.9, 565.6, 1113.3", \ + " 117.2, 139.2, 194.1, 326.8, 575.4, 1117.7", \ + " 148.6, 171.1, 229.4, 355.3, 596.1, 1129.6", \ + " 194.1, 217.4, 277.6, 404.8, 634.9, 1155.4", \ + " 259.6, 284.9, 347.9, 481.1, 704.4, 1206.7", \ + " 353.6, 382.0, 450.8, 590.2, 821.5, 1302.4" ); }} +timing() { /* ring osc delay aoi22v0x4, path b1 to z 60.0 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.4 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 53.5, 87.2, 162.2, 294.9, 576.5", \ + " 46.5, 59.3, 93.1, 168.3, 301.2, 582.9", \ + " 52.2, 64.6, 98.1, 173.2, 306.1, 587.8", \ + " 59.9, 72.3, 105.3, 180.1, 312.8, 594.4", \ + " 70.8, 85.4, 118.7, 192.6, 324.9, 606.3", \ + " 84.3, 100.7, 138.3, 211.4, 342.8, 623.6", \ + " 102.2, 120.5, 163.2, 241.1, 370.8, 650.3", \ + " 125.7, 146.4, 194.1, 282.8, 413.6, 690.6", \ + " 157.6, 181.2, 234.9, 334.9, 479.8, 753.4", \ + " 201.5, 228.8, 290.1, 402.5, 567.6, 852.3" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.5, 135.6, 229.3, 439.7, 813.1, 1606.0", \ + " 103.7, 137.3, 228.9, 439.4, 813.0, 1606.0", \ + " 109.7, 142.1, 231.7, 439.0, 813.0, 1606.0", \ + " 118.9, 150.3, 237.5, 441.2, 812.7, 1606.0", \ + " 137.0, 166.8, 250.6, 449.3, 814.4, 1606.0", \ + " 165.2, 192.8, 273.1, 465.6, 823.5, 1606.0", \ + " 196.0, 232.3, 310.7, 496.0, 844.7, 1614.1", \ + " 239.3, 278.1, 369.1, 547.5, 884.7, 1638.3", \ + " 302.9, 344.6, 443.9, 631.0, 956.5, 1689.2", \ + " 396.6, 442.0, 549.3, 757.4, 1078.2, 1785.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.4, 41.7, 65.6, 118.6, 212.5, 411.7", \ + " 36.7, 46.1, 70.4, 123.8, 217.9, 417.3", \ + " 39.2, 49.2, 73.5, 127.1, 221.3, 420.7", \ + " 41.3, 52.4, 77.8, 131.4, 225.7, 425.3", \ + " 43.9, 56.4, 84.6, 139.1, 233.4, 433.1", \ + " 45.8, 60.2, 91.8, 150.3, 244.6, 444.3", \ + " 45.9, 63.0, 99.4, 164.2, 261.7, 461.2", \ + " 43.1, 63.3, 106.2, 179.6, 285.8, 486.4", \ + " 35.3, 59.2, 110.1, 195.7, 314.2, 524.9", \ + " 19.0, 47.3, 107.9, 209.4, 345.7, 577.7" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 50.6, 75.0, 139.9, 286.1, 546.1, 1098.6", \ + " 53.3, 76.8, 140.5, 286.1, 546.1, 1098.6", \ + " 57.8, 80.5, 143.2, 287.1, 546.1, 1098.6", \ + " 65.8, 87.0, 147.9, 290.1, 547.0, 1098.6", \ + " 80.6, 102.3, 159.2, 297.6, 551.4, 1099.1", \ + " 100.0, 123.2, 180.0, 312.6, 561.2, 1103.5", \ + " 129.7, 153.7, 214.1, 341.1, 581.9, 1115.4", \ + " 172.9, 198.3, 261.0, 390.8, 620.7, 1141.2", \ + " 235.4, 263.3, 329.7, 465.6, 690.3, 1192.6", \ + " 326.3, 357.0, 430.2, 573.2, 806.8, 1288.2" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.4 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.75 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.3, 61.2, 94.9, 170.0, 302.7, 584.3", \ + " 54.1, 67.0, 100.9, 176.0, 308.9, 590.7", \ + " 59.5, 72.2, 105.8, 181.0, 313.8, 595.6", \ + " 67.5, 79.7, 112.9, 187.8, 320.5, 602.2", \ + " 79.8, 93.5, 126.1, 200.3, 332.6, 614.1", \ + " 94.4, 109.9, 146.1, 219.0, 350.5, 631.4", \ + " 113.5, 131.0, 172.1, 248.5, 378.4, 658.0", \ + " 138.5, 158.1, 204.2, 291.0, 421.1, 698.3", \ + " 172.3, 194.4, 246.2, 344.3, 487.5, 761.1", \ + " 218.6, 244.1, 302.9, 413.1, 576.4, 859.8" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.9, 150.1, 243.9, 454.4, 828.0, 1621.2", \ + " 117.1, 151.1, 243.3, 454.1, 827.9, 1621.2", \ + " 122.3, 155.3, 245.6, 453.7, 827.9, 1621.2", \ + " 130.7, 162.8, 250.9, 455.6, 827.6, 1621.2", \ + " 147.8, 178.4, 263.4, 463.2, 829.0, 1621.2", \ + " 174.4, 203.5, 285.1, 479.0, 837.8, 1621.1", \ + " 209.5, 244.2, 321.9, 508.6, 858.5, 1628.9", \ + " 253.5, 291.5, 379.4, 559.4, 897.9, 1652.7", \ + " 317.8, 358.8, 456.7, 642.3, 969.0, 1703.1", \ + " 412.4, 457.0, 563.1, 769.5, 1090.1, 1799.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.2, 43.9, 68.6, 122.2, 216.2, 415.5", \ + " 38.5, 48.3, 73.4, 127.4, 221.6, 421.0", \ + " 41.4, 51.5, 76.6, 130.7, 225.0, 424.5", \ + " 44.0, 55.2, 80.8, 135.0, 229.4, 429.0", \ + " 47.5, 59.8, 88.1, 142.7, 237.1, 436.8", \ + " 50.8, 64.6, 95.8, 153.9, 248.3, 448.0", \ + " 53.0, 68.9, 104.2, 168.3, 265.4, 464.9", \ + " 52.6, 71.2, 112.1, 184.2, 289.7, 490.2", \ + " 47.7, 69.4, 117.6, 201.1, 318.5, 528.6", \ + " 34.9, 60.5, 117.4, 216.0, 350.7, 581.8" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.4, 89.5, 154.3, 300.4, 560.3, 1112.7", \ + " 68.0, 91.4, 154.9, 300.4, 560.3, 1112.7", \ + " 72.4, 95.1, 157.6, 301.4, 560.3, 1112.7", \ + " 79.9, 101.4, 162.3, 304.3, 561.2, 1112.7", \ + " 96.5, 116.1, 173.5, 311.9, 565.6, 1113.3", \ + " 117.2, 139.2, 194.1, 326.8, 575.4, 1117.7", \ + " 148.6, 171.1, 229.4, 355.3, 596.1, 1129.6", \ + " 194.1, 217.4, 277.6, 404.8, 634.9, 1155.4", \ + " 259.6, 284.9, 347.9, 481.1, 704.4, 1206.7", \ + " 353.6, 382.0, 450.8, 590.2, 821.5, 1302.4" ); }} +timing() { /* ring osc delay aoi22v0x4, path b2 to z 50.1 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.74 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.7, 37.4, 62.7, 118.4, 216.8, 425.7", \ + " 34.7, 44.1, 69.3, 125.2, 223.9, 432.8", \ + " 40.1, 50.0, 74.8, 130.6, 229.3, 438.3", \ + " 45.7, 57.3, 82.6, 138.1, 236.6, 445.6", \ + " 53.8, 67.4, 96.7, 151.6, 249.8, 458.6", \ + " 63.8, 79.3, 113.3, 171.8, 269.2, 477.5", \ + " 76.5, 94.5, 133.6, 201.6, 299.2, 506.4", \ + " 92.6, 113.5, 158.5, 237.2, 344.5, 549.9", \ + " 113.4, 137.9, 190.2, 280.8, 406.4, 616.9", \ + " 140.8, 169.7, 231.1, 336.0, 481.6, 720.5" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.7, 86.0, 156.0, 313.4, 593.1, 1187.5", \ + " 65.5, 89.7, 157.0, 313.3, 593.1, 1187.5", \ + " 72.7, 95.9, 161.1, 314.1, 593.1, 1187.5", \ + " 83.7, 105.6, 168.5, 318.1, 593.3, 1187.5", \ + " 105.5, 124.8, 184.3, 329.0, 598.3, 1187.5", \ + " 127.0, 153.8, 210.4, 349.1, 611.5, 1190.7", \ + " 158.3, 187.6, 252.8, 384.9, 638.4, 1205.2", \ + " 203.0, 234.7, 308.7, 443.3, 686.5, 1238.0", \ + " 267.7, 302.6, 383.6, 535.2, 769.4, 1301.2", \ + " 361.6, 400.8, 490.4, 658.9, 904.7, 1415.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.7, 38.0, 61.9, 115.0, 208.9, 408.2", \ + " 34.5, 43.7, 67.9, 121.3, 215.4, 414.8", \ + " 38.4, 48.5, 72.6, 126.0, 220.2, 419.7", \ + " 41.8, 53.8, 79.1, 132.4, 226.6, 426.1", \ + " 45.8, 60.0, 90.1, 143.9, 238.0, 437.5", \ + " 49.5, 65.9, 101.4, 160.9, 254.6, 453.9", \ + " 52.6, 71.7, 113.0, 183.4, 280.1, 478.8", \ + " 54.4, 76.6, 124.4, 206.9, 317.7, 516.1", \ + " 53.6, 79.7, 135.4, 231.2, 361.9, 573.1", \ + " 48.3, 78.8, 144.1, 255.7, 408.7, 656.1" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.4, 73.2, 136.7, 279.6, 533.6, 1073.6", \ + " 54.2, 76.3, 137.5, 279.6, 533.6, 1073.6", \ + " 61.2, 82.3, 141.5, 280.4, 533.6, 1073.6", \ + " 71.9, 91.9, 149.0, 284.5, 534.0, 1073.6", \ + " 88.4, 110.8, 165.1, 295.9, 539.5, 1073.6", \ + " 108.2, 133.9, 190.9, 317.0, 553.6, 1077.6", \ + " 137.4, 165.0, 229.9, 353.8, 582.4, 1093.7", \ + " 179.1, 209.0, 279.0, 411.5, 633.2, 1129.4", \ + " 238.9, 272.4, 348.4, 494.5, 717.3, 1197.5", \ + " 326.1, 363.7, 448.4, 607.0, 849.1, 1317.9" ); }} +timing() { /* ring osc delay aoi22v0x4, path b2 to z 65.5 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.74 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 55.0, 89.1, 164.3, 297.1, 578.8", \ + " 47.6, 60.6, 94.8, 170.3, 303.4, 585.2", \ + " 53.1, 65.7, 99.6, 175.1, 308.2, 590.1", \ + " 60.7, 73.2, 106.6, 181.8, 314.8, 596.7", \ + " 71.3, 86.1, 119.6, 194.0, 326.7, 608.5", \ + " 84.2, 100.9, 139.0, 212.6, 344.4, 625.6", \ + " 101.0, 119.9, 163.3, 241.8, 372.0, 652.1", \ + " 122.7, 144.1, 193.1, 282.9, 414.4, 692.1", \ + " 151.3, 176.0, 231.7, 333.7, 479.9, 754.4", \ + " 189.5, 218.4, 282.7, 398.6, 566.1, 852.4" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.7, 135.8, 229.5, 439.8, 813.1, 1606.0", \ + " 102.9, 136.7, 228.7, 439.5, 813.0, 1606.0", \ + " 108.3, 141.1, 231.0, 439.0, 813.0, 1606.0", \ + " 116.9, 148.7, 236.4, 440.8, 812.8, 1606.0", \ + " 134.1, 164.5, 249.0, 448.4, 814.0, 1606.0", \ + " 162.1, 189.8, 271.0, 464.2, 822.7, 1605.9", \ + " 192.4, 229.3, 308.0, 494.2, 843.5, 1613.5", \ + " 234.9, 274.1, 366.2, 545.3, 883.2, 1637.4", \ + " 298.3, 339.9, 440.1, 628.3, 954.8, 1688.1", \ + " 391.8, 437.0, 544.4, 754.3, 1076.2, 1784.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.8, 40.4, 65.2, 118.8, 212.8, 412.1", \ + " 36.7, 46.4, 71.4, 125.4, 219.5, 419.0", \ + " 41.4, 51.3, 76.2, 130.2, 224.4, 423.9", \ + " 45.7, 57.4, 82.7, 136.6, 230.9, 430.4", \ + " 50.9, 64.7, 94.2, 148.1, 242.3, 441.8", \ + " 55.9, 71.8, 106.4, 165.0, 258.9, 458.2", \ + " 60.4, 78.5, 118.7, 188.0, 284.3, 483.1", \ + " 63.1, 84.0, 130.5, 211.9, 321.8, 520.2", \ + " 62.7, 87.1, 141.2, 236.1, 366.2, 577.0", \ + " 56.6, 85.1, 148.5, 259.6, 412.6, 659.7" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.8, 87.4, 150.8, 293.6, 547.6, 1087.5", \ + " 68.2, 90.3, 151.5, 293.6, 547.6, 1087.5", \ + " 74.8, 96.0, 155.2, 294.3, 547.6, 1087.5", \ + " 85.0, 105.2, 162.4, 298.2, 547.9, 1087.5", \ + " 105.0, 123.7, 178.3, 309.3, 553.1, 1087.5", \ + " 126.9, 150.4, 204.1, 330.1, 567.1, 1091.3", \ + " 158.9, 184.1, 245.3, 367.0, 595.6, 1107.3", \ + " 204.3, 231.4, 297.5, 425.6, 646.6, 1142.8", \ + " 268.9, 299.2, 370.8, 512.0, 731.8, 1211.1", \ + " 361.2, 396.1, 476.1, 629.4, 866.6, 1332.8" ); }} +timing() { /* ring osc delay aoi22v0x4, path b2 to z 57.5 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.74 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.0, 47.3, 81.4, 156.6, 289.4, 571.0", \ + " 40.1, 52.9, 87.0, 162.6, 295.6, 577.4", \ + " 46.0, 58.2, 91.9, 167.3, 300.4, 582.3", \ + " 52.3, 66.0, 98.9, 174.0, 307.0, 588.9", \ + " 61.6, 77.5, 112.2, 186.3, 319.0, 600.7", \ + " 73.2, 91.1, 130.9, 205.0, 336.7, 617.8", \ + " 88.5, 108.7, 154.0, 234.3, 364.4, 644.3", \ + " 108.2, 131.4, 182.5, 274.5, 406.8, 684.4", \ + " 134.4, 161.3, 219.7, 324.1, 472.2, 746.7", \ + " 169.6, 201.2, 269.0, 387.7, 557.2, 844.9" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.9, 121.2, 214.8, 425.0, 798.2, 1590.9", \ + " 89.5, 122.8, 214.3, 424.7, 798.1, 1590.9", \ + " 95.6, 127.9, 217.0, 424.2, 798.1, 1590.9", \ + " 105.1, 136.2, 222.9, 426.4, 797.8, 1590.9", \ + " 123.7, 153.0, 236.3, 434.5, 799.3, 1590.8", \ + " 150.3, 179.5, 259.0, 450.9, 808.4, 1590.8", \ + " 178.1, 216.1, 296.8, 481.5, 829.7, 1598.7", \ + " 220.4, 260.3, 355.0, 533.4, 870.0, 1622.9", \ + " 283.5, 325.6, 426.9, 617.1, 942.3, 1674.1", \ + " 376.4, 422.1, 530.4, 742.0, 1064.4, 1771.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 38.2, 62.2, 115.2, 209.1, 408.4", \ + " 34.9, 44.2, 68.4, 121.8, 215.8, 415.2", \ + " 39.0, 49.0, 73.1, 126.6, 220.7, 420.2", \ + " 42.4, 54.4, 79.6, 133.0, 227.2, 426.7", \ + " 46.2, 60.5, 90.7, 144.5, 238.6, 438.1", \ + " 49.4, 66.1, 101.8, 161.4, 255.2, 454.5", \ + " 51.5, 71.1, 113.0, 183.8, 280.6, 479.4", \ + " 51.6, 74.5, 123.4, 206.7, 317.9, 516.5", \ + " 47.9, 74.9, 132.3, 229.8, 361.5, 573.2", \ + " 37.8, 69.7, 137.4, 251.9, 406.9, 655.6" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.3, 73.2, 136.7, 279.6, 533.6, 1073.6", \ + " 53.8, 76.0, 137.4, 279.6, 533.6, 1073.6", \ + " 60.5, 81.7, 141.1, 280.3, 533.6, 1073.6", \ + " 70.9, 91.0, 148.3, 284.2, 533.9, 1073.6", \ + " 87.4, 109.7, 164.2, 295.3, 539.2, 1073.6", \ + " 107.3, 133.0, 189.9, 316.1, 553.1, 1077.4", \ + " 137.0, 164.6, 229.2, 353.0, 581.7, 1093.3", \ + " 179.3, 209.5, 279.3, 411.2, 632.7, 1128.9", \ + " 239.8, 274.1, 350.4, 495.6, 717.6, 1197.2", \ + " 327.3, 366.7, 452.8, 610.9, 851.5, 1318.8" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 50.4 ; */ +/* intrinsic_fall : 44.2 ; */ +/* rise_resistance : 0.95 ; */ +/* fall_resistance : 0.74 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 55.0, 89.1, 164.3, 297.1, 578.8", \ + " 47.6, 60.6, 94.8, 170.3, 303.4, 585.2", \ + " 53.1, 65.7, 99.6, 175.1, 308.2, 590.1", \ + " 60.7, 73.2, 106.6, 181.8, 314.8, 596.7", \ + " 71.3, 86.1, 119.6, 194.0, 326.7, 608.5", \ + " 84.2, 100.9, 139.0, 212.6, 344.4, 625.6", \ + " 101.0, 119.9, 163.3, 241.8, 372.0, 652.1", \ + " 122.7, 144.1, 193.1, 282.9, 414.4, 692.1", \ + " 151.3, 176.0, 231.7, 333.7, 479.9, 754.4", \ + " 189.5, 218.4, 282.7, 398.6, 566.1, 852.4" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.7, 135.8, 229.5, 439.8, 813.1, 1606.0", \ + " 102.9, 136.7, 228.7, 439.5, 813.0, 1606.0", \ + " 108.3, 141.1, 231.0, 439.0, 813.0, 1606.0", \ + " 116.9, 148.7, 236.4, 440.8, 812.8, 1606.0", \ + " 134.1, 164.5, 249.0, 448.4, 814.0, 1606.0", \ + " 162.1, 189.8, 271.0, 464.2, 822.7, 1605.9", \ + " 192.4, 229.3, 308.0, 494.2, 843.5, 1613.5", \ + " 234.9, 274.1, 366.2, 545.3, 883.2, 1637.4", \ + " 298.3, 339.9, 440.1, 628.3, 954.8, 1688.1", \ + " 391.8, 437.0, 544.4, 754.3, 1076.2, 1784.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.8, 40.4, 65.2, 118.8, 212.8, 412.1", \ + " 36.7, 46.4, 71.4, 125.4, 219.5, 419.0", \ + " 41.4, 51.3, 76.2, 130.2, 224.4, 423.9", \ + " 45.7, 57.4, 82.7, 136.6, 230.9, 430.4", \ + " 50.9, 64.7, 94.2, 148.1, 242.3, 441.8", \ + " 55.9, 71.8, 106.4, 165.0, 258.9, 458.2", \ + " 60.4, 78.5, 118.7, 188.0, 284.3, 483.1", \ + " 63.1, 84.0, 130.5, 211.9, 321.8, 520.2", \ + " 62.7, 87.1, 141.2, 236.1, 366.2, 577.0", \ + " 56.6, 85.1, 148.5, 259.6, 412.6, 659.7" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.8, 87.4, 150.8, 293.6, 547.6, 1087.5", \ + " 68.2, 90.3, 151.5, 293.6, 547.6, 1087.5", \ + " 74.8, 96.0, 155.2, 294.3, 547.6, 1087.5", \ + " 85.0, 105.2, 162.4, 298.2, 547.9, 1087.5", \ + " 105.0, 123.7, 178.3, 309.3, 553.1, 1087.5", \ + " 126.9, 150.4, 204.1, 330.1, 567.1, 1091.3", \ + " 158.9, 184.1, 245.3, 367.0, 595.6, 1107.3", \ + " 204.3, 231.4, 297.5, 425.6, 646.6, 1142.8", \ + " 268.9, 299.2, 370.8, 512.0, 731.8, 1211.1", \ + " 361.2, 396.1, 476.1, 629.4, 866.6, 1332.8" ); }} +} +} +cell(aoi22v5x05) { /* 2008-01-06:07h27 characteristic delay 16.8 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* aoi22v5x05 */ +cell_footprint : aoi22 ; +pin(a1) { /* aoi22v5x05 FO4 effort 2.09 logical effort 2.10 */ +direction : input ; +capacitance : 2.98 ; +rise_capacitance : 2.96 ; +fall_capacitance : 3.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi22v5x05 */ +} +pin(a2) { /* aoi22v5x05 FO4 effort 1.94 logical effort 1.88 */ +direction : input ; +capacitance : 2.67 ; +rise_capacitance : 2.75 ; +fall_capacitance : 2.60 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi22v5x05 */ +} +pin(b1) { /* aoi22v5x05 FO4 effort 1.71 logical effort 1.93 */ +direction : input ; +capacitance : 2.77 ; +rise_capacitance : 2.58 ; +fall_capacitance : 2.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of aoi22v5x05 */ +} +pin(b2) { /* aoi22v5x05 FO4 effort 1.67 logical effort 1.92 */ +direction : input ; +capacitance : 2.77 ; +rise_capacitance : 2.67 ; +fall_capacitance : 2.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of aoi22v5x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 57 ; +max_fanout : 2 ; +function : "((a1*a2)+(b1*b2))'" ; +internal_power(a1_z_n) { /* aoi22v5x05 12.22 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.27, 6.28, 6.29, 6.29, 6.28", \ + " 6.14, 6.16, 6.19, 6.21, 6.21", \ + " 6.09, 6.11, 6.15, 6.17, 6.18", \ + " 6.05, 6.08, 6.11, 6.15, 6.16", \ + " 6.06, 6.07, 6.09, 6.13, 6.14", \ + " 6.17, 6.16, 6.15, 6.15, 6.15", \ + " 6.51, 6.45, 6.36, 6.28, 6.23", \ + " 7.26, 7.12, 6.90, 6.65, 6.47", \ + " 8.69, 8.46, 8.03, 7.51, 7.08", \ + " 11.18, 10.85, 10.17, 9.23, 8.37" ); }} +internal_power(a2_z_n) { /* aoi22v5x05 11.01 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 5.64, 5.66, 5.68, 5.69, 5.68", \ + " 5.50, 5.53, 5.58, 5.61, 5.62", \ + " 5.46, 5.49, 5.54, 5.58, 5.60", \ + " 5.44, 5.46, 5.50, 5.55, 5.57", \ + " 5.47, 5.47, 5.50, 5.53, 5.56", \ + " 5.62, 5.59, 5.57, 5.56, 5.57", \ + " 5.99, 5.91, 5.81, 5.71, 5.65", \ + " 6.74, 6.59, 6.35, 6.09, 5.90", \ + " 8.13, 7.90, 7.46, 6.94, 6.50", \ + " 10.53, 10.19, 9.51, 8.59, 7.76" ); }} +internal_power(b1_z_n) { /* aoi22v5x05 7.47 nW/MHz */ +related_pin : "b1" ; +power(pwr_x05_81_5x10) { +values( " 3.75, 3.80, 3.84, 3.87, 3.88", \ + " 3.62, 3.68, 3.77, 3.83, 3.86", \ + " 3.61, 3.66, 3.74, 3.81, 3.85", \ + " 3.64, 3.67, 3.73, 3.80, 3.85", \ + " 3.80, 3.79, 3.80, 3.83, 3.86", \ + " 4.14, 4.07, 3.99, 3.94, 3.92", \ + " 4.79, 4.63, 4.41, 4.21, 4.09", \ + " 5.88, 5.63, 5.21, 4.78, 4.47", \ + " 7.68, 7.33, 6.66, 5.89, 5.27", \ + " 10.57, 10.10, 9.17, 7.92, 6.83" ); }} +internal_power(b2_z_n) { /* aoi22v5x05 6.35 nW/MHz */ +related_pin : "b2" ; +power(pwr_x05_81_5x10) { +values( " 3.14, 3.20, 3.27, 3.30, 3.32", \ + " 3.03, 3.10, 3.20, 3.28, 3.31", \ + " 3.04, 3.08, 3.17, 3.26, 3.31", \ + " 3.10, 3.12, 3.17, 3.25, 3.30", \ + " 3.28, 3.25, 3.25, 3.28, 3.31", \ + " 3.64, 3.55, 3.45, 3.39, 3.37", \ + " 4.28, 4.12, 3.87, 3.66, 3.54", \ + " 5.36, 5.09, 4.67, 4.23, 3.92", \ + " 7.10, 6.73, 6.06, 5.30, 4.70", \ + " 9.89, 9.40, 8.45, 7.25, 6.21" ); }} +timing() { /* ring osc delay aoi22v5x05, path a1 to z 88.8 */ +related_pin : "a1" ; +when : "(a2*b1'*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 65.3 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 67.7, 96.2, 159.7, 272.1, 510.6", \ + " 64.5, 75.5, 104.2, 167.8, 280.2, 518.8", \ + " 70.2, 81.3, 110.0, 173.6, 286.2, 524.8", \ + " 77.7, 88.8, 117.5, 181.2, 293.8, 532.4", \ + " 88.9, 101.2, 130.4, 194.1, 306.7, 545.4", \ + " 100.7, 115.2, 148.3, 212.4, 325.0, 563.7", \ + " 115.0, 131.8, 170.3, 240.2, 352.6, 591.2", \ + " 133.4, 152.7, 196.8, 277.2, 393.9, 632.0", \ + " 158.9, 181.0, 231.3, 323.2, 454.8, 694.1", \ + " 195.2, 220.5, 278.0, 382.4, 532.7, 789.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 107.3, 136.2, 214.4, 392.0, 708.9, 1383.0", \ + " 107.7, 136.5, 214.5, 392.0, 708.9, 1383.0", \ + " 109.5, 137.7, 215.0, 392.1, 708.9, 1383.0", \ + " 114.4, 142.0, 217.9, 392.9, 709.0, 1383.0", \ + " 125.9, 152.5, 226.5, 398.4, 710.5, 1383.0", \ + " 146.9, 171.8, 243.0, 411.1, 718.3, 1384.0", \ + " 182.0, 207.3, 273.9, 436.3, 736.8, 1392.9", \ + " 230.3, 257.9, 327.6, 481.2, 772.6, 1416.2", \ + " 302.7, 331.7, 406.3, 559.2, 837.9, 1464.6", \ + " 409.4, 439.9, 518.6, 682.8, 951.5, 1555.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.1, 69.8, 97.4, 157.7, 263.3, 486.4", \ + " 63.3, 74.2, 102.0, 162.4, 268.1, 491.2", \ + " 66.4, 77.2, 105.1, 165.6, 271.3, 494.5", \ + " 70.6, 81.4, 109.3, 169.9, 275.7, 498.9", \ + " 77.0, 88.6, 116.9, 177.5, 283.4, 506.6", \ + " 84.1, 96.7, 127.3, 188.8, 294.6, 517.8", \ + " 91.9, 106.0, 139.7, 205.6, 311.7, 534.8", \ + " 99.4, 115.5, 153.5, 225.9, 337.5, 560.1", \ + " 105.3, 124.1, 167.8, 249.3, 371.4, 598.8", \ + " 107.7, 129.6, 180.7, 274.8, 411.4, 656.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.9, 129.9, 202.3, 365.4, 655.7, 1272.6", \ + " 103.9, 130.6, 202.4, 365.4, 655.7, 1272.6", \ + " 106.8, 133.2, 204.3, 365.9, 655.7, 1272.6", \ + " 112.0, 137.9, 208.0, 368.2, 656.1, 1272.6", \ + " 124.4, 149.2, 217.2, 374.5, 659.6, 1272.8", \ + " 146.0, 169.8, 234.6, 387.4, 668.0, 1276.2", \ + " 176.2, 202.0, 266.5, 412.8, 686.3, 1286.3", \ + " 219.2, 246.7, 315.2, 458.0, 721.6, 1309.2", \ + " 281.0, 310.9, 383.9, 532.9, 786.1, 1356.1", \ + " 369.6, 403.1, 482.8, 641.4, 897.4, 1444.9" ); }} +timing() { /* ring osc delay aoi22v5x05, path a1 to z 99.7 */ +related_pin : "a1" ; +when : "(a2*b1'*b2)" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 65.3 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 87.6, 124.3, 206.2, 351.3, 659.2", \ + " 81.2, 95.3, 132.1, 214.2, 359.4, 667.4", \ + " 86.7, 100.8, 137.7, 219.8, 365.1, 673.2", \ + " 93.7, 107.7, 144.6, 226.8, 372.1, 680.2", \ + " 104.9, 119.1, 156.0, 238.2, 383.5, 691.7", \ + " 117.4, 133.4, 171.8, 253.8, 399.1, 707.3", \ + " 132.6, 150.6, 193.4, 277.4, 422.5, 730.5", \ + " 152.5, 172.5, 220.0, 311.8, 457.3, 764.7", \ + " 180.4, 202.9, 255.5, 356.6, 511.0, 817.1", \ + " 220.3, 245.7, 304.8, 416.4, 585.6, 898.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 131.9, 170.4, 274.1, 508.5, 926.1, 1813.9", \ + " 132.2, 170.7, 274.2, 508.5, 926.1, 1813.9", \ + " 133.1, 171.3, 274.5, 508.6, 926.1, 1813.9", \ + " 137.0, 174.5, 276.2, 508.9, 926.2, 1813.9", \ + " 146.0, 182.6, 282.6, 512.4, 926.7, 1813.9", \ + " 162.2, 197.5, 295.3, 521.7, 931.6, 1814.0", \ + " 193.5, 226.3, 319.7, 540.9, 944.9, 1818.9", \ + " 237.5, 273.4, 364.1, 576.9, 972.2, 1835.0", \ + " 305.4, 342.3, 437.8, 642.1, 1024.6, 1871.0", \ + " 408.5, 446.3, 544.6, 754.5, 1119.6, 1942.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.9, 66.2, 94.6, 155.4, 261.3, 484.6", \ + " 59.1, 70.5, 99.0, 159.9, 265.9, 489.2", \ + " 62.2, 73.6, 102.1, 163.1, 269.1, 492.4", \ + " 66.6, 77.8, 106.4, 167.4, 273.4, 496.8", \ + " 73.0, 85.0, 114.0, 175.1, 281.1, 504.5", \ + " 80.0, 93.1, 124.3, 186.3, 292.3, 515.7", \ + " 87.6, 102.1, 136.4, 202.9, 309.4, 532.6", \ + " 94.5, 111.0, 149.7, 222.9, 335.0, 557.9", \ + " 99.3, 118.4, 163.0, 245.6, 368.5, 596.5", \ + " 99.8, 122.1, 174.2, 269.7, 407.6, 653.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.4, 139.1, 210.9, 373.4, 663.2, 1279.9", \ + " 113.8, 140.1, 211.2, 373.5, 663.2, 1279.9", \ + " 117.0, 142.8, 213.2, 374.1, 663.3, 1279.9", \ + " 122.8, 148.0, 217.1, 376.5, 663.9, 1279.9", \ + " 136.1, 160.1, 226.9, 383.0, 667.5, 1280.2", \ + " 159.3, 181.9, 245.2, 396.6, 676.1, 1283.7", \ + " 191.9, 216.3, 278.4, 422.9, 695.0, 1294.0", \ + " 238.3, 264.0, 329.5, 469.5, 731.4, 1317.4", \ + " 305.4, 332.8, 402.0, 547.0, 797.5, 1365.2", \ + " 401.5, 432.0, 506.6, 659.8, 911.6, 1455.9" ); }} +timing() { /* ring osc delay aoi22v5x05, path a1 to z 91.5 */ +related_pin : "a1" ; +when : "(a2*b1*b2')" ; +sdf_cond : "((a2 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 65.3 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.9, 79.9, 116.5, 198.3, 343.4, 651.3", \ + " 73.3, 87.4, 124.3, 206.3, 351.4, 659.5", \ + " 78.8, 92.9, 129.9, 211.9, 357.1, 665.2", \ + " 85.8, 99.9, 136.8, 218.9, 364.2, 672.3", \ + " 96.5, 111.2, 148.2, 230.3, 375.6, 683.8", \ + " 107.8, 124.5, 163.9, 245.9, 391.2, 699.4", \ + " 121.8, 140.6, 184.7, 269.6, 414.5, 722.5", \ + " 140.4, 161.4, 210.3, 303.5, 449.4, 756.8", \ + " 166.6, 190.3, 244.8, 347.5, 503.1, 809.2", \ + " 204.5, 231.5, 292.8, 406.4, 577.0, 890.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 117.6, 156.0, 259.4, 493.5, 910.9, 1798.4", \ + " 118.1, 156.3, 259.5, 493.6, 910.9, 1798.4", \ + " 119.4, 157.1, 259.9, 493.7, 910.9, 1798.4", \ + " 123.7, 160.7, 261.8, 494.1, 911.0, 1798.4", \ + " 133.3, 169.3, 268.6, 497.8, 911.5, 1798.4", \ + " 150.8, 185.0, 281.8, 507.3, 916.7, 1798.6", \ + " 182.1, 215.3, 306.9, 527.0, 930.2, 1803.7", \ + " 226.0, 261.7, 352.6, 563.7, 958.0, 1820.0", \ + " 294.3, 330.9, 426.2, 629.9, 1010.9, 1856.3", \ + " 397.9, 435.4, 533.1, 742.9, 1106.8, 1928.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.2, 62.4, 90.7, 151.5, 257.4, 480.7", \ + " 55.4, 66.7, 95.1, 156.0, 262.0, 485.3", \ + " 58.5, 69.8, 98.2, 159.1, 265.2, 488.5", \ + " 62.7, 74.0, 102.4, 163.4, 269.5, 492.9", \ + " 68.5, 80.8, 110.0, 171.1, 277.2, 500.6", \ + " 74.7, 88.2, 120.0, 182.3, 288.4, 511.8", \ + " 81.1, 96.3, 131.5, 198.8, 305.5, 528.7", \ + " 86.4, 104.0, 144.0, 218.3, 331.0, 554.0", \ + " 89.3, 109.8, 156.2, 240.4, 364.1, 592.5", \ + " 87.6, 111.4, 165.8, 263.6, 402.7, 649.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.3, 124.0, 195.9, 358.5, 648.4, 1265.1", \ + " 98.7, 125.0, 196.2, 358.6, 648.4, 1265.1", \ + " 101.8, 127.7, 198.2, 359.2, 648.5, 1265.1", \ + " 107.6, 132.9, 202.1, 361.6, 649.1, 1265.1", \ + " 121.1, 145.1, 211.9, 368.1, 652.6, 1265.4", \ + " 143.2, 167.0, 230.3, 381.7, 661.3, 1268.9", \ + " 174.2, 199.7, 263.6, 408.1, 680.2, 1279.2", \ + " 218.5, 245.6, 313.3, 454.7, 716.6, 1302.6", \ + " 282.9, 312.2, 384.0, 531.5, 782.7, 1350.4", \ + " 375.6, 408.3, 486.4, 642.7, 896.8, 1441.1" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 65.3 ; */ +/* rise_resistance : 6.74 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 87.6, 124.3, 206.2, 351.3, 659.2", \ + " 81.2, 95.3, 132.1, 214.2, 359.4, 667.4", \ + " 86.7, 100.8, 137.7, 219.8, 365.1, 673.2", \ + " 93.7, 107.7, 144.6, 226.8, 372.1, 680.2", \ + " 104.9, 119.1, 156.0, 238.2, 383.5, 691.7", \ + " 117.4, 133.4, 171.8, 253.8, 399.1, 707.3", \ + " 132.6, 150.6, 193.4, 277.4, 422.5, 730.5", \ + " 152.5, 172.5, 220.0, 311.8, 457.3, 764.7", \ + " 180.4, 202.9, 255.5, 356.6, 511.0, 817.1", \ + " 220.3, 245.7, 304.8, 416.4, 585.6, 898.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 131.9, 170.4, 274.1, 508.5, 926.1, 1813.9", \ + " 132.2, 170.7, 274.2, 508.5, 926.1, 1813.9", \ + " 133.1, 171.3, 274.5, 508.6, 926.1, 1813.9", \ + " 137.0, 174.5, 276.2, 508.9, 926.2, 1813.9", \ + " 146.0, 182.6, 282.6, 512.4, 926.7, 1813.9", \ + " 162.2, 197.5, 295.3, 521.7, 931.6, 1814.0", \ + " 193.5, 226.3, 319.7, 540.9, 944.9, 1818.9", \ + " 237.5, 273.4, 364.1, 576.9, 972.2, 1835.0", \ + " 305.4, 342.3, 437.8, 642.1, 1024.6, 1871.0", \ + " 408.5, 446.3, 544.6, 754.5, 1119.6, 1942.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.9, 66.2, 94.6, 155.4, 261.3, 484.6", \ + " 59.1, 70.5, 99.0, 159.9, 265.9, 489.2", \ + " 62.2, 73.6, 102.1, 163.1, 269.1, 492.4", \ + " 66.6, 77.8, 106.4, 167.4, 273.4, 496.8", \ + " 73.0, 85.0, 114.0, 175.1, 281.1, 504.5", \ + " 80.0, 93.1, 124.3, 186.3, 292.3, 515.7", \ + " 87.6, 102.1, 136.4, 202.9, 309.4, 532.6", \ + " 94.5, 111.0, 149.7, 222.9, 335.0, 557.9", \ + " 99.3, 118.4, 163.0, 245.6, 368.5, 596.5", \ + " 99.8, 122.1, 174.2, 269.7, 407.6, 653.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.4, 139.1, 210.9, 373.4, 663.2, 1279.9", \ + " 113.8, 140.1, 211.2, 373.5, 663.2, 1279.9", \ + " 117.0, 142.8, 213.2, 374.1, 663.3, 1279.9", \ + " 122.8, 148.0, 217.1, 376.5, 663.9, 1279.9", \ + " 136.1, 160.1, 226.9, 383.0, 667.5, 1280.2", \ + " 159.3, 181.9, 245.2, 396.6, 676.1, 1283.7", \ + " 191.9, 216.3, 278.4, 422.9, 695.0, 1294.0", \ + " 238.3, 264.0, 329.5, 469.5, 731.4, 1317.4", \ + " 305.4, 332.8, 402.0, 547.0, 797.5, 1365.2", \ + " 401.5, 432.0, 506.6, 659.8, 911.6, 1455.9" ); }} +timing() { /* ring osc delay aoi22v5x05, path a2 to z 85.8 */ +related_pin : "a2" ; +when : "(a1*b1'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 66.0 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 62.2, 90.8, 154.3, 266.7, 505.2", \ + " 58.5, 69.7, 98.6, 162.4, 274.9, 513.6", \ + " 64.2, 75.4, 104.3, 168.2, 280.8, 519.5", \ + " 71.4, 82.8, 111.7, 175.7, 288.4, 527.1", \ + " 81.4, 94.6, 124.5, 188.4, 301.2, 540.1", \ + " 91.9, 107.3, 141.8, 206.6, 319.4, 558.3", \ + " 104.3, 122.4, 162.6, 234.3, 346.9, 585.7", \ + " 119.8, 140.9, 187.5, 270.2, 388.0, 626.3", \ + " 140.3, 165.0, 219.1, 314.3, 448.1, 688.2", \ + " 168.8, 197.6, 260.5, 370.3, 524.0, 783.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 96.0, 124.9, 202.9, 380.4, 697.1, 1371.1", \ + " 96.7, 125.3, 203.1, 380.4, 697.1, 1371.0", \ + " 98.8, 126.7, 203.7, 380.5, 697.2, 1371.1", \ + " 104.1, 131.2, 206.6, 381.3, 697.2, 1371.1", \ + " 116.1, 142.0, 215.3, 386.8, 698.8, 1371.1", \ + " 137.7, 161.7, 232.0, 399.5, 706.4, 1372.0", \ + " 171.2, 197.3, 263.2, 424.8, 724.9, 1380.8", \ + " 217.8, 245.8, 317.0, 469.9, 760.9, 1404.1", \ + " 288.6, 317.8, 393.5, 547.9, 826.3, 1452.5", \ + " 393.9, 424.4, 503.6, 670.1, 939.9, 1543.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 65.4, 93.0, 153.3, 258.9, 481.9", \ + " 60.3, 71.1, 98.8, 159.2, 264.9, 488.0", \ + " 64.9, 75.7, 103.5, 163.9, 269.6, 492.8", \ + " 71.5, 82.2, 109.9, 170.3, 276.0, 499.2", \ + " 82.2, 93.9, 121.5, 181.8, 287.4, 510.5", \ + " 93.1, 106.8, 138.2, 198.7, 304.0, 526.9", \ + " 104.5, 120.5, 157.4, 224.5, 329.4, 551.8", \ + " 116.0, 134.4, 177.4, 256.2, 367.5, 589.0", \ + " 127.4, 148.5, 198.2, 290.2, 420.7, 645.9", \ + " 137.5, 162.0, 219.5, 326.2, 479.5, 733.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.7, 127.3, 198.1, 357.6, 641.4, 1244.4", \ + " 102.1, 128.1, 198.2, 357.6, 641.4, 1244.4", \ + " 106.5, 131.8, 200.4, 357.8, 641.4, 1244.4", \ + " 114.4, 139.0, 205.8, 360.3, 641.5, 1244.4", \ + " 131.1, 154.7, 219.1, 369.2, 644.8, 1244.5", \ + " 157.1, 180.2, 242.4, 387.5, 656.3, 1246.3", \ + " 189.5, 217.0, 281.0, 421.3, 681.7, 1259.1", \ + " 233.7, 264.2, 336.4, 476.9, 728.6, 1290.5", \ + " 295.8, 329.9, 410.0, 563.4, 809.7, 1353.3", \ + " 383.9, 422.9, 512.5, 682.4, 939.7, 1467.8" ); }} +timing() { /* ring osc delay aoi22v5x05, path a2 to z 97.0 */ +related_pin : "a2" ; +when : "(a1*b1'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 66.0 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.3, 80.4, 117.2, 199.1, 344.2, 652.2", \ + " 73.6, 87.8, 124.9, 207.1, 352.4, 660.6", \ + " 78.9, 93.2, 130.4, 212.7, 358.1, 666.3", \ + " 85.8, 100.0, 137.1, 219.6, 365.1, 673.4", \ + " 96.4, 111.2, 148.4, 230.8, 376.4, 684.8", \ + " 107.8, 124.5, 164.0, 246.3, 391.9, 700.3", \ + " 121.6, 140.5, 184.7, 269.8, 415.0, 723.3", \ + " 139.1, 160.5, 209.9, 303.5, 449.7, 757.4", \ + " 162.6, 187.2, 242.9, 346.7, 502.9, 809.6", \ + " 195.2, 223.6, 287.5, 403.6, 575.8, 890.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 117.2, 155.6, 259.0, 493.2, 910.6, 1798.1", \ + " 117.7, 156.0, 259.2, 493.2, 910.6, 1798.1", \ + " 118.9, 156.7, 259.5, 493.3, 910.6, 1798.1", \ + " 123.0, 160.0, 261.3, 493.7, 910.7, 1798.1", \ + " 132.3, 168.4, 267.8, 497.1, 911.2, 1798.1", \ + " 149.2, 183.6, 280.6, 506.4, 916.0, 1798.2", \ + " 179.9, 213.1, 305.2, 525.7, 929.2, 1803.0", \ + " 222.4, 258.6, 350.0, 561.8, 956.6, 1819.0", \ + " 288.9, 325.9, 422.3, 627.0, 1009.1, 1855.1", \ + " 391.1, 428.6, 527.0, 738.9, 1104.1, 1927.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 61.8, 90.2, 151.0, 256.9, 480.1", \ + " 56.1, 67.4, 95.9, 156.8, 262.7, 486.0", \ + " 60.8, 72.1, 100.5, 161.4, 267.4, 490.7", \ + " 67.6, 78.6, 107.0, 167.8, 273.7, 497.1", \ + " 78.3, 90.4, 118.6, 179.3, 285.1, 508.4", \ + " 89.1, 103.1, 135.2, 196.1, 301.7, 524.7", \ + " 100.2, 116.4, 154.0, 221.9, 327.0, 549.6", \ + " 111.1, 129.7, 173.3, 253.1, 365.0, 586.7", \ + " 121.4, 142.8, 193.1, 286.2, 417.7, 643.5", \ + " 129.9, 154.7, 212.9, 320.8, 475.4, 730.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.3, 136.5, 206.6, 365.4, 648.6, 1251.4", \ + " 112.2, 137.6, 206.7, 365.4, 648.6, 1251.4", \ + " 117.3, 141.8, 209.2, 365.7, 648.7, 1251.4", \ + " 126.0, 149.6, 215.1, 368.5, 648.8, 1251.4", \ + " 143.9, 166.6, 229.3, 377.9, 652.5, 1251.4", \ + " 171.9, 193.4, 253.8, 396.9, 664.5, 1253.6", \ + " 207.4, 233.0, 294.1, 432.0, 690.6, 1266.7", \ + " 255.8, 284.1, 352.5, 489.4, 738.7, 1298.8", \ + " 323.8, 355.2, 430.7, 579.1, 821.9, 1362.7", \ + " 420.5, 456.1, 540.2, 703.5, 955.5, 1479.3" ); }} +timing() { /* ring osc delay aoi22v5x05, path a2 to z 88.2 */ +related_pin : "a2" ; +when : "(a1*b1*b2')" ; +sdf_cond : "((a1 == 1'b1) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 66.0 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 72.6, 109.4, 191.3, 336.3, 644.3", \ + " 65.6, 79.9, 117.1, 199.3, 344.5, 652.6", \ + " 71.0, 85.3, 122.5, 204.8, 350.2, 658.4", \ + " 77.8, 92.1, 129.3, 211.7, 357.2, 665.4", \ + " 87.5, 103.2, 140.5, 222.9, 368.5, 676.8", \ + " 97.7, 115.3, 156.1, 238.4, 383.9, 692.4", \ + " 110.1, 130.0, 175.7, 261.9, 407.1, 715.4", \ + " 125.8, 148.6, 199.9, 295.1, 441.8, 749.5", \ + " 147.2, 173.6, 231.7, 337.4, 494.9, 801.6", \ + " 177.1, 207.8, 274.7, 393.3, 567.0, 882.7" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 103.0, 141.2, 244.3, 478.3, 895.4, 1782.6", \ + " 103.7, 141.6, 244.5, 478.3, 895.4, 1782.6", \ + " 105.3, 142.6, 244.9, 478.4, 895.4, 1782.6", \ + " 109.9, 146.4, 247.0, 478.8, 895.5, 1782.6", \ + " 119.9, 155.3, 253.8, 482.5, 896.0, 1782.6", \ + " 138.4, 171.4, 267.1, 492.1, 901.1, 1782.8", \ + " 168.4, 202.5, 292.5, 511.8, 914.6, 1787.8", \ + " 210.9, 246.8, 338.7, 548.6, 942.4, 1804.0", \ + " 278.2, 314.4, 410.5, 614.8, 995.5, 1840.4", \ + " 381.1, 417.8, 515.4, 727.1, 1091.3, 1912.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 58.0, 86.2, 147.0, 252.9, 476.2", \ + " 52.4, 63.6, 91.9, 152.8, 258.8, 482.1", \ + " 57.1, 68.3, 96.6, 157.5, 263.5, 486.8", \ + " 64.0, 74.9, 103.0, 163.8, 269.8, 493.2", \ + " 73.4, 86.0, 114.7, 175.3, 281.2, 504.5", \ + " 82.8, 97.6, 130.8, 192.1, 297.8, 520.8", \ + " 92.4, 109.6, 148.6, 217.8, 323.1, 545.7", \ + " 101.6, 121.4, 166.8, 248.2, 361.1, 582.8", \ + " 109.8, 132.8, 185.2, 280.3, 413.2, 639.6", \ + " 115.8, 142.4, 203.2, 313.7, 470.0, 726.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 95.3, 121.5, 191.9, 350.8, 634.1, 1236.8", \ + " 97.2, 122.6, 191.9, 350.8, 634.1, 1236.8", \ + " 102.2, 126.9, 194.5, 351.0, 634.1, 1236.8", \ + " 110.9, 134.7, 200.4, 353.8, 634.2, 1236.8", \ + " 128.6, 151.6, 214.6, 363.3, 637.9, 1236.9", \ + " 154.6, 178.2, 239.0, 382.3, 649.9, 1239.0", \ + " 187.7, 215.1, 279.0, 417.3, 676.1, 1252.2", \ + " 233.4, 263.7, 335.3, 474.6, 724.2, 1284.3", \ + " 298.0, 332.0, 411.2, 563.2, 807.2, 1348.2", \ + " 390.2, 429.1, 517.8, 685.5, 940.3, 1464.8" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 66.0 ; */ +/* rise_resistance : 6.76 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.3, 80.4, 117.2, 199.1, 344.2, 652.2", \ + " 73.6, 87.8, 124.9, 207.1, 352.4, 660.6", \ + " 78.9, 93.2, 130.4, 212.7, 358.1, 666.3", \ + " 85.8, 100.0, 137.1, 219.6, 365.1, 673.4", \ + " 96.4, 111.2, 148.4, 230.8, 376.4, 684.8", \ + " 107.8, 124.5, 164.0, 246.3, 391.9, 700.3", \ + " 121.6, 140.5, 184.7, 269.8, 415.0, 723.3", \ + " 139.1, 160.5, 209.9, 303.5, 449.7, 757.4", \ + " 162.6, 187.2, 242.9, 346.7, 502.9, 809.6", \ + " 195.2, 223.6, 287.5, 403.6, 575.8, 890.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 117.2, 155.6, 259.0, 493.2, 910.6, 1798.1", \ + " 117.7, 156.0, 259.2, 493.2, 910.6, 1798.1", \ + " 118.9, 156.7, 259.5, 493.3, 910.6, 1798.1", \ + " 123.0, 160.0, 261.3, 493.7, 910.7, 1798.1", \ + " 132.3, 168.4, 267.8, 497.1, 911.2, 1798.1", \ + " 149.2, 183.6, 280.6, 506.4, 916.0, 1798.2", \ + " 179.9, 213.1, 305.2, 525.7, 929.2, 1803.0", \ + " 222.4, 258.6, 350.0, 561.8, 956.6, 1819.0", \ + " 288.9, 325.9, 422.3, 627.0, 1009.1, 1855.1", \ + " 391.1, 428.6, 527.0, 738.9, 1104.1, 1927.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 61.8, 90.2, 151.0, 256.9, 480.1", \ + " 56.1, 67.4, 95.9, 156.8, 262.7, 486.0", \ + " 60.8, 72.1, 100.5, 161.4, 267.4, 490.7", \ + " 67.6, 78.6, 107.0, 167.8, 273.7, 497.1", \ + " 78.3, 90.4, 118.6, 179.3, 285.1, 508.4", \ + " 89.1, 103.1, 135.2, 196.1, 301.7, 524.7", \ + " 100.2, 116.4, 154.0, 221.9, 327.0, 549.6", \ + " 111.1, 129.7, 173.3, 253.1, 365.0, 586.7", \ + " 121.4, 142.8, 193.1, 286.2, 417.7, 643.5", \ + " 129.9, 154.7, 212.9, 320.8, 475.4, 730.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.3, 136.5, 206.6, 365.4, 648.6, 1251.4", \ + " 112.2, 137.6, 206.7, 365.4, 648.6, 1251.4", \ + " 117.3, 141.8, 209.2, 365.7, 648.7, 1251.4", \ + " 126.0, 149.6, 215.1, 368.5, 648.8, 1251.4", \ + " 143.9, 166.6, 229.3, 377.9, 652.5, 1251.4", \ + " 171.9, 193.4, 253.8, 396.9, 664.5, 1253.6", \ + " 207.4, 233.0, 294.1, 432.0, 690.6, 1266.7", \ + " 255.8, 284.1, 352.5, 489.4, 738.7, 1298.8", \ + " 323.8, 355.2, 430.7, 579.1, 821.9, 1362.7", \ + " 420.5, 456.1, 540.2, 703.5, 955.5, 1479.3" ); }} +timing() { /* ring osc delay aoi22v5x05, path b1 to z 59.9 */ +related_pin : "b1" ; +when : "(a1'*a2'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.9 ; */ +/* intrinsic_fall : 46.1 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.6, 46.1, 73.4, 134.1, 241.7, 470.1", \ + " 42.4, 52.8, 80.2, 141.0, 248.7, 477.2", \ + " 48.4, 58.6, 85.7, 146.5, 254.2, 482.7", \ + " 55.6, 66.7, 93.5, 154.0, 261.6, 490.0", \ + " 65.8, 78.8, 107.7, 167.6, 274.8, 503.0", \ + " 77.9, 92.9, 126.7, 187.7, 294.2, 521.9", \ + " 93.8, 110.8, 149.6, 218.8, 324.2, 550.8", \ + " 114.2, 133.6, 177.7, 257.8, 369.5, 594.2", \ + " 141.3, 163.7, 214.0, 305.6, 435.4, 661.1", \ + " 177.9, 204.0, 262.1, 366.7, 516.6, 765.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.2, 106.9, 183.4, 355.7, 661.8, 1312.1", \ + " 82.9, 109.9, 184.1, 355.6, 661.7, 1312.1", \ + " 89.6, 115.6, 187.8, 356.1, 661.7, 1312.1", \ + " 100.0, 124.8, 194.7, 359.6, 661.7, 1312.1", \ + " 120.2, 143.3, 209.8, 369.7, 665.9, 1312.1", \ + " 147.9, 172.2, 235.1, 388.8, 678.0, 1314.1", \ + " 181.6, 211.2, 276.6, 423.4, 703.4, 1326.8", \ + " 228.5, 261.2, 337.1, 480.7, 749.5, 1357.3", \ + " 295.7, 331.8, 416.1, 572.3, 830.3, 1417.3", \ + " 393.2, 433.8, 527.2, 703.3, 964.2, 1527.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.1, 46.4, 73.0, 132.1, 236.9, 459.3", \ + " 40.2, 50.6, 77.6, 137.1, 242.1, 464.6", \ + " 43.0, 53.6, 80.7, 140.3, 245.4, 468.0", \ + " 45.3, 57.2, 84.9, 144.6, 249.8, 472.5", \ + " 48.4, 61.6, 92.1, 152.2, 257.5, 480.2", \ + " 51.3, 66.3, 100.0, 163.4, 268.6, 491.4", \ + " 53.2, 70.8, 109.0, 178.7, 285.7, 508.3", \ + " 53.2, 73.7, 118.1, 196.0, 311.0, 533.6", \ + " 49.7, 73.8, 125.9, 215.3, 342.2, 572.2", \ + " 39.9, 68.4, 129.8, 234.5, 378.3, 628.7" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.8, 85.9, 158.4, 321.6, 611.8, 1228.3", \ + " 61.2, 87.5, 158.8, 321.6, 611.8, 1228.4", \ + " 65.5, 91.1, 161.4, 322.3, 611.8, 1228.4", \ + " 73.1, 97.4, 166.0, 325.0, 612.3, 1228.4", \ + " 89.0, 111.9, 176.8, 332.2, 616.2, 1228.5", \ + " 108.7, 134.3, 196.7, 346.4, 625.3, 1232.2", \ + " 138.8, 165.0, 231.5, 373.6, 644.6, 1242.8", \ + " 182.6, 209.8, 278.6, 421.3, 681.4, 1266.4", \ + " 246.2, 275.4, 347.1, 496.9, 747.8, 1314.4", \ + " 338.7, 370.4, 447.7, 604.2, 862.1, 1405.0" ); }} +timing() { /* ring osc delay aoi22v5x05, path b1 to z 76.0 */ +related_pin : "b1" ; +when : "(a1'*a2*b2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.9 ; */ +/* intrinsic_fall : 46.1 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 67.0, 103.9, 185.8, 331.0, 639.0", \ + " 58.7, 72.7, 109.7, 191.9, 337.2, 645.3", \ + " 63.9, 77.8, 114.7, 196.8, 342.1, 650.2", \ + " 71.7, 85.2, 121.7, 203.6, 348.8, 656.9", \ + " 84.5, 99.1, 134.7, 215.9, 360.8, 668.7", \ + " 99.6, 116.2, 154.5, 234.5, 378.5, 685.9", \ + " 119.1, 137.8, 181.6, 263.6, 406.2, 712.3", \ + " 144.6, 165.4, 214.7, 307.0, 448.4, 752.3", \ + " 178.8, 202.3, 257.6, 362.3, 514.2, 814.4", \ + " 225.6, 252.6, 315.2, 432.9, 606.8, 912.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.5, 163.9, 266.5, 496.6, 905.1, 1772.7", \ + " 127.1, 164.4, 265.7, 496.4, 905.1, 1772.7", \ + " 131.9, 168.3, 267.6, 496.0, 905.1, 1772.7", \ + " 140.1, 175.4, 272.5, 497.2, 904.9, 1772.7", \ + " 156.8, 190.6, 284.3, 504.0, 905.5, 1772.7", \ + " 183.0, 215.3, 305.3, 518.8, 913.1, 1772.5", \ + " 221.0, 255.4, 341.5, 547.3, 932.3, 1778.1", \ + " 265.9, 306.4, 398.5, 596.9, 970.0, 1799.5", \ + " 331.5, 375.2, 479.7, 679.0, 1038.8, 1847.1", \ + " 427.7, 475.1, 588.6, 809.0, 1158.0, 1939.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 49.0, 76.5, 136.2, 241.0, 463.4", \ + " 42.6, 53.5, 81.4, 141.4, 246.5, 469.0", \ + " 45.8, 56.6, 84.5, 144.8, 249.9, 472.5", \ + " 48.6, 60.7, 88.8, 149.1, 254.4, 477.1", \ + " 52.5, 65.8, 96.4, 156.7, 262.1, 484.9", \ + " 56.4, 71.2, 104.7, 167.9, 273.2, 496.0", \ + " 59.6, 76.5, 114.1, 183.4, 290.3, 513.0", \ + " 60.5, 80.1, 123.5, 200.8, 315.4, 538.1", \ + " 57.2, 80.2, 131.1, 219.9, 346.6, 576.5", \ + " 46.5, 73.5, 133.7, 238.1, 382.1, 632.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.4, 101.4, 173.7, 336.8, 626.9, 1243.4", \ + " 76.6, 102.8, 173.9, 336.8, 626.9, 1243.4", \ + " 80.6, 106.2, 176.4, 337.3, 626.9, 1243.4", \ + " 87.7, 112.2, 180.8, 340.0, 627.3, 1243.4", \ + " 103.9, 125.9, 191.3, 346.9, 631.1, 1243.5", \ + " 125.4, 149.7, 210.7, 360.8, 640.0, 1247.1", \ + " 156.9, 181.8, 246.2, 387.8, 659.1, 1257.5", \ + " 202.7, 228.4, 295.0, 435.5, 695.8, 1281.1", \ + " 269.2, 296.7, 365.9, 512.9, 762.5, 1329.1", \ + " 364.7, 395.3, 470.0, 623.2, 877.9, 1420.1" ); }} +timing() { /* ring osc delay aoi22v5x05, path b1 to z 68.1 */ +related_pin : "b1" ; +when : "(a1*a2'*b2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.9 ; */ +/* intrinsic_fall : 46.1 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.9, 59.0, 95.9, 177.8, 322.9, 630.9", \ + " 50.7, 64.8, 101.7, 183.9, 329.2, 637.3", \ + " 56.2, 69.9, 106.7, 188.8, 334.0, 642.2", \ + " 64.2, 77.5, 113.7, 195.5, 340.7, 648.8", \ + " 75.6, 91.0, 126.9, 208.0, 352.7, 660.6", \ + " 89.4, 106.9, 146.8, 226.6, 370.5, 677.8", \ + " 107.7, 127.3, 172.6, 255.8, 398.2, 704.3", \ + " 131.7, 153.6, 204.5, 298.8, 440.5, 744.3", \ + " 164.1, 189.0, 246.1, 352.8, 506.5, 806.5", \ + " 208.5, 237.3, 302.3, 422.2, 597.9, 904.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.5, 148.9, 251.3, 481.3, 889.6, 1756.9", \ + " 113.1, 150.0, 250.7, 481.1, 889.6, 1756.9", \ + " 118.7, 154.5, 253.0, 480.7, 889.6, 1756.9", \ + " 127.7, 162.3, 258.3, 482.2, 889.4, 1756.9", \ + " 145.4, 178.4, 270.9, 489.4, 890.2, 1756.9", \ + " 173.0, 204.0, 292.7, 504.8, 898.1, 1756.6", \ + " 207.4, 245.4, 329.7, 534.0, 917.8, 1762.6", \ + " 251.5, 292.9, 387.6, 584.4, 956.0, 1784.4", \ + " 316.4, 360.8, 466.7, 667.2, 1025.6, 1832.4", \ + " 411.7, 459.9, 574.6, 796.7, 1145.6, 1925.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.3, 46.6, 73.2, 132.3, 237.1, 459.5", \ + " 40.6, 51.0, 78.1, 137.6, 242.6, 465.1", \ + " 43.5, 54.1, 81.2, 140.9, 246.0, 468.6", \ + " 45.9, 57.8, 85.5, 145.2, 250.4, 473.1", \ + " 49.0, 62.2, 92.7, 152.9, 258.1, 480.9", \ + " 51.5, 66.7, 100.6, 164.1, 269.3, 492.1", \ + " 52.7, 70.6, 109.3, 179.2, 286.3, 509.0", \ + " 51.2, 72.3, 117.6, 196.1, 311.4, 534.1", \ + " 45.0, 70.0, 123.6, 214.4, 342.1, 572.5", \ + " 30.8, 60.5, 124.2, 231.5, 377.0, 628.4" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.8, 85.9, 158.4, 321.6, 611.8, 1228.3", \ + " 61.0, 87.3, 158.7, 321.6, 611.8, 1228.3", \ + " 65.1, 90.8, 161.1, 322.2, 611.8, 1228.3", \ + " 72.5, 96.8, 165.6, 324.8, 612.2, 1228.3", \ + " 88.1, 111.0, 176.1, 331.8, 616.0, 1228.4", \ + " 107.6, 133.2, 195.7, 345.7, 624.9, 1232.0", \ + " 137.5, 163.9, 230.4, 372.7, 644.1, 1242.5", \ + " 181.2, 208.8, 277.8, 420.5, 680.7, 1266.0", \ + " 244.7, 274.7, 347.1, 496.8, 747.5, 1314.1", \ + " 336.7, 370.0, 449.0, 605.6, 862.9, 1405.1" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 59.9 ; */ +/* intrinsic_fall : 46.1 ; */ +/* rise_resistance : 6.64 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 67.0, 103.9, 185.8, 331.0, 639.0", \ + " 58.7, 72.7, 109.7, 191.9, 337.2, 645.3", \ + " 63.9, 77.8, 114.7, 196.8, 342.1, 650.2", \ + " 71.7, 85.2, 121.7, 203.6, 348.8, 656.9", \ + " 84.5, 99.1, 134.7, 215.9, 360.8, 668.7", \ + " 99.6, 116.2, 154.5, 234.5, 378.5, 685.9", \ + " 119.1, 137.8, 181.6, 263.6, 406.2, 712.3", \ + " 144.6, 165.4, 214.7, 307.0, 448.4, 752.3", \ + " 178.8, 202.3, 257.6, 362.3, 514.2, 814.4", \ + " 225.6, 252.6, 315.2, 432.9, 606.8, 912.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.5, 163.9, 266.5, 496.6, 905.1, 1772.7", \ + " 127.1, 164.4, 265.7, 496.4, 905.1, 1772.7", \ + " 131.9, 168.3, 267.6, 496.0, 905.1, 1772.7", \ + " 140.1, 175.4, 272.5, 497.2, 904.9, 1772.7", \ + " 156.8, 190.6, 284.3, 504.0, 905.5, 1772.7", \ + " 183.0, 215.3, 305.3, 518.8, 913.1, 1772.5", \ + " 221.0, 255.4, 341.5, 547.3, 932.3, 1778.1", \ + " 265.9, 306.4, 398.5, 596.9, 970.0, 1799.5", \ + " 331.5, 375.2, 479.7, 679.0, 1038.8, 1847.1", \ + " 427.7, 475.1, 588.6, 809.0, 1158.0, 1939.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 49.0, 76.5, 136.2, 241.0, 463.4", \ + " 42.6, 53.5, 81.4, 141.4, 246.5, 469.0", \ + " 45.8, 56.6, 84.5, 144.8, 249.9, 472.5", \ + " 48.6, 60.7, 88.8, 149.1, 254.4, 477.1", \ + " 52.5, 65.8, 96.4, 156.7, 262.1, 484.9", \ + " 56.4, 71.2, 104.7, 167.9, 273.2, 496.0", \ + " 59.6, 76.5, 114.1, 183.4, 290.3, 513.0", \ + " 60.5, 80.1, 123.5, 200.8, 315.4, 538.1", \ + " 57.2, 80.2, 131.1, 219.9, 346.6, 576.5", \ + " 46.5, 73.5, 133.7, 238.1, 382.1, 632.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.4, 101.4, 173.7, 336.8, 626.9, 1243.4", \ + " 76.6, 102.8, 173.9, 336.8, 626.9, 1243.4", \ + " 80.6, 106.2, 176.4, 337.3, 626.9, 1243.4", \ + " 87.7, 112.2, 180.8, 340.0, 627.3, 1243.4", \ + " 103.9, 125.9, 191.3, 346.9, 631.1, 1243.5", \ + " 125.4, 149.7, 210.7, 360.8, 640.0, 1247.1", \ + " 156.9, 181.8, 246.2, 387.8, 659.1, 1257.5", \ + " 202.7, 228.4, 295.0, 435.5, 695.8, 1281.1", \ + " 269.2, 296.7, 365.9, 512.9, 762.5, 1329.1", \ + " 364.7, 395.3, 470.0, 623.2, 877.9, 1420.1" ); }} +timing() { /* ring osc delay aoi22v5x05, path b2 to z 57.2 */ +related_pin : "b2" ; +when : "(a1'*a2'*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.5 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.5, 41.1, 68.6, 129.4, 237.0, 465.4", \ + " 37.4, 47.8, 75.3, 136.3, 244.1, 472.6", \ + " 43.2, 53.5, 80.7, 141.7, 249.5, 478.1", \ + " 49.2, 61.3, 88.4, 149.1, 256.9, 485.4", \ + " 57.9, 72.1, 102.6, 162.6, 270.0, 498.4", \ + " 68.3, 84.6, 120.3, 182.6, 289.3, 517.2", \ + " 81.6, 100.4, 141.6, 213.1, 319.0, 546.0", \ + " 98.3, 120.1, 167.4, 250.6, 364.1, 589.2", \ + " 119.8, 145.3, 200.2, 296.0, 428.7, 655.7", \ + " 147.9, 177.9, 242.3, 353.1, 507.4, 759.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.1, 95.8, 172.4, 344.5, 650.5, 1300.6", \ + " 72.2, 99.0, 173.0, 344.4, 650.4, 1300.6", \ + " 79.1, 104.8, 176.7, 344.9, 650.4, 1300.6", \ + " 89.7, 114.2, 183.7, 348.4, 650.4, 1300.6", \ + " 110.7, 133.0, 198.9, 358.5, 654.4, 1300.6", \ + " 135.3, 162.6, 224.4, 377.7, 666.6, 1302.5", \ + " 167.3, 198.3, 266.1, 412.4, 692.0, 1315.2", \ + " 213.0, 246.6, 325.0, 469.9, 738.4, 1345.7", \ + " 278.9, 315.8, 402.0, 561.7, 819.4, 1406.0", \ + " 374.4, 415.9, 511.0, 690.2, 953.5, 1517.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.0, 42.3, 68.9, 128.1, 232.9, 455.3", \ + " 37.7, 48.0, 75.0, 134.4, 239.4, 461.9", \ + " 42.3, 52.8, 79.6, 139.1, 244.2, 466.8", \ + " 46.2, 58.8, 86.1, 145.5, 250.6, 473.2", \ + " 51.0, 65.9, 97.7, 157.0, 262.0, 484.6", \ + " 55.6, 72.9, 110.3, 173.9, 278.5, 500.9", \ + " 59.8, 79.8, 123.5, 198.2, 303.9, 525.8", \ + " 62.9, 86.2, 136.8, 224.4, 342.0, 562.9", \ + " 63.9, 91.1, 149.9, 251.8, 390.7, 619.8", \ + " 60.7, 92.7, 161.5, 280.0, 443.0, 706.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.3, 83.9, 154.8, 314.3, 597.8, 1200.4", \ + " 61.5, 86.4, 155.2, 314.3, 597.8, 1200.4", \ + " 68.1, 92.0, 158.7, 314.6, 597.8, 1200.4", \ + " 78.2, 101.2, 165.7, 318.1, 597.8, 1200.4", \ + " 96.6, 119.3, 181.1, 328.4, 602.1, 1200.4", \ + " 116.8, 144.6, 206.2, 348.4, 614.8, 1202.7", \ + " 146.5, 176.4, 246.6, 384.0, 641.7, 1216.4", \ + " 189.0, 221.1, 297.1, 440.8, 690.3, 1249.0", \ + " 249.9, 285.6, 367.7, 526.4, 772.7, 1313.4", \ + " 338.4, 378.5, 469.3, 641.6, 903.7, 1429.7" ); }} +timing() { /* ring osc delay aoi22v5x05, path b2 to z 74.0 */ +related_pin : "b2" ; +when : "(a1'*a2*b1)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.5 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.0, 60.3, 97.4, 179.6, 324.8, 632.8", \ + " 51.7, 65.9, 103.2, 185.6, 331.1, 639.3", \ + " 57.0, 70.9, 108.0, 190.4, 335.9, 644.2", \ + " 64.9, 78.3, 114.8, 197.0, 342.5, 650.8", \ + " 76.1, 91.6, 127.7, 209.2, 354.4, 662.5", \ + " 89.4, 107.1, 147.4, 227.6, 371.9, 679.6", \ + " 106.7, 126.7, 172.7, 256.5, 399.3, 705.9", \ + " 128.9, 151.6, 203.6, 298.9, 441.2, 745.6", \ + " 158.2, 184.2, 243.2, 351.8, 506.6, 807.3", \ + " 197.1, 227.5, 295.4, 418.6, 596.6, 904.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.9, 149.3, 251.7, 481.6, 889.9, 1757.2", \ + " 112.6, 149.7, 250.9, 481.4, 889.9, 1757.2", \ + " 117.7, 153.8, 252.7, 481.1, 889.9, 1757.2", \ + " 126.1, 161.1, 257.7, 482.1, 889.7, 1757.2", \ + " 142.9, 176.5, 269.7, 488.9, 890.2, 1757.2", \ + " 169.9, 201.4, 290.9, 503.9, 897.7, 1757.0", \ + " 204.0, 242.5, 327.3, 532.6, 917.1, 1762.5", \ + " 247.3, 289.2, 384.8, 582.5, 955.0, 1783.9", \ + " 311.8, 356.2, 463.2, 664.8, 1024.3, 1831.7", \ + " 406.9, 454.9, 569.9, 794.0, 1144.0, 1924.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.3, 45.0, 72.5, 132.3, 237.1, 459.5", \ + " 40.2, 51.0, 78.8, 138.8, 243.9, 466.4", \ + " 45.2, 55.8, 83.5, 143.6, 248.8, 471.3", \ + " 50.1, 62.4, 90.0, 150.1, 255.2, 477.9", \ + " 56.2, 70.7, 101.8, 161.6, 266.6, 489.3", \ + " 62.1, 78.8, 115.4, 178.4, 283.2, 505.6", \ + " 67.6, 86.8, 129.5, 203.0, 308.5, 530.5", \ + " 71.8, 93.9, 143.2, 229.6, 346.3, 567.5", \ + " 73.2, 98.8, 156.1, 257.1, 395.3, 624.0", \ + " 69.4, 99.4, 166.4, 284.4, 447.3, 709.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.7, 99.1, 169.8, 329.2, 612.7, 1215.3", \ + " 76.4, 101.4, 170.2, 329.2, 612.7, 1215.3", \ + " 82.7, 106.6, 173.4, 329.5, 612.7, 1215.3", \ + " 92.5, 115.5, 180.1, 332.8, 612.7, 1215.3", \ + " 112.1, 133.4, 195.2, 342.8, 616.7, 1215.3", \ + " 136.0, 161.4, 220.4, 362.5, 629.2, 1217.5", \ + " 168.5, 196.0, 261.5, 398.2, 655.9, 1230.9", \ + " 214.7, 244.1, 316.0, 455.8, 704.7, 1263.4", \ + " 280.5, 313.0, 390.7, 544.3, 788.0, 1327.9", \ + " 374.5, 411.7, 497.6, 664.5, 921.4, 1445.3" ); }} +timing() { /* ring osc delay aoi22v5x05, path b2 to z 65.5 */ +related_pin : "b2" ; +when : "(a1*a2'*b1)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.5 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.9, 52.2, 89.3, 171.4, 316.6, 624.6", \ + " 43.7, 57.8, 95.0, 177.5, 322.9, 631.1", \ + " 49.4, 63.0, 99.9, 182.3, 327.7, 636.0", \ + " 56.5, 70.6, 106.8, 188.9, 334.3, 642.6", \ + " 66.3, 82.9, 119.9, 201.2, 346.2, 654.3", \ + " 78.3, 97.2, 139.2, 219.6, 363.8, 671.4", \ + " 94.2, 115.5, 163.3, 248.6, 391.3, 697.7", \ + " 114.5, 138.8, 192.9, 290.4, 433.3, 737.5", \ + " 141.4, 169.5, 231.2, 342.0, 498.8, 799.3", \ + " 177.3, 210.3, 281.6, 407.5, 587.6, 896.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 95.6, 134.1, 236.5, 466.3, 874.4, 1741.4", \ + " 98.6, 135.3, 235.8, 466.1, 874.4, 1741.4", \ + " 104.4, 140.0, 238.1, 465.7, 874.4, 1741.4", \ + " 113.6, 147.9, 243.5, 467.1, 874.2, 1741.4", \ + " 131.7, 164.3, 256.2, 474.4, 874.9, 1741.4", \ + " 160.9, 190.3, 278.3, 489.9, 882.8, 1741.1", \ + " 189.6, 229.9, 315.6, 519.3, 902.6, 1747.0", \ + " 232.5, 275.1, 374.0, 570.0, 941.1, 1768.8", \ + " 296.7, 341.6, 449.9, 653.0, 1011.1, 1817.1", \ + " 391.1, 439.7, 555.6, 781.5, 1131.5, 1910.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.3, 42.5, 69.2, 128.3, 233.1, 455.5", \ + " 38.2, 48.5, 75.4, 134.9, 239.8, 462.3", \ + " 42.8, 53.3, 80.2, 139.7, 244.7, 467.3", \ + " 46.8, 59.4, 86.6, 146.1, 251.2, 473.8", \ + " 51.5, 66.5, 98.2, 157.6, 262.6, 485.2", \ + " 55.6, 73.1, 110.8, 174.4, 279.1, 501.6", \ + " 58.9, 79.3, 123.6, 198.6, 304.4, 526.4", \ + " 60.3, 84.3, 135.9, 224.3, 342.3, 563.4", \ + " 58.5, 86.7, 147.1, 250.6, 390.5, 619.9", \ + " 50.7, 84.0, 155.2, 276.5, 441.4, 705.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.3, 83.9, 154.7, 314.2, 597.8, 1200.4", \ + " 61.0, 86.1, 155.1, 314.2, 597.8, 1200.4", \ + " 67.4, 91.4, 158.4, 314.5, 597.8, 1200.4", \ + " 77.2, 100.3, 165.0, 317.8, 597.8, 1200.4", \ + " 95.6, 118.2, 180.2, 327.9, 601.8, 1200.4", \ + " 115.9, 143.6, 205.2, 347.6, 614.3, 1202.6", \ + " 146.2, 175.9, 245.9, 383.2, 641.0, 1216.1", \ + " 189.3, 221.7, 297.3, 440.5, 689.8, 1248.6", \ + " 251.2, 287.5, 369.7, 527.4, 772.9, 1313.1", \ + " 340.4, 382.0, 473.9, 645.4, 905.9, 1430.4" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 53.5 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 6.65 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.0, 60.3, 97.4, 179.6, 324.8, 632.8", \ + " 51.7, 65.9, 103.2, 185.6, 331.1, 639.3", \ + " 57.0, 70.9, 108.0, 190.4, 335.9, 644.2", \ + " 64.9, 78.3, 114.8, 197.0, 342.5, 650.8", \ + " 76.1, 91.6, 127.7, 209.2, 354.4, 662.5", \ + " 89.4, 107.1, 147.4, 227.6, 371.9, 679.6", \ + " 106.7, 126.7, 172.7, 256.5, 399.3, 705.9", \ + " 128.9, 151.6, 203.6, 298.9, 441.2, 745.6", \ + " 158.2, 184.2, 243.2, 351.8, 506.6, 807.3", \ + " 197.1, 227.5, 295.4, 418.6, 596.6, 904.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.9, 149.3, 251.7, 481.6, 889.9, 1757.2", \ + " 112.6, 149.7, 250.9, 481.4, 889.9, 1757.2", \ + " 117.7, 153.8, 252.7, 481.1, 889.9, 1757.2", \ + " 126.1, 161.1, 257.7, 482.1, 889.7, 1757.2", \ + " 142.9, 176.5, 269.7, 488.9, 890.2, 1757.2", \ + " 169.9, 201.4, 290.9, 503.9, 897.7, 1757.0", \ + " 204.0, 242.5, 327.3, 532.6, 917.1, 1762.5", \ + " 247.3, 289.2, 384.8, 582.5, 955.0, 1783.9", \ + " 311.8, 356.2, 463.2, 664.8, 1024.3, 1831.7", \ + " 406.9, 454.9, 569.9, 794.0, 1144.0, 1924.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.3, 45.0, 72.5, 132.3, 237.1, 459.5", \ + " 40.2, 51.0, 78.8, 138.8, 243.9, 466.4", \ + " 45.2, 55.8, 83.5, 143.6, 248.8, 471.3", \ + " 50.1, 62.4, 90.0, 150.1, 255.2, 477.9", \ + " 56.2, 70.7, 101.8, 161.6, 266.6, 489.3", \ + " 62.1, 78.8, 115.4, 178.4, 283.2, 505.6", \ + " 67.6, 86.8, 129.5, 203.0, 308.5, 530.5", \ + " 71.8, 93.9, 143.2, 229.6, 346.3, 567.5", \ + " 73.2, 98.8, 156.1, 257.1, 395.3, 624.0", \ + " 69.4, 99.4, 166.4, 284.4, 447.3, 709.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.7, 99.1, 169.8, 329.2, 612.7, 1215.3", \ + " 76.4, 101.4, 170.2, 329.2, 612.7, 1215.3", \ + " 82.7, 106.6, 173.4, 329.5, 612.7, 1215.3", \ + " 92.5, 115.5, 180.1, 332.8, 612.7, 1215.3", \ + " 112.1, 133.4, 195.2, 342.8, 616.7, 1215.3", \ + " 136.0, 161.4, 220.4, 362.5, 629.2, 1217.5", \ + " 168.5, 196.0, 261.5, 398.2, 655.9, 1230.9", \ + " 214.7, 244.1, 316.0, 455.8, 704.7, 1263.4", \ + " 280.5, 313.0, 390.7, 544.3, 788.0, 1327.9", \ + " 374.5, 411.7, 497.6, 664.5, 921.4, 1445.3" ); }} +} +} +cell(aoi31v0x05) { /* 2008-01-06:07h28 characteristic delay 17.7 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 370 ; /* aoi31v0x05 */ +cell_footprint : aoi31 ; +pin(a1) { /* aoi31v0x05 FO4 effort 2.21 logical effort 2.28 */ +direction : input ; +capacitance : 3.12 ; +rise_capacitance : 3.08 ; +fall_capacitance : 3.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi31v0x05 */ +} +pin(a2) { /* aoi31v0x05 FO4 effort 2.12 logical effort 2.23 */ +direction : input ; +capacitance : 3.06 ; +rise_capacitance : 3.08 ; +fall_capacitance : 3.03 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi31v0x05 */ +} +pin(a3) { /* aoi31v0x05 FO4 effort 2.01 logical effort 2.21 */ +direction : input ; +capacitance : 3.01 ; +rise_capacitance : 3.12 ; +fall_capacitance : 2.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of aoi31v0x05 */ +} +pin(b) { /* aoi31v0x05 FO4 effort 1.42 logical effort 1.54 */ +direction : input ; +capacitance : 2.65 ; +rise_capacitance : 2.50 ; +fall_capacitance : 2.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi31v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 57 ; +max_fanout : 2 ; +function : "((a1*a2*a3)+b)'" ; +internal_power(a1_z_n) { /* aoi31v0x05 13.12 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.74, 6.75, 6.75, 6.75, 6.73", \ + " 6.60, 6.62, 6.64, 6.65, 6.64", \ + " 6.55, 6.57, 6.60, 6.62, 6.61", \ + " 6.51, 6.53, 6.56, 6.59, 6.59", \ + " 6.50, 6.51, 6.53, 6.56, 6.57", \ + " 6.60, 6.59, 6.58, 6.58, 6.58", \ + " 6.95, 6.89, 6.80, 6.72, 6.66", \ + " 7.78, 7.64, 7.40, 7.14, 6.94", \ + " 9.41, 9.17, 8.70, 8.13, 7.65", \ + " 12.27, 11.91, 11.18, 10.14, 9.17" ); }} +internal_power(a2_z_n) { /* aoi31v0x05 11.50 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 5.93, 5.95, 5.96, 5.96, 5.95", \ + " 5.77, 5.80, 5.84, 5.87, 5.88", \ + " 5.71, 5.74, 5.79, 5.84, 5.85", \ + " 5.67, 5.70, 5.75, 5.80, 5.82", \ + " 5.68, 5.69, 5.72, 5.77, 5.80", \ + " 5.81, 5.79, 5.78, 5.79, 5.80", \ + " 6.20, 6.13, 6.03, 5.94, 5.89", \ + " 7.04, 6.89, 6.63, 6.36, 6.16", \ + " 8.64, 8.39, 7.90, 7.32, 6.84", \ + " 11.37, 11.01, 10.26, 9.23, 8.29" ); }} +internal_power(a3_z_n) { /* aoi31v0x05 9.80 nW/MHz */ +related_pin : "a3" ; +power(pwr_x05_81_5x10) { +values( " 5.05, 5.09, 5.13, 5.15, 5.15", \ + " 4.87, 4.93, 5.00, 5.06, 5.09", \ + " 4.82, 4.86, 4.95, 5.02, 5.06", \ + " 4.79, 4.83, 4.90, 4.98, 5.03", \ + " 4.84, 4.85, 4.89, 4.95, 5.00", \ + " 5.03, 5.00, 4.97, 4.98, 5.00", \ + " 5.48, 5.39, 5.25, 5.15, 5.09", \ + " 6.37, 6.20, 5.90, 5.59, 5.38", \ + " 7.99, 7.71, 7.19, 6.57, 6.08", \ + " 10.70, 10.30, 9.52, 8.46, 7.52" ); }} +internal_power(b_z_n) { /* aoi31v0x05 6.08 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 3.00, 3.06, 3.13, 3.17, 3.19", \ + " 2.89, 2.95, 3.05, 3.13, 3.17", \ + " 2.91, 2.95, 3.03, 3.11, 3.16", \ + " 3.00, 3.00, 3.04, 3.11, 3.15", \ + " 3.22, 3.17, 3.14, 3.14, 3.17", \ + " 3.63, 3.51, 3.37, 3.28, 3.24", \ + " 4.34, 4.15, 3.86, 3.60, 3.44", \ + " 5.50, 5.21, 4.73, 4.23, 3.88", \ + " 7.37, 6.97, 6.25, 5.41, 4.75", \ + " 10.33, 9.81, 8.81, 7.52, 6.39" ); }} +timing() { /* ring osc delay aoi31v0x05, path a1 to z 93.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.7 ; */ +/* intrinsic_fall : 60.3 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.23 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.3, 89.6, 126.8, 209.1, 354.4, 662.5", \ + " 82.6, 97.1, 134.4, 216.9, 362.3, 670.5", \ + " 88.1, 102.6, 140.0, 222.5, 367.9, 676.2", \ + " 95.0, 109.5, 146.9, 229.4, 375.0, 683.2", \ + " 106.4, 120.9, 158.3, 240.8, 386.4, 694.7", \ + " 119.5, 135.7, 174.2, 256.6, 402.0, 710.3", \ + " 136.3, 154.1, 196.6, 280.5, 425.6, 733.6", \ + " 159.5, 178.9, 225.3, 316.0, 461.1, 768.2", \ + " 193.4, 214.7, 265.2, 363.7, 516.2, 821.6", \ + " 243.1, 266.8, 322.6, 429.5, 594.9, 905.2" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 133.9, 172.2, 275.6, 509.8, 927.4, 1815.1", \ + " 134.2, 172.4, 275.7, 509.8, 927.4, 1815.1", \ + " 135.1, 173.1, 276.0, 509.9, 927.4, 1815.1", \ + " 138.9, 176.2, 277.7, 510.2, 927.4, 1815.1", \ + " 147.7, 184.2, 284.0, 513.7, 927.9, 1815.1", \ + " 164.1, 199.2, 296.7, 522.9, 932.8, 1815.3", \ + " 195.8, 228.3, 321.3, 542.1, 945.9, 1820.1", \ + " 241.7, 276.7, 366.4, 578.3, 973.1, 1836.0", \ + " 312.7, 348.5, 441.7, 644.2, 1025.4, 1871.6", \ + " 418.6, 455.7, 551.6, 757.6, 1120.9, 1942.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.6, 63.7, 91.3, 150.4, 253.2, 469.7", \ + " 56.5, 67.6, 95.3, 154.5, 257.3, 473.9", \ + " 58.6, 69.7, 97.5, 156.8, 259.6, 476.3", \ + " 61.0, 72.3, 100.1, 159.4, 262.3, 479.0", \ + " 63.5, 75.5, 104.3, 163.7, 266.7, 483.4", \ + " 65.5, 78.2, 108.8, 169.9, 272.9, 489.5", \ + " 66.3, 80.3, 113.3, 178.2, 282.4, 498.9", \ + " 64.3, 80.2, 116.9, 186.8, 296.4, 513.1", \ + " 57.0, 75.6, 117.7, 195.0, 312.3, 535.3", \ + " 41.0, 62.6, 111.8, 200.2, 328.7, 565.7" ); } +fall_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 101.6, 128.3, 201.5, 368.3, 666.2, 1300.2", \ + " 102.5, 129.1, 201.9, 368.4, 666.3, 1300.2", \ + " 104.7, 131.1, 203.5, 369.2, 666.4, 1300.3", \ + " 108.8, 134.8, 206.5, 371.3, 667.4, 1300.3", \ + " 118.9, 143.8, 213.8, 376.7, 670.9, 1301.4", \ + " 136.5, 161.5, 228.4, 387.5, 678.5, 1305.6", \ + " 162.6, 188.4, 256.3, 409.5, 694.5, 1315.6", \ + " 203.9, 230.2, 299.2, 449.9, 725.9, 1336.5", \ + " 268.9, 295.6, 365.4, 517.8, 784.5, 1379.0", \ + " 369.4, 396.8, 467.8, 621.2, 887.3, 1460.3" ); }} +timing() { /* ring osc delay aoi31v0x05, path a2 to z 89.1 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.8 ; */ +/* intrinsic_fall : 59.3 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 5.23 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.3, 81.4, 118.3, 200.2, 345.3, 653.3", \ + " 74.2, 88.6, 125.8, 208.1, 353.4, 661.5", \ + " 79.5, 93.9, 131.2, 213.6, 359.0, 667.2", \ + " 86.3, 100.6, 137.9, 220.4, 366.0, 674.3", \ + " 97.0, 111.9, 149.1, 231.6, 377.2, 685.7", \ + " 108.6, 125.4, 164.9, 247.2, 392.8, 701.2", \ + " 123.7, 142.3, 186.1, 271.0, 416.2, 724.4", \ + " 144.1, 164.8, 213.1, 305.7, 451.4, 758.8", \ + " 173.5, 196.8, 250.3, 351.6, 506.1, 811.9", \ + " 216.1, 242.6, 302.8, 414.2, 582.7, 895.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.8, 151.3, 254.8, 489.1, 906.6, 1794.2", \ + " 113.4, 151.6, 254.9, 489.1, 906.6, 1794.2", \ + " 114.5, 152.4, 255.3, 489.2, 906.6, 1794.2", \ + " 118.7, 155.8, 257.0, 489.6, 906.7, 1794.2", \ + " 128.1, 164.2, 263.6, 493.0, 907.1, 1794.2", \ + " 145.6, 179.7, 276.5, 502.3, 912.0, 1794.3", \ + " 176.4, 209.9, 301.4, 521.6, 925.2, 1799.1", \ + " 220.5, 256.1, 347.0, 557.8, 952.3, 1815.0", \ + " 289.3, 325.6, 420.3, 623.7, 1004.6, 1850.6", \ + " 392.7, 430.1, 527.4, 736.2, 1099.8, 1921.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 60.2, 87.8, 146.9, 249.7, 466.3", \ + " 53.2, 64.3, 92.0, 151.2, 254.0, 470.6", \ + " 56.2, 67.2, 94.9, 154.2, 257.1, 473.7", \ + " 60.0, 71.3, 99.0, 158.3, 261.2, 477.8", \ + " 64.8, 77.1, 106.3, 165.6, 268.5, 485.2", \ + " 69.8, 83.4, 115.0, 176.3, 279.2, 495.9", \ + " 74.2, 89.7, 125.0, 191.6, 295.6, 512.1", \ + " 76.6, 94.5, 135.0, 209.0, 319.9, 536.3", \ + " 75.5, 96.2, 143.2, 227.8, 350.1, 573.4", \ + " 68.1, 92.1, 147.0, 245.3, 384.1, 627.2" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 98.5, 124.7, 196.1, 358.4, 648.2, 1264.8", \ + " 100.5, 126.2, 196.7, 358.5, 648.2, 1264.8", \ + " 103.9, 129.3, 199.1, 359.5, 648.3, 1264.8", \ + " 110.1, 134.9, 203.4, 362.3, 649.2, 1264.8", \ + " 124.5, 147.9, 214.0, 369.5, 653.3, 1265.3", \ + " 146.9, 171.1, 233.6, 384.2, 662.9, 1269.5", \ + " 176.5, 202.7, 268.3, 412.5, 683.5, 1280.9", \ + " 220.7, 248.0, 317.0, 461.1, 722.6, 1306.7", \ + " 286.2, 315.1, 387.3, 538.1, 792.2, 1358.6", \ + " 381.5, 413.5, 490.7, 648.2, 908.4, 1455.1" ); }} +timing() { /* ring osc delay aoi31v0x05, path a3 to z 81.7 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.3 ; */ +/* intrinsic_fall : 56.3 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.22 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.4, 108.7, 190.8, 336.0, 644.0", \ + " 63.5, 78.3, 116.0, 198.7, 344.2, 652.5", \ + " 68.7, 83.4, 121.2, 204.1, 349.8, 658.2", \ + " 75.3, 90.1, 127.8, 210.8, 356.7, 665.3", \ + " 84.6, 100.9, 138.8, 221.8, 367.8, 676.6", \ + " 94.6, 112.9, 154.4, 237.2, 383.1, 692.0", \ + " 107.5, 128.0, 174.1, 260.8, 406.3, 715.0", \ + " 124.7, 147.9, 199.3, 294.6, 441.3, 749.2", \ + " 148.9, 175.6, 233.6, 338.6, 495.5, 802.0", \ + " 183.6, 214.6, 281.1, 398.1, 570.1, 884.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.4, 130.6, 233.8, 467.8, 885.0, 1772.2", \ + " 93.2, 131.0, 233.9, 467.8, 885.0, 1772.2", \ + " 94.7, 131.9, 234.3, 467.9, 885.0, 1772.2", \ + " 99.6, 135.8, 236.2, 468.3, 885.1, 1772.2", \ + " 110.1, 144.9, 243.2, 471.8, 885.5, 1772.2", \ + " 129.4, 161.4, 256.5, 481.3, 890.4, 1772.3", \ + " 158.5, 193.1, 282.0, 500.9, 903.7, 1777.1", \ + " 201.1, 236.7, 328.6, 537.4, 931.1, 1793.0", \ + " 269.2, 304.8, 400.0, 603.6, 983.6, 1828.7", \ + " 372.7, 408.8, 505.0, 715.8, 1078.9, 1900.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 53.4, 81.0, 140.2, 243.0, 459.5", \ + " 47.2, 58.3, 86.0, 145.3, 248.1, 464.7", \ + " 51.5, 62.5, 90.2, 149.4, 252.3, 468.9", \ + " 57.2, 68.4, 95.9, 155.1, 258.0, 474.6", \ + " 64.4, 77.3, 106.4, 165.4, 268.2, 484.7", \ + " 71.3, 86.2, 119.7, 180.7, 283.1, 499.4", \ + " 77.6, 94.9, 133.6, 203.0, 306.1, 521.8", \ + " 82.7, 102.5, 147.2, 227.5, 340.3, 555.4", \ + " 85.2, 108.0, 159.6, 252.5, 383.6, 606.9", \ + " 83.0, 109.5, 169.2, 276.8, 429.2, 683.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 96.3, 122.2, 192.0, 350.2, 633.0, 1234.9", \ + " 98.6, 123.4, 192.0, 350.2, 633.0, 1234.9", \ + " 104.0, 128.0, 194.9, 350.6, 633.0, 1234.9", \ + " 112.9, 136.2, 201.2, 353.9, 633.3, 1234.9", \ + " 130.6, 153.1, 215.8, 363.9, 637.7, 1235.0", \ + " 154.7, 179.9, 240.3, 383.5, 650.5, 1238.1", \ + " 184.6, 212.9, 279.7, 418.8, 677.5, 1252.7", \ + " 228.2, 258.8, 332.0, 475.1, 726.4, 1286.2", \ + " 291.6, 325.3, 404.4, 560.0, 808.5, 1351.5", \ + " 383.4, 421.8, 509.0, 676.3, 938.2, 1468.6" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 42.1 */ +related_pin : "b" ; +when : "(a1'*a2'*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 34.4, 58.8, 113.0, 208.8, 412.2", \ + " 32.4, 41.5, 65.8, 120.1, 216.1, 419.6", \ + " 37.6, 47.6, 71.6, 125.8, 221.7, 425.2", \ + " 42.9, 54.8, 79.7, 133.5, 229.3, 432.8", \ + " 50.8, 64.7, 94.0, 147.5, 243.0, 446.2", \ + " 60.3, 76.3, 110.6, 168.3, 262.9, 465.7", \ + " 72.7, 91.1, 130.8, 198.5, 293.8, 495.5", \ + " 88.2, 109.7, 155.3, 234.3, 340.3, 540.1", \ + " 108.4, 133.6, 186.6, 277.8, 402.8, 608.8", \ + " 135.1, 164.8, 227.1, 332.7, 478.3, 714.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.4, 77.0, 145.3, 298.9, 572.0, 1152.4", \ + " 58.7, 82.0, 147.0, 298.9, 572.0, 1152.4", \ + " 66.5, 88.7, 151.6, 300.2, 572.0, 1152.4", \ + " 78.0, 98.9, 159.5, 304.7, 572.5, 1152.4", \ + " 98.0, 118.5, 175.9, 316.3, 578.2, 1152.4", \ + " 118.7, 145.7, 202.2, 337.0, 592.1, 1156.3", \ + " 148.2, 178.3, 244.5, 373.1, 619.8, 1171.7", \ + " 189.7, 222.7, 297.9, 431.2, 668.4, 1205.4", \ + " 249.4, 285.9, 369.4, 521.3, 751.1, 1269.6", \ + " 336.0, 377.0, 469.8, 641.0, 884.8, 1384.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.3, 29.8, 49.1, 92.0, 167.9, 328.9", \ + " 29.2, 36.8, 56.3, 99.3, 175.3, 336.4", \ + " 32.8, 42.1, 61.8, 104.9, 180.9, 342.1", \ + " 36.0, 47.1, 69.3, 112.3, 188.4, 349.5", \ + " 39.7, 53.2, 80.7, 125.6, 201.6, 362.7", \ + " 42.9, 58.8, 91.9, 144.8, 220.7, 381.6", \ + " 45.4, 64.1, 103.3, 167.6, 249.8, 410.3", \ + " 46.2, 68.3, 114.4, 191.1, 290.2, 452.8", \ + " 44.2, 70.2, 124.5, 215.2, 334.7, 517.6", \ + " 37.1, 67.8, 132.0, 239.1, 381.6, 604.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 50.0, 97.8, 205.2, 396.4, 802.5", \ + " 38.8, 54.7, 99.6, 205.2, 396.4, 802.5", \ + " 46.9, 61.6, 104.5, 207.1, 396.4, 802.5", \ + " 57.9, 72.5, 113.1, 212.4, 397.7, 802.5", \ + " 73.6, 91.9, 131.3, 225.6, 405.1, 802.9", \ + " 94.3, 115.2, 160.1, 249.4, 421.9, 809.7", \ + " 123.3, 147.2, 199.1, 290.1, 454.3, 829.7", \ + " 163.5, 190.7, 249.9, 353.4, 510.7, 870.7", \ + " 220.4, 251.9, 319.3, 438.4, 603.5, 946.8", \ + " 302.7, 339.0, 417.0, 552.8, 743.6, 1079.6" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 53.4 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 45.9, 73.5, 134.4, 242.1, 470.6", \ + " 42.2, 52.6, 80.2, 141.2, 249.1, 477.7", \ + " 48.2, 58.4, 85.7, 146.7, 254.5, 483.1", \ + " 55.4, 66.6, 93.4, 154.1, 261.8, 490.4", \ + " 65.4, 78.7, 107.6, 167.6, 275.0, 503.4", \ + " 77.3, 92.6, 126.6, 187.8, 294.4, 522.3", \ + " 92.6, 110.0, 149.4, 218.8, 324.3, 551.1", \ + " 112.0, 132.0, 177.0, 257.8, 369.7, 594.6", \ + " 137.2, 160.4, 212.2, 305.2, 435.6, 661.5", \ + " 170.6, 197.8, 258.0, 365.0, 516.5, 765.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.8, 103.6, 180.2, 352.5, 658.6, 1309.0", \ + " 79.3, 106.4, 180.7, 352.4, 658.6, 1309.0", \ + " 85.7, 111.9, 184.3, 352.8, 658.6, 1309.0", \ + " 95.4, 120.7, 191.1, 356.2, 658.5, 1309.0", \ + " 114.4, 138.2, 205.7, 366.2, 662.6, 1309.0", \ + " 139.8, 165.7, 229.9, 385.0, 674.6, 1310.9", \ + " 169.7, 201.2, 269.4, 418.4, 699.6, 1323.5", \ + " 211.6, 246.3, 326.1, 473.4, 744.8, 1353.7", \ + " 271.9, 310.0, 398.5, 560.7, 822.8, 1412.9", \ + " 359.3, 401.7, 499.4, 683.1, 951.1, 1520.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.0, 31.9, 52.2, 96.0, 172.1, 333.2", \ + " 31.2, 39.0, 59.4, 103.4, 179.7, 340.8", \ + " 35.8, 44.7, 64.9, 109.0, 185.3, 346.5", \ + " 40.2, 50.8, 72.5, 116.4, 192.8, 354.0", \ + " 46.0, 58.6, 85.0, 129.7, 205.9, 367.1", \ + " 51.7, 66.2, 97.7, 149.2, 225.0, 386.1", \ + " 57.0, 73.8, 110.7, 173.0, 254.1, 414.7", \ + " 61.0, 80.4, 123.4, 197.7, 295.0, 457.2", \ + " 62.4, 84.9, 135.3, 222.9, 340.5, 521.9", \ + " 59.1, 85.4, 144.5, 248.0, 388.4, 609.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.7, 64.4, 112.0, 219.4, 410.5, 816.6", \ + " 53.1, 68.9, 113.8, 219.4, 410.4, 816.6", \ + " 60.9, 75.7, 118.5, 221.2, 410.5, 816.6", \ + " 72.6, 86.4, 127.0, 226.4, 411.7, 816.6", \ + " 92.5, 107.5, 145.1, 239.5, 419.0, 816.9", \ + " 116.6, 134.2, 174.0, 263.1, 435.7, 823.7", \ + " 149.8, 169.8, 216.4, 304.0, 468.1, 843.6", \ + " 194.8, 217.5, 270.8, 368.6, 524.5, 884.6", \ + " 257.5, 283.8, 344.4, 457.0, 618.0, 960.7", \ + " 346.2, 377.1, 447.3, 575.8, 760.8, 1094.0" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 44.8 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 37.8, 65.3, 126.1, 233.8, 462.2", \ + " 34.3, 44.6, 71.9, 133.0, 240.8, 469.3", \ + " 39.8, 50.5, 77.5, 138.4, 246.2, 474.7", \ + " 45.4, 58.1, 85.3, 145.8, 253.5, 482.1", \ + " 53.7, 68.4, 99.7, 159.4, 266.7, 495.0", \ + " 63.8, 80.7, 117.1, 179.7, 286.1, 513.9", \ + " 77.0, 96.4, 138.3, 210.4, 316.2, 542.8", \ + " 93.9, 116.2, 164.2, 247.9, 361.6, 586.3", \ + " 116.0, 142.1, 197.5, 293.7, 426.6, 653.3", \ + " 145.5, 176.2, 240.9, 351.7, 505.9, 757.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.2, 87.9, 164.5, 336.6, 642.6, 1292.7", \ + " 65.7, 92.2, 165.6, 336.5, 642.6, 1292.7", \ + " 73.1, 98.5, 169.8, 337.2, 642.5, 1292.7", \ + " 84.0, 108.1, 177.1, 341.1, 642.6, 1292.7", \ + " 105.3, 126.8, 192.6, 351.7, 647.0, 1292.7", \ + " 125.2, 155.1, 217.8, 371.1, 659.5, 1294.8", \ + " 154.0, 186.9, 258.2, 405.4, 685.1, 1307.8", \ + " 194.9, 230.7, 312.8, 461.1, 731.0, 1338.5", \ + " 254.3, 293.3, 383.6, 549.2, 809.8, 1398.3", \ + " 340.5, 383.9, 483.2, 669.3, 938.8, 1506.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 29.9, 49.2, 92.1, 167.9, 329.0", \ + " 29.3, 36.9, 56.4, 99.5, 175.5, 336.6", \ + " 32.9, 42.2, 61.9, 105.0, 181.1, 342.2", \ + " 36.2, 47.3, 69.5, 112.5, 188.6, 349.7", \ + " 39.8, 53.3, 80.9, 125.8, 201.8, 362.9", \ + " 42.9, 58.9, 92.0, 145.0, 220.8, 381.8", \ + " 45.0, 63.9, 103.3, 167.7, 249.9, 410.5", \ + " 45.2, 67.5, 114.0, 191.0, 290.3, 453.0", \ + " 42.1, 68.5, 123.5, 214.7, 334.6, 517.6", \ + " 33.3, 64.5, 129.7, 237.8, 381.0, 604.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 50.0, 97.8, 205.2, 396.4, 802.5", \ + " 38.5, 54.5, 99.5, 205.2, 396.4, 802.5", \ + " 46.5, 61.4, 104.3, 207.1, 396.4, 802.5", \ + " 57.5, 72.1, 112.8, 212.3, 397.6, 802.5", \ + " 73.3, 91.5, 130.9, 225.4, 405.0, 802.9", \ + " 94.1, 115.0, 159.8, 249.0, 421.6, 809.6", \ + " 123.3, 147.1, 199.0, 289.8, 454.1, 829.5", \ + " 163.8, 191.1, 250.1, 353.4, 510.5, 870.5", \ + " 220.9, 252.7, 320.2, 438.9, 603.6, 946.6", \ + " 303.4, 340.3, 418.8, 554.4, 744.5, 1079.9" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 71.1 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 68.5, 106.2, 188.8, 334.4, 642.6", \ + " 59.7, 74.3, 112.0, 194.8, 340.6, 649.0", \ + " 65.0, 79.3, 116.8, 199.6, 345.4, 653.9", \ + " 72.7, 86.7, 123.8, 206.3, 352.0, 660.5", \ + " 86.0, 100.7, 136.8, 218.6, 363.9, 672.2", \ + " 101.4, 118.1, 156.5, 237.1, 381.6, 689.3", \ + " 120.9, 139.9, 184.0, 266.2, 409.2, 715.7", \ + " 145.9, 167.2, 217.2, 309.8, 451.4, 755.7", \ + " 179.1, 203.2, 259.6, 365.2, 517.3, 817.9", \ + " 223.6, 251.4, 315.5, 435.2, 610.1, 915.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.8, 166.0, 268.2, 498.1, 906.6, 1774.2", \ + " 128.7, 165.9, 267.2, 497.9, 906.5, 1774.2", \ + " 133.2, 169.6, 268.8, 497.5, 906.5, 1774.2", \ + " 140.6, 176.2, 273.4, 498.4, 906.4, 1774.2", \ + " 155.7, 190.2, 284.7, 504.9, 906.8, 1774.2", \ + " 179.5, 212.8, 304.6, 519.3, 914.0, 1773.9", \ + " 215.1, 250.0, 338.4, 546.7, 932.9, 1779.2", \ + " 254.7, 297.0, 391.7, 593.9, 969.6, 1800.3", \ + " 313.1, 358.6, 467.3, 671.5, 1035.9, 1847.1", \ + " 399.5, 448.4, 566.2, 793.9, 1149.4, 1936.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 32.0, 52.3, 96.7, 174.4, 336.7", \ + " 31.5, 39.3, 59.7, 104.3, 182.1, 344.6", \ + " 36.2, 45.1, 65.3, 109.9, 187.8, 350.2", \ + " 40.6, 51.2, 72.9, 117.4, 195.3, 357.8", \ + " 46.3, 59.0, 85.6, 130.7, 208.5, 371.0", \ + " 51.9, 66.7, 98.5, 150.3, 227.6, 389.9", \ + " 57.6, 74.6, 112.1, 175.0, 256.6, 418.5", \ + " 62.8, 82.1, 125.7, 200.7, 298.2, 460.9", \ + " 66.7, 88.5, 138.7, 227.0, 344.6, 525.4", \ + " 65.6, 90.5, 148.6, 252.2, 392.7, 613.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.3, 79.6, 126.4, 233.3, 424.1, 830.1", \ + " 68.3, 83.7, 128.0, 233.3, 424.1, 830.1", \ + " 75.6, 90.2, 132.6, 234.9, 424.1, 830.1", \ + " 86.6, 100.5, 140.8, 239.9, 425.2, 830.1", \ + " 108.2, 120.7, 158.5, 252.8, 432.4, 830.4", \ + " 135.3, 150.5, 187.1, 276.2, 448.8, 837.0", \ + " 172.3, 189.6, 231.9, 317.1, 481.1, 856.7", \ + " 222.2, 241.7, 290.0, 382.4, 537.6, 897.6", \ + " 291.2, 313.7, 368.6, 474.9, 632.0, 973.9", \ + " 387.6, 414.3, 477.9, 599.3, 778.3, 1108.2" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 44.8 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 37.8, 65.3, 126.1, 233.8, 462.2", \ + " 34.3, 44.6, 71.9, 133.0, 240.8, 469.3", \ + " 39.8, 50.5, 77.5, 138.4, 246.2, 474.7", \ + " 45.4, 58.1, 85.3, 145.8, 253.5, 482.1", \ + " 53.7, 68.4, 99.7, 159.4, 266.7, 495.0", \ + " 63.8, 80.7, 117.1, 179.7, 286.1, 513.9", \ + " 77.0, 96.4, 138.3, 210.4, 316.2, 542.8", \ + " 93.9, 116.2, 164.2, 247.9, 361.6, 586.3", \ + " 116.0, 142.1, 197.5, 293.7, 426.6, 653.3", \ + " 145.5, 176.2, 240.9, 351.7, 505.9, 757.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.2, 87.9, 164.5, 336.6, 642.6, 1292.7", \ + " 65.7, 92.2, 165.6, 336.5, 642.6, 1292.7", \ + " 73.1, 98.5, 169.8, 337.2, 642.5, 1292.7", \ + " 84.0, 108.1, 177.1, 341.1, 642.6, 1292.7", \ + " 105.3, 126.8, 192.6, 351.7, 647.0, 1292.7", \ + " 125.2, 155.1, 217.8, 371.1, 659.5, 1294.8", \ + " 154.0, 186.9, 258.2, 405.4, 685.1, 1307.8", \ + " 194.9, 230.7, 312.8, 461.1, 731.0, 1338.5", \ + " 254.3, 293.3, 383.6, 549.2, 809.8, 1398.3", \ + " 340.5, 383.9, 483.2, 669.3, 938.8, 1506.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 29.9, 49.2, 92.1, 167.9, 329.0", \ + " 29.3, 36.9, 56.4, 99.5, 175.5, 336.6", \ + " 32.9, 42.2, 61.9, 105.0, 181.1, 342.2", \ + " 36.2, 47.3, 69.5, 112.5, 188.6, 349.7", \ + " 39.8, 53.3, 80.9, 125.8, 201.8, 362.9", \ + " 42.9, 58.9, 92.0, 145.0, 220.8, 381.8", \ + " 45.0, 63.9, 103.3, 167.7, 249.9, 410.5", \ + " 45.2, 67.5, 114.0, 191.0, 290.3, 453.0", \ + " 42.1, 68.5, 123.5, 214.7, 334.6, 517.6", \ + " 33.3, 64.5, 129.7, 237.8, 381.0, 604.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 50.0, 97.8, 205.2, 396.4, 802.5", \ + " 38.5, 54.5, 99.5, 205.2, 396.4, 802.5", \ + " 46.5, 61.4, 104.3, 207.1, 396.4, 802.5", \ + " 57.5, 72.1, 112.8, 212.3, 397.6, 802.5", \ + " 73.3, 91.5, 130.9, 225.4, 405.0, 802.9", \ + " 94.1, 115.0, 159.8, 249.0, 421.6, 809.6", \ + " 123.3, 147.1, 199.0, 289.8, 454.1, 829.5", \ + " 163.8, 191.1, 250.1, 353.4, 510.5, 870.5", \ + " 220.9, 252.7, 320.2, 438.9, 603.6, 946.6", \ + " 303.4, 340.3, 418.8, 554.4, 744.5, 1079.9" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 62.9 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 58.9, 96.1, 178.3, 323.5, 631.6", \ + " 50.4, 64.5, 101.7, 184.2, 329.7, 637.9", \ + " 55.9, 69.7, 106.6, 189.0, 334.5, 642.8", \ + " 64.0, 77.3, 113.6, 195.7, 341.1, 649.4", \ + " 75.3, 90.8, 126.8, 208.0, 353.0, 661.1", \ + " 88.8, 106.6, 146.7, 226.6, 370.7, 678.3", \ + " 106.5, 126.5, 172.4, 255.9, 398.4, 704.7", \ + " 129.4, 152.0, 203.9, 298.9, 440.8, 744.8", \ + " 159.9, 185.7, 244.4, 352.5, 506.9, 807.0", \ + " 200.9, 230.9, 298.1, 420.7, 598.1, 905.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.5, 145.0, 247.4, 477.5, 885.9, 1753.3", \ + " 108.8, 145.8, 246.6, 477.2, 885.9, 1753.3", \ + " 114.2, 150.2, 248.9, 476.8, 885.8, 1753.3", \ + " 122.7, 157.7, 254.1, 478.2, 885.6, 1753.3", \ + " 139.1, 172.9, 266.3, 485.3, 886.3, 1753.3", \ + " 165.1, 196.8, 287.2, 500.4, 894.1, 1753.0", \ + " 195.4, 236.0, 322.1, 528.7, 913.6, 1758.8", \ + " 234.4, 278.0, 376.7, 576.9, 951.0, 1780.3", \ + " 292.3, 338.7, 449.3, 655.4, 1018.2, 1827.7", \ + " 377.9, 427.8, 546.9, 777.1, 1132.7, 1918.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 32.0, 52.2, 96.0, 172.1, 333.1", \ + " 31.5, 39.2, 59.6, 103.6, 179.8, 341.0", \ + " 36.1, 45.0, 65.2, 109.2, 185.5, 346.6", \ + " 40.6, 51.2, 72.8, 116.7, 193.0, 354.2", \ + " 46.1, 58.8, 85.3, 130.0, 206.2, 367.4", \ + " 51.2, 66.1, 97.8, 149.4, 225.3, 386.3", \ + " 55.5, 72.8, 110.4, 173.1, 254.3, 414.9", \ + " 57.8, 77.9, 122.1, 197.3, 295.0, 457.3", \ + " 56.4, 80.0, 132.1, 221.5, 340.0, 521.8", \ + " 48.5, 76.3, 137.9, 244.2, 386.6, 608.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.4, 64.2, 111.7, 219.1, 410.2, 816.3", \ + " 52.3, 68.3, 113.3, 219.1, 410.2, 816.3", \ + " 59.9, 74.8, 117.9, 220.8, 410.2, 816.3", \ + " 71.4, 85.3, 126.1, 225.8, 411.4, 816.3", \ + " 91.0, 106.1, 143.9, 238.6, 418.5, 816.6", \ + " 115.3, 132.8, 172.7, 262.1, 434.9, 823.2", \ + " 148.9, 168.9, 215.4, 303.0, 467.2, 843.0", \ + " 194.7, 217.5, 270.5, 367.9, 523.7, 883.9", \ + " 258.4, 285.1, 345.7, 457.5, 617.9, 960.1", \ + " 348.2, 379.9, 450.7, 578.7, 762.5, 1094.4" ); }} +timing() { /* ring osc delay aoi31v0x05, path b to z 52.6 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.3, 47.7, 84.9, 167.0, 312.2, 620.3", \ + " 39.6, 53.4, 90.5, 173.0, 318.4, 626.6", \ + " 45.7, 58.8, 95.5, 177.8, 323.2, 631.5", \ + " 52.0, 66.8, 102.6, 184.5, 329.8, 638.1", \ + " 61.5, 78.6, 116.0, 196.9, 341.8, 649.8", \ + " 73.2, 92.6, 135.4, 215.6, 359.5, 667.0", \ + " 88.8, 110.7, 159.4, 245.1, 387.3, 693.5", \ + " 109.1, 134.1, 189.0, 287.1, 429.8, 733.6", \ + " 136.3, 165.2, 227.5, 339.0, 496.1, 796.0", \ + " 173.3, 207.1, 279.0, 405.3, 585.6, 894.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.3, 123.8, 226.3, 456.2, 864.3, 1731.3", \ + " 89.7, 126.0, 225.8, 455.9, 864.3, 1731.3", \ + " 96.0, 131.2, 228.7, 455.4, 864.2, 1731.3", \ + " 105.5, 139.5, 234.6, 457.4, 864.0, 1731.3", \ + " 123.5, 155.9, 247.7, 465.1, 865.0, 1731.3", \ + " 148.8, 181.2, 269.5, 481.0, 873.3, 1731.0", \ + " 174.0, 216.6, 305.5, 510.1, 893.4, 1737.3", \ + " 212.4, 257.2, 361.4, 559.3, 931.5, 1759.3", \ + " 269.9, 317.0, 429.8, 638.5, 999.7, 1807.3", \ + " 354.7, 405.3, 525.9, 759.1, 1115.1, 1898.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 30.0, 49.4, 92.2, 168.1, 329.1", \ + " 29.7, 37.2, 56.8, 99.8, 175.8, 336.9", \ + " 33.4, 42.6, 62.3, 105.4, 181.5, 342.6", \ + " 36.7, 47.8, 69.9, 112.9, 189.0, 350.2", \ + " 40.2, 53.8, 81.3, 126.2, 202.2, 363.4", \ + " 42.8, 59.0, 92.4, 145.4, 221.3, 382.3", \ + " 43.9, 63.3, 103.3, 168.0, 250.3, 410.9", \ + " 42.5, 65.5, 113.1, 191.0, 290.5, 453.3", \ + " 36.7, 64.0, 120.7, 213.6, 334.3, 517.8", \ + " 23.4, 56.0, 123.5, 234.4, 379.6, 603.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 50.0, 97.8, 205.2, 396.4, 802.5", \ + " 38.1, 54.2, 99.4, 205.2, 396.4, 802.5", \ + " 45.8, 60.7, 103.9, 206.9, 396.4, 802.5", \ + " 56.6, 71.2, 112.2, 211.9, 397.5, 802.5", \ + " 72.2, 90.4, 129.9, 224.8, 404.7, 802.8", \ + " 93.2, 114.0, 158.7, 248.2, 421.1, 809.4", \ + " 122.8, 146.6, 198.2, 289.0, 453.4, 829.2", \ + " 163.6, 191.2, 250.2, 353.0, 509.9, 870.1", \ + " 221.3, 253.8, 321.7, 439.7, 603.8, 946.4", \ + " 304.0, 342.5, 422.4, 557.6, 746.5, 1080.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 51.7 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 6.12 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 68.5, 106.2, 188.8, 334.4, 642.6", \ + " 59.7, 74.3, 112.0, 194.8, 340.6, 649.0", \ + " 65.0, 79.3, 116.8, 199.6, 345.4, 653.9", \ + " 72.7, 86.7, 123.8, 206.3, 352.0, 660.5", \ + " 86.0, 100.7, 136.8, 218.6, 363.9, 672.2", \ + " 101.4, 118.1, 156.5, 237.1, 381.6, 689.3", \ + " 120.9, 139.9, 184.0, 266.2, 409.2, 715.7", \ + " 145.9, 167.2, 217.2, 309.8, 451.4, 755.7", \ + " 179.1, 203.2, 259.6, 365.2, 517.3, 817.9", \ + " 223.6, 251.4, 315.5, 435.2, 610.1, 915.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.8, 166.0, 268.2, 498.1, 906.6, 1774.2", \ + " 128.7, 165.9, 267.2, 497.9, 906.5, 1774.2", \ + " 133.2, 169.6, 268.8, 497.5, 906.5, 1774.2", \ + " 140.6, 176.2, 273.4, 498.4, 906.4, 1774.2", \ + " 155.7, 190.2, 284.7, 504.9, 906.8, 1774.2", \ + " 179.5, 212.8, 304.6, 519.3, 914.0, 1773.9", \ + " 215.1, 250.0, 338.4, 546.7, 932.9, 1779.2", \ + " 254.7, 297.0, 391.7, 593.9, 969.6, 1800.3", \ + " 313.1, 358.6, 467.3, 671.5, 1035.9, 1847.1", \ + " 399.5, 448.4, 566.2, 793.9, 1149.4, 1936.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 32.0, 52.3, 96.7, 174.4, 336.7", \ + " 31.5, 39.3, 59.7, 104.3, 182.1, 344.6", \ + " 36.2, 45.1, 65.3, 109.9, 187.8, 350.2", \ + " 40.6, 51.2, 72.9, 117.4, 195.3, 357.8", \ + " 46.3, 59.0, 85.6, 130.7, 208.5, 371.0", \ + " 51.9, 66.7, 98.5, 150.3, 227.6, 389.9", \ + " 57.6, 74.6, 112.1, 175.0, 256.6, 418.5", \ + " 62.8, 82.1, 125.7, 200.7, 298.2, 460.9", \ + " 66.7, 88.5, 138.7, 227.0, 344.6, 525.4", \ + " 65.6, 90.5, 148.6, 252.2, 392.7, 613.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.3, 79.6, 126.4, 233.3, 424.1, 830.1", \ + " 68.3, 83.7, 128.0, 233.3, 424.1, 830.1", \ + " 75.6, 90.2, 132.6, 234.9, 424.1, 830.1", \ + " 86.6, 100.5, 140.8, 239.9, 425.2, 830.1", \ + " 108.2, 120.7, 158.5, 252.8, 432.4, 830.4", \ + " 135.3, 150.5, 187.1, 276.2, 448.8, 837.0", \ + " 172.3, 189.6, 231.9, 317.1, 481.1, 856.7", \ + " 222.2, 241.7, 290.0, 382.4, 537.6, 897.6", \ + " 291.2, 313.7, 368.6, 474.9, 632.0, 973.9", \ + " 387.6, 414.3, 477.9, 599.3, 778.3, 1108.2" ); }} +} +} +cell(aoi31v0x1) { /* 2008-01-06:07h28 characteristic delay 17.0 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 589 ; /* aoi31v0x1 */ +cell_footprint : aoi31 ; +pin(a1) { /* aoi31v0x1 FO4 effort 2.08 logical effort 2.10 */ +direction : input ; +capacitance : 4.73 ; +rise_capacitance : 4.67 ; +fall_capacitance : 4.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi31v0x1 */ +} +pin(a2) { /* aoi31v0x1 FO4 effort 2.04 logical effort 2.15 */ +direction : input ; +capacitance : 4.87 ; +rise_capacitance : 4.91 ; +fall_capacitance : 4.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi31v0x1 */ +} +pin(a3) { /* aoi31v0x1 FO4 effort 1.93 logical effort 2.11 */ +direction : input ; +capacitance : 4.75 ; +rise_capacitance : 4.93 ; +fall_capacitance : 4.57 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of aoi31v0x1 */ +} +pin(b) { /* aoi31v0x1 FO4 effort 1.43 logical effort 1.53 */ +direction : input ; +capacitance : 3.79 ; +rise_capacitance : 3.61 ; +fall_capacitance : 3.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi31v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 97 ; +max_fanout : 4 ; +function : "((a1*a2*a3)+b)'" ; +internal_power(a1_z_n) { /* aoi31v0x1 20.51 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 10.56, 10.56, 10.57, 10.56, 10.53", \ + " 10.33, 10.35, 10.38, 10.40, 10.39", \ + " 10.24, 10.27, 10.32, 10.35, 10.35", \ + " 10.17, 10.20, 10.25, 10.30, 10.31", \ + " 10.16, 10.18, 10.22, 10.26, 10.27", \ + " 10.35, 10.33, 10.31, 10.30, 10.30", \ + " 10.96, 10.86, 10.70, 10.55, 10.45", \ + " 12.37, 12.13, 11.72, 11.26, 10.92", \ + " 15.13, 14.71, 13.92, 12.93, 12.12", \ + " 19.89, 19.30, 18.06, 16.31, 14.68" ); }} +internal_power(a2_z_n) { /* aoi31v0x1 17.92 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 9.26, 9.29, 9.31, 9.32, 9.30", \ + " 8.99, 9.04, 9.12, 9.16, 9.17", \ + " 8.89, 8.94, 9.03, 9.10, 9.13", \ + " 8.83, 8.87, 8.96, 9.04, 9.08", \ + " 8.85, 8.87, 8.92, 9.00, 9.04", \ + " 9.09, 9.05, 9.03, 9.04, 9.06", \ + " 9.76, 9.64, 9.45, 9.30, 9.20", \ + " 11.20, 10.93, 10.48, 10.01, 9.67", \ + " 13.88, 13.44, 12.62, 11.63, 10.82", \ + " 18.41, 17.81, 16.56, 14.83, 13.26" ); }} +internal_power(a3_z_n) { /* aoi31v0x1 15.18 nW/MHz */ +related_pin : "a3" ; +power(pwr_x1_130_5x10) { +values( " 7.82, 7.90, 7.97, 8.01, 8.01", \ + " 7.53, 7.62, 7.76, 7.86, 7.90", \ + " 7.44, 7.52, 7.66, 7.79, 7.85", \ + " 7.41, 7.47, 7.59, 7.73, 7.80", \ + " 7.50, 7.51, 7.57, 7.68, 7.76", \ + " 7.83, 7.77, 7.72, 7.73, 7.77", \ + " 8.61, 8.45, 8.21, 8.02, 7.93", \ + " 10.12, 9.81, 9.31, 8.78, 8.42", \ + " 12.83, 12.35, 11.47, 10.42, 9.59", \ + " 17.32, 16.66, 15.36, 13.59, 12.01" ); }} +internal_power(b_z_n) { /* aoi31v0x1 8.95 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 4.42, 4.52, 4.62, 4.68, 4.70", \ + " 4.26, 4.36, 4.51, 4.63, 4.68", \ + " 4.27, 4.34, 4.47, 4.60, 4.67", \ + " 4.37, 4.39, 4.47, 4.59, 4.66", \ + " 4.67, 4.61, 4.58, 4.62, 4.67", \ + " 5.23, 5.07, 4.89, 4.78, 4.76", \ + " 6.22, 5.93, 5.52, 5.19, 5.00", \ + " 7.84, 7.39, 6.70, 6.02, 5.55", \ + " 10.45, 9.82, 8.75, 7.59, 6.68", \ + " 14.60, 13.79, 12.27, 10.42, 8.86" ); }} +timing() { /* ring osc delay aoi31v0x1, path a1 to z 87.2 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 86.4 ; */ +/* intrinsic_fall : 59.4 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.6, 83.3, 118.7, 196.7, 334.6, 626.7", \ + " 77.1, 90.9, 126.4, 204.6, 342.5, 634.7", \ + " 82.6, 96.3, 131.9, 210.2, 348.1, 640.4", \ + " 89.5, 103.2, 138.8, 217.1, 355.1, 647.4", \ + " 100.6, 114.6, 150.1, 228.4, 366.4, 658.8", \ + " 113.0, 128.8, 166.1, 244.2, 382.1, 674.4", \ + " 129.1, 146.5, 187.7, 268.2, 405.7, 697.7", \ + " 151.3, 170.3, 215.4, 303.0, 441.1, 732.3", \ + " 183.7, 204.7, 254.0, 349.2, 496.0, 785.7", \ + " 231.0, 254.5, 309.1, 412.9, 572.3, 869.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 122.7, 158.9, 256.8, 478.8, 874.6, 1716.3", \ + " 123.1, 159.2, 256.9, 478.8, 874.6, 1716.3", \ + " 124.4, 160.1, 257.3, 478.9, 874.6, 1716.3", \ + " 128.4, 163.5, 259.4, 479.4, 874.7, 1716.3", \ + " 137.5, 171.8, 266.1, 483.3, 875.5, 1716.3", \ + " 154.7, 187.4, 279.3, 493.0, 881.0, 1716.6", \ + " 186.5, 217.8, 304.8, 513.0, 894.9, 1722.4", \ + " 232.6, 265.9, 351.4, 550.6, 923.2, 1739.5", \ + " 304.0, 338.0, 426.8, 618.4, 977.5, 1776.8", \ + " 410.5, 445.8, 537.0, 733.3, 1075.6, 1850.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 62.8, 90.4, 149.6, 252.4, 468.9", \ + " 55.5, 66.6, 94.4, 153.6, 256.5, 473.0", \ + " 57.6, 68.8, 96.6, 155.9, 258.8, 475.4", \ + " 60.0, 71.3, 99.2, 158.5, 261.5, 478.1", \ + " 62.4, 74.4, 103.4, 162.9, 265.9, 482.5", \ + " 64.3, 77.2, 107.9, 169.1, 272.0, 488.7", \ + " 65.2, 79.3, 112.4, 177.3, 281.6, 498.1", \ + " 63.4, 79.4, 116.2, 186.0, 295.6, 512.3", \ + " 56.7, 75.3, 117.4, 194.6, 311.7, 534.7", \ + " 41.8, 63.4, 112.5, 200.6, 328.7, 565.4" ); } +fall_transition(x1_130_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 97.9, 124.6, 197.7, 364.6, 662.5, 1296.5", \ + " 98.9, 125.4, 198.1, 364.7, 662.5, 1296.5", \ + " 101.2, 127.4, 199.8, 365.5, 662.6, 1296.5", \ + " 105.3, 131.1, 202.8, 367.6, 663.6, 1296.6", \ + " 115.5, 140.3, 210.1, 372.9, 667.2, 1297.6", \ + " 132.9, 158.0, 224.8, 383.8, 674.7, 1301.8", \ + " 159.1, 184.9, 252.9, 405.9, 690.8, 1311.8", \ + " 200.6, 226.8, 295.7, 446.5, 722.3, 1332.8", \ + " 266.0, 292.6, 362.1, 514.3, 780.9, 1375.3", \ + " 367.4, 394.4, 465.1, 618.1, 883.8, 1456.7" ); }} +timing() { /* ring osc delay aoi31v0x1, path a2 to z 82.4 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.1 ; */ +/* intrinsic_fall : 58.4 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 75.8, 110.8, 188.5, 326.1, 618.1", \ + " 69.3, 83.0, 118.4, 196.4, 334.2, 626.3", \ + " 74.6, 88.3, 123.7, 201.9, 339.8, 632.0", \ + " 81.3, 95.0, 130.4, 208.7, 346.7, 639.0", \ + " 91.5, 106.1, 141.6, 219.8, 357.9, 650.4", \ + " 102.5, 118.9, 157.3, 235.4, 373.4, 665.9", \ + " 116.7, 135.0, 177.6, 259.2, 396.8, 689.0", \ + " 136.1, 156.5, 203.6, 293.1, 432.1, 723.5", \ + " 163.8, 186.9, 239.3, 337.4, 486.3, 776.5", \ + " 203.6, 230.1, 289.4, 397.9, 560.5, 859.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.4, 138.8, 236.9, 459.0, 854.8, 1696.3", \ + " 103.0, 139.2, 237.0, 459.0, 854.8, 1696.3", \ + " 104.5, 140.1, 237.4, 459.1, 854.8, 1696.3", \ + " 109.0, 143.9, 239.5, 459.5, 854.9, 1696.3", \ + " 118.9, 152.8, 246.5, 463.5, 855.5, 1696.4", \ + " 137.4, 169.0, 260.0, 473.3, 861.1, 1696.6", \ + " 167.8, 200.4, 285.9, 493.5, 875.0, 1702.4", \ + " 212.0, 245.9, 333.1, 531.0, 903.4, 1719.4", \ + " 281.2, 315.7, 406.0, 598.8, 957.5, 1756.7", \ + " 385.0, 420.7, 513.3, 712.4, 1055.4, 1830.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.4, 59.5, 87.1, 146.2, 249.0, 465.6", \ + " 52.3, 63.5, 91.2, 150.5, 253.3, 469.9", \ + " 55.3, 66.4, 94.2, 153.5, 256.4, 473.0", \ + " 59.0, 70.5, 98.2, 157.5, 260.5, 477.1", \ + " 63.7, 76.2, 105.5, 164.8, 267.8, 484.4", \ + " 68.7, 82.4, 114.2, 175.6, 278.5, 495.1", \ + " 73.1, 88.7, 124.2, 190.8, 294.9, 511.4", \ + " 75.8, 93.7, 134.3, 208.3, 319.3, 535.7", \ + " 75.3, 96.0, 143.0, 227.4, 349.7, 572.9", \ + " 69.1, 93.1, 147.8, 245.8, 384.2, 626.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 94.9, 121.1, 192.4, 354.8, 644.5, 1261.2", \ + " 96.9, 122.6, 193.1, 354.9, 644.5, 1261.2", \ + " 100.4, 125.7, 195.5, 355.9, 644.6, 1261.2", \ + " 106.6, 131.3, 199.8, 358.6, 645.5, 1261.2", \ + " 121.3, 144.5, 210.4, 365.8, 649.7, 1261.7", \ + " 143.2, 167.9, 230.2, 380.6, 659.2, 1265.9", \ + " 172.7, 199.0, 264.9, 408.9, 679.9, 1277.3", \ + " 216.8, 244.1, 313.3, 457.5, 719.0, 1303.1", \ + " 282.1, 311.2, 383.4, 534.4, 788.4, 1354.8", \ + " 377.0, 409.1, 486.7, 644.2, 904.5, 1451.2" ); }} +timing() { /* ring osc delay aoi31v0x1, path a3 to z 74.7 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 55.5 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.26 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.3, 66.3, 101.8, 179.7, 317.3, 609.4", \ + " 59.0, 73.2, 109.1, 187.6, 325.6, 617.8", \ + " 64.2, 78.3, 114.2, 192.9, 331.1, 623.5", \ + " 70.7, 84.9, 120.8, 199.5, 337.9, 630.5", \ + " 79.2, 95.3, 131.8, 210.5, 349.0, 641.7", \ + " 88.6, 106.5, 147.1, 225.9, 364.3, 657.1", \ + " 100.6, 120.8, 165.9, 249.5, 387.5, 680.1", \ + " 116.4, 139.6, 190.0, 282.2, 422.5, 714.3", \ + " 138.6, 165.4, 222.6, 324.6, 476.0, 767.1", \ + " 170.5, 201.6, 267.5, 381.9, 548.2, 849.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 82.8, 118.9, 216.7, 438.5, 834.1, 1675.3", \ + " 83.7, 119.4, 216.9, 438.6, 834.1, 1675.3", \ + " 85.7, 120.5, 217.3, 438.7, 834.1, 1675.3", \ + " 90.9, 124.8, 219.6, 439.1, 834.1, 1675.3", \ + " 102.1, 134.4, 227.0, 443.1, 834.8, 1675.3", \ + " 122.7, 151.8, 240.9, 453.2, 840.3, 1675.5", \ + " 150.5, 183.5, 267.4, 473.6, 854.4, 1681.2", \ + " 193.4, 227.1, 315.9, 511.5, 883.0, 1698.3", \ + " 262.0, 295.6, 386.2, 579.6, 937.4, 1735.8", \ + " 365.7, 400.1, 491.5, 692.4, 1035.2, 1810.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.5, 52.6, 80.3, 139.5, 242.3, 458.8", \ + " 46.3, 57.5, 85.3, 144.5, 247.4, 464.0", \ + " 50.6, 61.6, 89.4, 148.7, 251.5, 468.1", \ + " 56.2, 67.6, 95.1, 154.4, 257.2, 473.8", \ + " 63.2, 76.4, 105.7, 164.7, 267.4, 483.9", \ + " 70.0, 85.1, 118.8, 179.9, 282.4, 498.6", \ + " 76.5, 93.8, 132.8, 202.2, 305.4, 521.1", \ + " 81.8, 101.7, 146.5, 226.9, 339.8, 554.7", \ + " 85.0, 107.9, 159.4, 252.2, 383.2, 606.4", \ + " 84.1, 110.6, 170.2, 277.3, 429.2, 683.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 92.8, 118.6, 188.4, 346.7, 629.4, 1231.4", \ + " 95.2, 119.9, 188.4, 346.7, 629.4, 1231.4", \ + " 100.5, 124.5, 191.3, 347.1, 629.5, 1231.4", \ + " 109.5, 132.8, 197.6, 350.3, 629.7, 1231.4", \ + " 127.3, 149.7, 212.3, 360.3, 634.2, 1231.5", \ + " 150.8, 176.7, 236.8, 380.0, 647.0, 1234.6", \ + " 180.2, 208.7, 276.2, 415.3, 674.0, 1249.2", \ + " 223.2, 254.1, 327.8, 471.4, 722.7, 1282.6", \ + " 285.8, 320.0, 399.6, 555.8, 804.6, 1347.8", \ + " 376.6, 415.5, 503.5, 671.4, 933.8, 1464.5" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 42.8 */ +related_pin : "b" ; +when : "(a1'*a2'*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.1, 31.0, 54.1, 105.3, 196.1, 389.0", \ + " 29.7, 38.3, 61.3, 112.6, 203.5, 396.4", \ + " 33.9, 44.3, 67.1, 118.3, 209.2, 402.1", \ + " 38.4, 50.5, 75.1, 126.1, 216.8, 409.7", \ + " 44.7, 59.1, 88.6, 140.0, 230.4, 423.1", \ + " 52.0, 68.7, 103.5, 160.4, 250.2, 442.5", \ + " 60.6, 80.2, 120.9, 188.7, 280.6, 472.0", \ + " 70.7, 93.6, 141.2, 220.9, 326.0, 516.1", \ + " 82.5, 109.6, 165.5, 258.7, 383.5, 583.6", \ + " 96.5, 128.6, 194.6, 304.0, 451.0, 685.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.3, 69.6, 134.4, 280.0, 539.0, 1089.3", \ + " 53.7, 75.4, 136.7, 280.0, 539.0, 1089.3", \ + " 62.3, 82.7, 141.7, 281.9, 539.0, 1089.3", \ + " 74.9, 93.7, 150.1, 286.9, 539.9, 1089.3", \ + " 93.7, 115.0, 167.7, 299.3, 546.4, 1089.3", \ + " 115.4, 141.6, 195.8, 321.4, 561.5, 1094.4", \ + " 146.4, 175.6, 240.1, 360.0, 591.0, 1111.4", \ + " 189.9, 222.4, 294.9, 421.9, 643.0, 1147.7", \ + " 251.9, 288.8, 370.0, 515.6, 731.0, 1216.2", \ + " 341.4, 383.7, 475.8, 640.6, 873.2, 1338.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.0, 37.3, 63.9, 122.7, 226.8, 447.6", \ + " 33.6, 43.9, 70.8, 130.0, 234.2, 455.2", \ + " 38.3, 49.4, 76.2, 135.4, 239.7, 460.8", \ + " 42.7, 56.1, 83.6, 142.7, 247.1, 468.2", \ + " 48.2, 64.3, 96.9, 155.9, 260.2, 481.3", \ + " 53.9, 72.8, 111.9, 175.2, 279.2, 500.1", \ + " 60.2, 82.2, 128.4, 203.7, 308.2, 528.7", \ + " 66.7, 92.3, 146.0, 235.9, 351.7, 571.2", \ + " 73.2, 103.1, 165.7, 271.2, 410.7, 636.0", \ + " 79.1, 114.2, 187.3, 310.6, 476.7, 735.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 64.3, 129.8, 277.2, 539.3, 1096.3", \ + " 44.7, 67.2, 130.3, 277.2, 539.3, 1096.3", \ + " 52.1, 73.3, 133.9, 277.5, 539.3, 1096.3", \ + " 63.3, 83.1, 141.1, 280.8, 539.3, 1096.3", \ + " 79.8, 102.5, 157.1, 291.2, 543.0, 1096.3", \ + " 100.5, 127.2, 183.4, 311.4, 555.3, 1097.9", \ + " 129.3, 159.5, 224.9, 347.8, 582.1, 1110.5", \ + " 169.4, 203.2, 277.7, 407.0, 631.0, 1142.3", \ + " 226.4, 264.7, 348.5, 497.0, 715.4, 1206.1", \ + " 309.4, 352.6, 447.2, 616.6, 852.7, 1322.6" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 54.9 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 41.9, 67.9, 125.6, 227.7, 444.3", \ + " 38.9, 48.8, 74.8, 132.6, 234.8, 451.4", \ + " 44.9, 54.5, 80.3, 138.1, 240.2, 456.9", \ + " 51.1, 62.4, 88.0, 145.5, 247.6, 464.2", \ + " 59.7, 73.1, 102.0, 159.0, 260.7, 477.2", \ + " 69.5, 85.1, 119.3, 178.8, 279.9, 496.0", \ + " 81.5, 99.5, 139.4, 208.5, 309.4, 524.6", \ + " 95.7, 116.6, 162.8, 244.0, 353.8, 567.5", \ + " 112.9, 137.4, 191.2, 285.7, 415.5, 633.2", \ + " 133.8, 162.8, 226.0, 335.9, 488.3, 734.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 94.6, 167.3, 330.6, 620.9, 1237.6", \ + " 72.6, 98.1, 168.2, 330.5, 620.9, 1237.6", \ + " 79.5, 104.1, 172.2, 331.3, 620.9, 1237.6", \ + " 90.1, 113.5, 179.5, 335.3, 621.0, 1237.6", \ + " 111.0, 132.4, 195.2, 346.1, 625.9, 1237.6", \ + " 135.1, 162.5, 221.2, 366.2, 639.0, 1240.4", \ + " 166.7, 197.3, 263.6, 402.1, 665.9, 1254.6", \ + " 211.0, 244.8, 321.7, 460.9, 714.4, 1287.3", \ + " 274.5, 312.2, 397.8, 554.4, 797.9, 1350.9", \ + " 365.7, 408.8, 504.7, 681.0, 934.8, 1466.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 40.9, 69.0, 128.7, 232.9, 453.8", \ + " 36.5, 47.6, 76.0, 136.0, 240.5, 461.5", \ + " 42.3, 53.1, 81.3, 141.5, 246.0, 467.1", \ + " 48.3, 60.9, 88.7, 148.8, 253.4, 474.5", \ + " 56.4, 71.3, 102.4, 162.0, 266.5, 487.6", \ + " 65.0, 82.2, 119.2, 181.2, 285.4, 506.5", \ + " 74.5, 94.1, 137.4, 210.3, 314.4, 535.0", \ + " 84.5, 106.9, 157.0, 243.8, 357.8, 577.4", \ + " 95.0, 120.8, 178.6, 280.7, 417.7, 642.1", \ + " 105.4, 135.3, 202.5, 321.5, 484.9, 741.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.0, 84.4, 149.7, 297.0, 559.1, 1116.0", \ + " 64.7, 87.1, 150.1, 297.0, 559.1, 1116.0", \ + " 71.8, 93.0, 153.6, 297.2, 559.1, 1116.0", \ + " 82.6, 102.6, 160.7, 300.5, 559.1, 1116.0", \ + " 103.6, 121.9, 176.5, 310.7, 562.6, 1116.0", \ + " 128.6, 150.8, 203.0, 330.8, 574.8, 1117.5", \ + " 162.2, 187.7, 246.0, 367.2, 601.5, 1130.0", \ + " 207.6, 236.2, 303.4, 426.9, 650.4, 1161.8", \ + " 271.1, 303.4, 379.3, 519.7, 735.2, 1225.6", \ + " 361.1, 398.1, 483.9, 644.5, 873.9, 1342.5" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 45.2 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 34.0, 60.0, 117.6, 219.6, 436.1", \ + " 31.4, 41.0, 66.9, 124.6, 226.7, 443.3", \ + " 35.9, 46.9, 72.4, 130.0, 232.1, 448.7", \ + " 40.7, 53.5, 80.2, 137.5, 239.5, 456.1", \ + " 47.4, 62.5, 93.9, 151.0, 252.7, 469.1", \ + " 55.2, 72.7, 109.6, 170.9, 271.9, 487.9", \ + " 64.7, 85.1, 128.0, 200.0, 301.5, 516.5", \ + " 76.0, 99.9, 149.6, 233.9, 346.0, 559.4", \ + " 89.6, 117.7, 175.8, 273.8, 406.3, 625.2", \ + " 106.2, 139.4, 207.9, 322.2, 477.4, 726.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.3, 79.6, 152.2, 315.4, 605.5, 1221.9", \ + " 59.9, 84.6, 153.8, 315.3, 605.5, 1221.9", \ + " 68.0, 91.4, 158.4, 316.5, 605.5, 1221.9", \ + " 80.0, 101.9, 166.3, 320.9, 605.8, 1221.9", \ + " 100.2, 122.2, 183.0, 332.3, 611.1, 1221.9", \ + " 121.1, 150.1, 210.0, 353.1, 624.7, 1225.0", \ + " 151.6, 183.6, 253.7, 389.9, 652.2, 1239.7", \ + " 194.9, 229.9, 309.0, 449.6, 701.4, 1272.8", \ + " 257.0, 296.2, 383.7, 542.9, 785.9, 1337.1", \ + " 346.7, 391.2, 489.3, 667.9, 923.5, 1453.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 37.5, 64.1, 122.9, 226.9, 447.7", \ + " 33.8, 44.2, 71.0, 130.2, 234.5, 455.4", \ + " 38.5, 49.6, 76.4, 135.7, 240.0, 461.1", \ + " 42.9, 56.3, 83.8, 143.0, 247.4, 468.5", \ + " 48.3, 64.5, 97.1, 156.1, 260.5, 481.6", \ + " 53.8, 72.9, 112.1, 175.4, 279.5, 500.4", \ + " 59.8, 82.0, 128.4, 203.9, 308.4, 529.0", \ + " 65.8, 91.7, 145.7, 235.9, 351.8, 571.4", \ + " 71.4, 101.7, 164.8, 270.9, 410.7, 636.1", \ + " 75.7, 111.3, 185.3, 309.5, 476.2, 735.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 64.3, 129.8, 277.2, 539.3, 1096.3", \ + " 44.5, 67.1, 130.3, 277.2, 539.3, 1096.3", \ + " 51.7, 73.0, 133.7, 277.5, 539.3, 1096.3", \ + " 62.8, 82.7, 140.8, 280.7, 539.3, 1096.3", \ + " 79.5, 102.1, 156.7, 290.9, 542.9, 1096.3", \ + " 100.3, 126.9, 183.1, 311.0, 555.1, 1097.8", \ + " 129.5, 159.6, 224.7, 347.4, 581.8, 1110.4", \ + " 169.9, 203.8, 278.0, 406.9, 630.7, 1142.1", \ + " 227.5, 266.0, 349.7, 497.6, 715.4, 1206.0", \ + " 311.0, 354.8, 449.7, 618.4, 853.6, 1322.8" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 72.6 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.8, 62.6, 98.3, 176.6, 314.5, 606.8", \ + " 54.8, 68.6, 104.3, 182.8, 320.8, 613.2", \ + " 60.0, 73.7, 109.2, 187.6, 325.7, 618.1", \ + " 67.7, 80.9, 116.1, 194.4, 332.4, 624.7", \ + " 79.6, 94.3, 128.9, 206.6, 344.3, 636.5", \ + " 92.9, 109.8, 148.1, 224.7, 361.8, 653.6", \ + " 109.4, 128.6, 172.8, 253.0, 388.9, 679.7", \ + " 129.5, 151.4, 201.9, 293.9, 430.0, 719.0", \ + " 154.9, 180.0, 237.6, 343.5, 493.8, 779.8", \ + " 187.2, 216.4, 282.7, 404.0, 578.3, 874.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.7, 152.9, 249.7, 467.7, 855.0, 1677.6", \ + " 118.2, 153.3, 248.9, 467.5, 855.0, 1677.6", \ + " 123.1, 157.3, 251.0, 467.0, 854.9, 1677.6", \ + " 131.2, 164.5, 256.1, 468.5, 854.8, 1677.6", \ + " 147.6, 179.7, 268.3, 475.9, 855.8, 1677.6", \ + " 174.0, 204.4, 290.0, 491.6, 864.1, 1677.4", \ + " 208.6, 245.9, 326.8, 521.5, 884.8, 1684.4", \ + " 251.4, 292.2, 385.0, 572.8, 924.7, 1707.9", \ + " 314.4, 358.6, 463.0, 656.8, 996.9, 1758.9", \ + " 407.0, 455.4, 569.1, 786.5, 1120.0, 1856.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.0, 41.1, 69.4, 130.6, 237.2, 458.9", \ + " 36.9, 48.0, 76.6, 138.2, 245.0, 466.8", \ + " 42.7, 53.6, 82.0, 143.7, 250.6, 472.5", \ + " 48.7, 61.4, 89.5, 151.1, 258.1, 480.0", \ + " 56.8, 71.9, 103.1, 164.3, 271.2, 493.2", \ + " 65.7, 83.1, 120.5, 183.5, 290.1, 512.1", \ + " 76.2, 95.8, 139.8, 213.2, 319.0, 540.6", \ + " 88.4, 110.4, 160.9, 248.2, 362.3, 582.9", \ + " 102.4, 127.0, 184.3, 286.4, 423.0, 647.3", \ + " 115.5, 143.6, 209.3, 327.7, 490.7, 746.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.6, 104.5, 169.2, 316.0, 577.8, 1134.7", \ + " 84.9, 107.0, 169.5, 316.0, 577.8, 1134.7", \ + " 91.7, 112.5, 172.7, 316.2, 577.9, 1134.7", \ + " 102.0, 121.8, 179.5, 319.2, 577.8, 1134.7", \ + " 122.1, 140.6, 195.0, 329.1, 581.2, 1134.7", \ + " 151.8, 170.3, 221.2, 348.9, 593.1, 1136.1", \ + " 190.2, 212.1, 264.6, 385.2, 619.6, 1148.4", \ + " 241.2, 266.0, 326.9, 445.6, 668.5, 1179.9", \ + " 311.9, 339.9, 408.8, 541.4, 754.0, 1243.8", \ + " 410.9, 443.2, 521.3, 672.9, 895.2, 1361.5" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 45.2 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 34.0, 60.0, 117.6, 219.6, 436.1", \ + " 31.4, 41.0, 66.9, 124.6, 226.7, 443.3", \ + " 35.9, 46.9, 72.4, 130.0, 232.1, 448.7", \ + " 40.7, 53.5, 80.2, 137.5, 239.5, 456.1", \ + " 47.4, 62.5, 93.9, 151.0, 252.7, 469.1", \ + " 55.2, 72.7, 109.6, 170.9, 271.9, 487.9", \ + " 64.7, 85.1, 128.0, 200.0, 301.5, 516.5", \ + " 76.0, 99.9, 149.6, 233.9, 346.0, 559.4", \ + " 89.6, 117.7, 175.8, 273.8, 406.3, 625.2", \ + " 106.2, 139.4, 207.9, 322.2, 477.4, 726.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.3, 79.6, 152.2, 315.4, 605.5, 1221.9", \ + " 59.9, 84.6, 153.8, 315.3, 605.5, 1221.9", \ + " 68.0, 91.4, 158.4, 316.5, 605.5, 1221.9", \ + " 80.0, 101.9, 166.3, 320.9, 605.8, 1221.9", \ + " 100.2, 122.2, 183.0, 332.3, 611.1, 1221.9", \ + " 121.1, 150.1, 210.0, 353.1, 624.7, 1225.0", \ + " 151.6, 183.6, 253.7, 389.9, 652.2, 1239.7", \ + " 194.9, 229.9, 309.0, 449.6, 701.4, 1272.8", \ + " 257.0, 296.2, 383.7, 542.9, 785.9, 1337.1", \ + " 346.7, 391.2, 489.3, 667.9, 923.5, 1453.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 37.5, 64.1, 122.9, 226.9, 447.7", \ + " 33.8, 44.2, 71.0, 130.2, 234.5, 455.4", \ + " 38.5, 49.6, 76.4, 135.7, 240.0, 461.1", \ + " 42.9, 56.3, 83.8, 143.0, 247.4, 468.5", \ + " 48.3, 64.5, 97.1, 156.1, 260.5, 481.6", \ + " 53.8, 72.9, 112.1, 175.4, 279.5, 500.4", \ + " 59.8, 82.0, 128.4, 203.9, 308.4, 529.0", \ + " 65.8, 91.7, 145.7, 235.9, 351.8, 571.4", \ + " 71.4, 101.7, 164.8, 270.9, 410.7, 636.1", \ + " 75.7, 111.3, 185.3, 309.5, 476.2, 735.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 64.3, 129.8, 277.2, 539.3, 1096.3", \ + " 44.5, 67.1, 130.3, 277.2, 539.3, 1096.3", \ + " 51.7, 73.0, 133.7, 277.5, 539.3, 1096.3", \ + " 62.8, 82.7, 140.8, 280.7, 539.3, 1096.3", \ + " 79.5, 102.1, 156.7, 290.9, 542.9, 1096.3", \ + " 100.3, 126.9, 183.1, 311.0, 555.1, 1097.8", \ + " 129.5, 159.6, 224.7, 347.4, 581.8, 1110.4", \ + " 169.9, 203.8, 278.0, 406.9, 630.7, 1142.1", \ + " 227.5, 266.0, 349.7, 497.6, 715.4, 1206.0", \ + " 311.0, 354.8, 449.7, 618.4, 853.6, 1322.8" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 64.0 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.0, 53.5, 88.7, 166.5, 304.1, 596.2", \ + " 46.1, 59.5, 94.6, 172.7, 310.4, 602.6", \ + " 51.6, 64.6, 99.6, 177.5, 315.3, 607.5", \ + " 58.9, 72.1, 106.5, 184.3, 322.0, 614.2", \ + " 68.8, 84.4, 119.4, 196.5, 333.9, 625.9", \ + " 80.2, 98.2, 138.2, 214.7, 351.4, 643.0", \ + " 94.5, 115.0, 161.2, 243.2, 378.6, 669.1", \ + " 112.2, 135.6, 188.3, 283.0, 419.9, 708.5", \ + " 134.5, 161.6, 222.0, 330.8, 483.6, 769.3", \ + " 162.9, 194.6, 264.6, 389.3, 566.2, 864.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 96.2, 132.7, 229.8, 448.0, 835.2, 1657.7", \ + " 99.3, 134.1, 229.3, 447.7, 835.2, 1657.7", \ + " 105.1, 138.9, 232.0, 447.3, 835.1, 1657.7", \ + " 114.3, 147.0, 237.7, 449.3, 834.9, 1657.7", \ + " 132.3, 163.6, 250.9, 457.3, 836.3, 1657.7", \ + " 161.4, 189.9, 273.7, 473.7, 845.2, 1657.5", \ + " 189.9, 229.0, 311.7, 504.6, 866.5, 1665.0", \ + " 232.1, 274.2, 371.6, 557.0, 907.2, 1689.0", \ + " 294.6, 339.8, 446.0, 642.0, 980.4, 1740.7", \ + " 385.9, 435.7, 551.0, 770.7, 1104.6, 1839.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 41.1, 69.0, 128.6, 232.8, 453.7", \ + " 36.9, 48.0, 76.3, 136.2, 240.6, 461.6", \ + " 42.7, 53.5, 81.7, 141.8, 246.3, 467.3", \ + " 48.6, 61.3, 89.1, 149.2, 253.7, 474.9", \ + " 56.5, 71.6, 102.7, 162.3, 266.9, 488.0", \ + " 64.5, 82.0, 119.3, 181.5, 285.8, 506.9", \ + " 73.1, 93.2, 137.1, 210.4, 314.7, 535.4", \ + " 81.5, 104.7, 155.8, 243.5, 357.9, 577.7", \ + " 89.4, 116.3, 175.8, 279.4, 417.3, 642.1", \ + " 95.6, 126.9, 196.6, 318.2, 483.4, 741.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.6, 84.0, 149.3, 296.6, 558.7, 1115.7", \ + " 63.9, 86.4, 149.6, 296.6, 558.7, 1115.7", \ + " 70.6, 92.0, 152.9, 296.8, 558.7, 1115.7", \ + " 81.2, 101.3, 159.6, 299.8, 558.7, 1115.7", \ + " 102.0, 120.4, 175.1, 309.7, 562.0, 1115.7", \ + " 127.3, 149.4, 201.5, 329.5, 574.0, 1117.1", \ + " 161.6, 186.9, 244.8, 365.9, 600.5, 1129.4", \ + " 208.2, 236.7, 303.3, 426.1, 649.3, 1160.9", \ + " 273.4, 305.8, 381.2, 520.4, 734.8, 1224.8", \ + " 365.6, 403.2, 489.0, 648.1, 875.6, 1342.5" ); }} +timing() { /* ring osc delay aoi31v0x1, path b to z 52.4 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 42.8, 77.8, 155.6, 293.2, 585.2", \ + " 35.8, 48.8, 83.8, 161.7, 299.5, 591.6", \ + " 41.2, 54.2, 88.8, 166.6, 304.4, 596.5", \ + " 46.6, 61.7, 95.8, 173.4, 311.0, 603.2", \ + " 54.5, 72.0, 108.9, 185.7, 323.0, 614.9", \ + " 63.9, 83.8, 126.7, 204.0, 340.5, 632.0", \ + " 75.8, 98.6, 147.8, 232.7, 367.8, 658.2", \ + " 90.4, 116.8, 173.1, 271.1, 409.2, 697.6", \ + " 109.0, 139.8, 204.5, 317.0, 472.6, 758.5", \ + " 132.7, 169.0, 244.5, 373.5, 553.6, 853.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.7, 112.3, 209.5, 427.5, 814.5, 1636.6", \ + " 81.1, 115.2, 209.4, 427.2, 814.5, 1636.6", \ + " 88.1, 121.0, 212.7, 426.9, 814.4, 1636.6", \ + " 98.5, 130.1, 219.2, 429.5, 814.2, 1636.6", \ + " 118.8, 148.0, 233.4, 438.1, 815.9, 1636.6", \ + " 141.7, 176.1, 257.3, 455.3, 825.4, 1636.5", \ + " 169.5, 210.4, 296.5, 487.1, 847.3, 1644.4", \ + " 211.2, 254.5, 354.9, 540.6, 888.9, 1669.0", \ + " 272.8, 319.2, 427.5, 626.4, 963.1, 1721.3", \ + " 362.7, 413.9, 531.1, 753.6, 1088.1, 1820.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.3, 37.7, 64.3, 123.1, 227.1, 448.0", \ + " 34.2, 44.6, 71.5, 130.7, 234.9, 455.9", \ + " 39.1, 50.1, 77.0, 136.2, 240.6, 461.6", \ + " 43.5, 56.9, 84.4, 143.6, 248.0, 469.1", \ + " 48.7, 65.1, 97.7, 156.8, 261.2, 482.3", \ + " 53.9, 73.1, 112.6, 176.0, 280.1, 501.1", \ + " 59.0, 81.6, 128.5, 204.3, 309.0, 529.6", \ + " 63.4, 90.0, 145.1, 235.9, 352.2, 572.0", \ + " 66.4, 97.7, 162.5, 270.0, 410.6, 636.4", \ + " 66.5, 103.5, 180.0, 306.7, 475.0, 735.5" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.7, 64.3, 129.8, 277.2, 539.3, 1096.3", \ + " 44.1, 66.8, 130.1, 277.2, 539.3, 1096.3", \ + " 50.9, 72.3, 133.3, 277.4, 539.3, 1096.3", \ + " 61.8, 81.8, 140.1, 280.4, 539.3, 1096.3", \ + " 78.5, 100.9, 155.7, 290.3, 542.7, 1096.3", \ + " 99.5, 126.0, 181.9, 310.2, 554.6, 1097.7", \ + " 129.3, 159.3, 224.0, 346.5, 581.1, 1110.0", \ + " 170.7, 204.7, 278.4, 406.5, 630.0, 1141.6", \ + " 229.4, 268.6, 352.0, 498.7, 715.4, 1205.5", \ + " 314.0, 359.4, 455.1, 622.4, 855.7, 1323.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 3.63 ; */ +/* fall_resistance : 3.31 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.8, 62.6, 98.3, 176.6, 314.5, 606.8", \ + " 54.8, 68.6, 104.3, 182.8, 320.8, 613.2", \ + " 60.0, 73.7, 109.2, 187.6, 325.7, 618.1", \ + " 67.7, 80.9, 116.1, 194.4, 332.4, 624.7", \ + " 79.6, 94.3, 128.9, 206.6, 344.3, 636.5", \ + " 92.9, 109.8, 148.1, 224.7, 361.8, 653.6", \ + " 109.4, 128.6, 172.8, 253.0, 388.9, 679.7", \ + " 129.5, 151.4, 201.9, 293.9, 430.0, 719.0", \ + " 154.9, 180.0, 237.6, 343.5, 493.8, 779.8", \ + " 187.2, 216.4, 282.7, 404.0, 578.3, 874.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.7, 152.9, 249.7, 467.7, 855.0, 1677.6", \ + " 118.2, 153.3, 248.9, 467.5, 855.0, 1677.6", \ + " 123.1, 157.3, 251.0, 467.0, 854.9, 1677.6", \ + " 131.2, 164.5, 256.1, 468.5, 854.8, 1677.6", \ + " 147.6, 179.7, 268.3, 475.9, 855.8, 1677.6", \ + " 174.0, 204.4, 290.0, 491.6, 864.1, 1677.4", \ + " 208.6, 245.9, 326.8, 521.5, 884.8, 1684.4", \ + " 251.4, 292.2, 385.0, 572.8, 924.7, 1707.9", \ + " 314.4, 358.6, 463.0, 656.8, 996.9, 1758.9", \ + " 407.0, 455.4, 569.1, 786.5, 1120.0, 1856.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.0, 41.1, 69.4, 130.6, 237.2, 458.9", \ + " 36.9, 48.0, 76.6, 138.2, 245.0, 466.8", \ + " 42.7, 53.6, 82.0, 143.7, 250.6, 472.5", \ + " 48.7, 61.4, 89.5, 151.1, 258.1, 480.0", \ + " 56.8, 71.9, 103.1, 164.3, 271.2, 493.2", \ + " 65.7, 83.1, 120.5, 183.5, 290.1, 512.1", \ + " 76.2, 95.8, 139.8, 213.2, 319.0, 540.6", \ + " 88.4, 110.4, 160.9, 248.2, 362.3, 582.9", \ + " 102.4, 127.0, 184.3, 286.4, 423.0, 647.3", \ + " 115.5, 143.6, 209.3, 327.7, 490.7, 746.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.6, 104.5, 169.2, 316.0, 577.8, 1134.7", \ + " 84.9, 107.0, 169.5, 316.0, 577.8, 1134.7", \ + " 91.7, 112.5, 172.7, 316.2, 577.9, 1134.7", \ + " 102.0, 121.8, 179.5, 319.2, 577.8, 1134.7", \ + " 122.1, 140.6, 195.0, 329.1, 581.2, 1134.7", \ + " 151.8, 170.3, 221.2, 348.9, 593.1, 1136.1", \ + " 190.2, 212.1, 264.6, 385.2, 619.6, 1148.4", \ + " 241.2, 266.0, 326.9, 445.6, 668.5, 1179.9", \ + " 311.9, 339.9, 408.8, 541.4, 754.0, 1243.8", \ + " 410.9, 443.2, 521.3, 672.9, 895.2, 1361.5" ); }} +} +} +cell(aoi31v0x2) { /* 2008-01-06:07h28 characteristic delay 16.8 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1247 ; /* aoi31v0x2 */ +cell_footprint : aoi31 ; +pin(a1) { /* aoi31v0x2 FO4 effort 2.11 logical effort 2.20 */ +direction : input ; +capacitance : 10.63 ; +rise_capacitance : 10.44 ; +fall_capacitance : 10.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi31v0x2 */ +} +pin(a2) { /* aoi31v0x2 FO4 effort 2.01 logical effort 2.16 */ +direction : input ; +capacitance : 10.42 ; +rise_capacitance : 10.49 ; +fall_capacitance : 10.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi31v0x2 */ +} +pin(a3) { /* aoi31v0x2 FO4 effort 1.83 logical effort 2.01 */ +direction : input ; +capacitance : 9.69 ; +rise_capacitance : 10.02 ; +fall_capacitance : 9.37 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of aoi31v0x2 */ +} +pin(b) { /* aoi31v0x2 FO4 effort 1.33 logical effort 1.43 */ +direction : input ; +capacitance : 7.67 ; +rise_capacitance : 7.28 ; +fall_capacitance : 8.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi31v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 202 ; +max_fanout : 6 ; +function : "((a1*a2*a3)+b)'" ; +internal_power(a1_z_n) { /* aoi31v0x2 42.95 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 22.19, 22.21, 22.23, 22.22, 22.18", \ + " 21.63, 21.70, 21.80, 21.86, 21.85", \ + " 21.41, 21.50, 21.63, 21.73, 21.75", \ + " 21.25, 21.33, 21.47, 21.60, 21.65", \ + " 21.21, 21.26, 21.37, 21.50, 21.56", \ + " 21.61, 21.57, 21.54, 21.57, 21.59", \ + " 22.93, 22.71, 22.38, 22.09, 21.90", \ + " 25.98, 25.48, 24.61, 23.65, 22.94", \ + " 31.92, 31.06, 29.41, 27.33, 25.58", \ + " 42.20, 40.98, 38.42, 34.76, 31.28" ); }} +internal_power(a2_z_n) { /* aoi31v0x2 36.97 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 19.15, 19.22, 19.28, 19.30, 19.27", \ + " 18.55, 18.67, 18.84, 18.96, 19.00", \ + " 18.33, 18.45, 18.65, 18.82, 18.89", \ + " 18.19, 18.29, 18.48, 18.68, 18.79", \ + " 18.24, 18.28, 18.40, 18.57, 18.69", \ + " 18.77, 18.69, 18.63, 18.66, 18.71", \ + " 20.24, 19.97, 19.57, 19.23, 19.03", \ + " 23.37, 22.80, 21.84, 20.81, 20.07", \ + " 29.19, 28.28, 26.54, 24.40, 22.65", \ + " 39.02, 37.76, 35.16, 31.48, 28.07" ); }} +internal_power(a3_z_n) { /* aoi31v0x2 30.60 nW/MHz */ +related_pin : "a3" ; +power(pwr_x2_260_5x10) { +values( " 15.75, 15.94, 16.13, 16.23, 16.24", \ + " 15.14, 15.35, 15.66, 15.91, 16.01", \ + " 14.97, 15.15, 15.45, 15.75, 15.90", \ + " 14.93, 15.04, 15.30, 15.60, 15.79", \ + " 15.15, 15.17, 15.28, 15.50, 15.69", \ + " 15.91, 15.77, 15.63, 15.63, 15.71", \ + " 17.64, 17.27, 16.73, 16.29, 16.08", \ + " 20.96, 20.29, 19.17, 17.99, 17.18", \ + " 26.87, 25.85, 23.95, 21.66, 19.82", \ + " 36.65, 35.27, 32.50, 28.70, 25.23" ); }} +internal_power(b_z_n) { /* aoi31v0x2 16.94 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 8.25, 8.49, 8.73, 8.87, 8.90", \ + " 7.97, 8.18, 8.49, 8.75, 8.87", \ + " 8.06, 8.18, 8.43, 8.70, 8.84", \ + " 8.34, 8.34, 8.47, 8.68, 8.82", \ + " 9.06, 8.88, 8.76, 8.80, 8.87", \ + " 10.37, 9.96, 9.49, 9.20, 9.09", \ + " 12.63, 11.94, 10.98, 10.16, 9.68", \ + " 16.26, 15.24, 13.66, 12.08, 10.98", \ + " 22.07, 20.69, 18.30, 15.66, 13.59", \ + " 31.24, 29.48, 26.17, 22.06, 18.56" ); }} +timing() { /* ring osc delay aoi31v0x2, path a1 to z 94.3 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 87.7 ; */ +/* intrinsic_fall : 55.3 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.9, 84.2, 118.5, 193.9, 326.9, 608.7", \ + " 78.0, 91.5, 126.0, 201.6, 334.7, 616.6", \ + " 83.5, 96.9, 131.5, 207.2, 340.4, 622.3", \ + " 90.4, 103.8, 138.4, 214.1, 347.4, 629.4", \ + " 101.7, 115.3, 149.8, 225.5, 358.8, 640.8", \ + " 114.1, 129.5, 165.7, 241.3, 374.5, 656.5", \ + " 130.3, 147.2, 187.3, 265.3, 398.1, 679.8", \ + " 153.0, 171.4, 215.1, 300.1, 433.7, 714.5", \ + " 186.6, 206.8, 254.3, 346.5, 488.8, 768.1", \ + " 236.0, 258.6, 311.2, 411.2, 565.4, 852.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 118.7, 153.5, 247.8, 461.8, 843.5, 1655.1", \ + " 119.1, 153.8, 247.9, 461.8, 843.5, 1655.1", \ + " 120.0, 154.5, 248.3, 461.9, 843.5, 1655.1", \ + " 124.1, 157.8, 250.2, 462.3, 843.6, 1655.1", \ + " 133.2, 166.2, 256.9, 466.3, 844.3, 1655.1", \ + " 150.2, 181.6, 270.0, 476.0, 849.9, 1655.5", \ + " 181.7, 211.8, 295.3, 495.9, 864.0, 1661.6", \ + " 226.9, 259.1, 341.8, 533.3, 892.4, 1679.1", \ + " 297.1, 330.0, 416.1, 601.0, 946.7, 1716.8", \ + " 401.7, 435.9, 524.7, 715.1, 1044.9, 1791.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 57.3, 82.4, 135.4, 227.1, 419.8", \ + " 50.8, 61.1, 86.3, 139.5, 231.2, 423.9", \ + " 53.1, 63.3, 88.5, 141.7, 233.5, 426.3", \ + " 55.2, 65.8, 91.1, 144.4, 236.2, 429.0", \ + " 57.2, 68.5, 95.1, 148.7, 240.5, 433.4", \ + " 58.7, 70.7, 99.0, 154.8, 246.7, 439.5", \ + " 58.6, 71.9, 102.6, 162.1, 256.2, 448.9", \ + " 55.3, 70.5, 105.0, 169.4, 269.2, 463.1", \ + " 46.2, 63.9, 103.8, 175.8, 283.2, 485.2", \ + " 27.8, 48.4, 95.0, 178.1, 296.9, 512.6" ); } +fall_transition(x2_260_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 89.7, 112.9, 177.4, 325.4, 590.0, 1153.4", \ + " 90.8, 113.7, 177.8, 325.5, 590.0, 1153.4", \ + " 93.1, 116.0, 179.6, 326.5, 590.2, 1153.5", \ + " 97.4, 119.8, 182.8, 328.8, 591.5, 1153.5", \ + " 108.3, 129.5, 190.6, 334.6, 595.4, 1155.1", \ + " 125.2, 147.6, 206.1, 346.1, 603.7, 1159.9", \ + " 150.9, 173.8, 234.7, 369.5, 620.9, 1171.0", \ + " 191.8, 215.2, 276.9, 411.7, 654.3, 1193.8", \ + " 256.2, 280.1, 342.7, 479.1, 715.5, 1239.2", \ + " 356.4, 380.6, 444.5, 582.2, 820.0, 1324.9" ); }} +timing() { /* ring osc delay aoi31v0x2, path a2 to z 88.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.2 ; */ +/* intrinsic_fall : 53.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 75.3, 109.2, 184.3, 317.0, 598.6", \ + " 69.0, 82.3, 116.6, 192.1, 325.0, 606.8", \ + " 74.3, 87.6, 122.0, 197.6, 330.7, 612.6", \ + " 81.1, 94.4, 128.7, 204.4, 337.6, 619.6", \ + " 91.3, 105.6, 140.0, 215.6, 348.9, 631.0", \ + " 102.3, 118.3, 155.7, 231.2, 364.4, 646.6", \ + " 116.6, 134.4, 175.9, 255.1, 387.9, 669.7", \ + " 136.4, 156.2, 202.0, 288.9, 423.3, 704.3", \ + " 165.1, 187.5, 238.3, 333.4, 477.8, 757.7", \ + " 207.0, 232.7, 290.0, 394.8, 552.1, 841.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.1, 132.1, 226.5, 440.5, 822.2, 1633.7", \ + " 97.8, 132.5, 226.7, 440.6, 822.2, 1633.7", \ + " 99.1, 133.4, 227.1, 440.7, 822.2, 1633.7", \ + " 103.6, 137.0, 229.1, 441.1, 822.3, 1633.7", \ + " 113.5, 145.9, 236.1, 445.1, 823.0, 1633.7", \ + " 132.0, 162.0, 249.4, 454.9, 828.6, 1634.0", \ + " 161.5, 193.1, 275.1, 475.0, 842.7, 1640.1", \ + " 204.9, 237.6, 322.2, 512.4, 871.2, 1657.5", \ + " 273.1, 306.4, 393.8, 580.1, 925.4, 1695.3", \ + " 375.3, 409.9, 499.6, 692.6, 1023.3, 1769.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.2, 53.4, 78.5, 131.5, 223.2, 415.9", \ + " 47.3, 57.5, 82.7, 135.8, 227.5, 420.2", \ + " 50.4, 60.5, 85.6, 138.8, 230.6, 423.3", \ + " 53.7, 64.5, 89.6, 142.9, 234.7, 427.5", \ + " 58.0, 69.7, 96.7, 150.1, 242.0, 434.8", \ + " 62.2, 75.1, 104.7, 160.9, 252.7, 445.5", \ + " 65.4, 80.2, 113.5, 175.0, 269.1, 461.7", \ + " 66.2, 83.3, 121.7, 190.7, 292.4, 486.0", \ + " 63.1, 82.9, 127.5, 206.9, 320.0, 523.1", \ + " 53.1, 76.0, 128.0, 220.7, 350.1, 573.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.7, 109.5, 172.6, 316.6, 574.0, 1122.0", \ + " 88.9, 111.4, 173.5, 316.8, 574.0, 1122.0", \ + " 92.6, 114.7, 176.1, 318.1, 574.2, 1122.0", \ + " 99.2, 120.6, 180.8, 321.1, 575.5, 1122.0", \ + " 114.6, 134.4, 192.1, 329.1, 580.3, 1123.1", \ + " 135.2, 157.8, 212.7, 344.9, 590.9, 1128.2", \ + " 164.3, 187.9, 248.0, 374.7, 613.3, 1141.3", \ + " 207.9, 232.6, 295.1, 424.9, 654.6, 1169.6", \ + " 272.4, 298.9, 364.5, 500.6, 726.8, 1225.1", \ + " 366.2, 395.7, 466.6, 609.3, 844.0, 1326.3" ); }} +timing() { /* ring osc delay aoi31v0x2, path a3 to z 80.1 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 50.7 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 64.4, 98.9, 174.1, 307.0, 588.6", \ + " 57.1, 71.1, 106.0, 181.9, 315.1, 597.1", \ + " 62.4, 76.2, 111.1, 187.3, 320.7, 602.8", \ + " 68.9, 82.9, 117.7, 193.9, 327.5, 609.8", \ + " 77.2, 93.2, 128.8, 204.8, 338.6, 621.1", \ + " 86.4, 104.3, 143.9, 220.3, 353.9, 636.5", \ + " 98.5, 118.5, 162.6, 244.1, 377.2, 659.4", \ + " 114.5, 137.4, 186.7, 276.5, 412.4, 693.8", \ + " 137.5, 164.0, 219.9, 319.1, 466.0, 746.9", \ + " 171.1, 201.9, 266.2, 377.1, 538.3, 830.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.4, 110.9, 204.9, 418.6, 800.0, 1611.1", \ + " 77.4, 111.5, 205.1, 418.7, 800.0, 1611.1", \ + " 79.4, 112.6, 205.6, 418.8, 800.0, 1611.1", \ + " 84.8, 117.0, 207.9, 419.3, 800.1, 1611.1", \ + " 96.2, 126.7, 215.3, 423.4, 800.7, 1611.1", \ + " 116.8, 144.2, 229.2, 433.5, 806.4, 1611.4", \ + " 143.5, 175.0, 255.7, 453.9, 820.7, 1617.4", \ + " 185.8, 218.0, 303.7, 491.8, 849.5, 1635.0", \ + " 253.7, 285.8, 372.9, 560.0, 904.1, 1672.9", \ + " 356.2, 389.0, 477.1, 671.4, 1002.1, 1747.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.8, 46.1, 71.2, 124.2, 215.9, 408.6", \ + " 40.8, 51.0, 76.2, 129.4, 221.1, 413.8", \ + " 45.5, 55.3, 80.4, 133.5, 225.3, 418.0", \ + " 50.4, 61.3, 86.2, 139.3, 231.0, 423.7", \ + " 56.7, 69.2, 96.7, 149.6, 241.2, 433.9", \ + " 62.4, 76.8, 108.6, 164.9, 256.2, 448.6", \ + " 67.4, 84.0, 120.8, 185.8, 279.3, 471.1", \ + " 70.8, 89.8, 132.1, 207.6, 312.8, 504.8", \ + " 71.1, 93.1, 142.0, 229.2, 351.7, 556.4", \ + " 66.1, 91.5, 148.3, 249.4, 391.7, 628.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.2, 107.1, 169.0, 309.5, 560.6, 1095.5", \ + " 87.6, 109.1, 169.3, 309.3, 560.6, 1095.5", \ + " 93.3, 114.2, 172.7, 310.2, 560.6, 1095.5", \ + " 102.4, 122.8, 179.7, 314.1, 561.2, 1095.5", \ + " 121.3, 140.1, 195.2, 325.2, 566.9, 1095.7", \ + " 142.1, 166.2, 220.2, 346.2, 581.3, 1100.7", \ + " 171.1, 197.2, 259.9, 382.8, 610.4, 1117.8", \ + " 213.5, 242.0, 309.3, 439.9, 661.6, 1154.5", \ + " 275.2, 307.0, 380.2, 522.3, 745.3, 1223.9", \ + " 364.5, 401.2, 482.9, 636.2, 875.2, 1345.0" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 42.7 */ +related_pin : "b" ; +when : "(a1'*a2'*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 28.8, 51.0, 100.5, 188.1, 374.0", \ + " 27.5, 36.1, 58.3, 107.8, 195.5, 381.5", \ + " 31.4, 41.9, 64.1, 113.5, 201.1, 387.1", \ + " 35.7, 47.9, 72.2, 121.3, 208.8, 394.7", \ + " 41.9, 56.1, 85.4, 135.3, 222.4, 408.2", \ + " 49.0, 65.6, 99.9, 155.9, 242.3, 427.6", \ + " 57.8, 77.2, 117.2, 183.7, 273.0, 457.3", \ + " 68.3, 91.1, 137.6, 215.4, 318.4, 501.7", \ + " 81.1, 108.0, 162.6, 253.2, 375.1, 569.7", \ + " 97.0, 128.8, 193.3, 299.4, 442.2, 670.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.2, 63.5, 126.0, 266.4, 516.2, 1046.8", \ + " 49.2, 69.9, 128.6, 266.4, 516.2, 1046.8", \ + " 57.9, 77.3, 133.8, 268.6, 516.1, 1046.8", \ + " 71.0, 88.4, 142.4, 273.8, 517.3, 1046.8", \ + " 87.2, 110.0, 160.2, 286.5, 524.2, 1047.0", \ + " 107.8, 134.3, 188.3, 308.9, 539.7, 1052.6", \ + " 137.7, 167.0, 231.1, 347.6, 569.7, 1070.3", \ + " 179.6, 212.2, 284.1, 409.1, 621.9, 1107.3", \ + " 239.7, 276.5, 356.8, 500.4, 709.7, 1176.5", \ + " 327.1, 368.9, 459.8, 621.8, 850.6, 1299.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 31.6, 54.9, 106.4, 197.4, 390.7", \ + " 29.2, 38.3, 61.9, 113.7, 204.9, 398.3", \ + " 32.8, 43.8, 67.3, 119.1, 210.4, 403.9", \ + " 36.2, 49.3, 74.8, 126.5, 217.9, 411.3", \ + " 40.5, 56.1, 87.3, 139.7, 231.0, 424.4", \ + " 44.7, 63.0, 100.3, 159.2, 250.0, 443.3", \ + " 49.1, 70.4, 114.2, 185.5, 279.2, 471.9", \ + " 53.0, 77.9, 129.0, 213.6, 322.4, 514.5", \ + " 55.9, 85.1, 144.8, 244.1, 375.1, 579.5", \ + " 56.8, 91.0, 161.1, 277.4, 433.0, 676.1" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 53.0, 110.3, 239.3, 468.6, 956.0", \ + " 38.0, 57.1, 111.5, 239.3, 468.6, 956.0", \ + " 45.9, 63.8, 115.8, 240.2, 468.6, 956.0", \ + " 56.6, 74.3, 123.8, 244.5, 468.9, 956.0", \ + " 71.7, 93.6, 141.0, 256.3, 474.4, 956.0", \ + " 91.6, 116.6, 168.4, 278.2, 488.8, 959.6", \ + " 119.7, 147.9, 208.5, 316.6, 518.1, 975.6", \ + " 158.9, 190.6, 259.4, 377.7, 570.2, 1011.4", \ + " 215.0, 251.0, 328.5, 465.1, 658.3, 1080.4", \ + " 297.1, 337.5, 425.7, 580.9, 798.1, 1203.8" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 55.5 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.0, 39.7, 64.9, 120.6, 219.0, 427.9", \ + " 37.1, 46.7, 71.8, 127.6, 226.1, 435.1", \ + " 43.0, 52.5, 77.4, 133.1, 231.6, 440.5", \ + " 49.1, 60.3, 85.1, 140.6, 239.0, 447.9", \ + " 57.7, 70.8, 99.3, 154.1, 252.2, 460.9", \ + " 67.6, 82.8, 116.2, 174.1, 271.5, 479.7", \ + " 79.9, 97.5, 136.3, 203.8, 301.2, 508.5", \ + " 94.9, 115.2, 160.0, 238.9, 346.0, 551.6", \ + " 113.4, 137.2, 189.3, 280.7, 407.0, 617.9", \ + " 136.6, 164.7, 225.7, 331.9, 479.6, 720.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.7, 89.0, 159.0, 316.6, 596.6, 1191.3", \ + " 68.3, 92.8, 160.2, 316.5, 596.5, 1191.3", \ + " 75.2, 98.8, 164.3, 317.5, 596.5, 1191.3", \ + " 85.7, 108.3, 171.8, 321.7, 596.8, 1191.3", \ + " 107.0, 127.1, 187.6, 332.7, 602.1, 1191.2", \ + " 128.6, 155.9, 213.5, 353.0, 615.6, 1194.6", \ + " 159.0, 189.4, 255.6, 389.0, 642.9, 1209.5", \ + " 201.9, 235.4, 311.3, 447.4, 691.5, 1242.9", \ + " 263.4, 300.8, 385.1, 539.1, 774.9, 1307.1", \ + " 352.2, 394.9, 489.1, 662.1, 910.5, 1422.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 34.7, 59.4, 111.9, 203.2, 396.5", \ + " 31.9, 41.5, 66.4, 119.3, 210.8, 404.2", \ + " 37.0, 47.2, 71.9, 124.8, 216.3, 409.8", \ + " 42.2, 54.3, 79.4, 132.2, 223.8, 417.3", \ + " 49.2, 63.3, 92.9, 145.4, 236.9, 430.4", \ + " 56.5, 72.7, 107.7, 164.8, 255.9, 449.3", \ + " 64.4, 82.8, 123.5, 192.1, 285.0, 477.9", \ + " 72.1, 93.2, 140.3, 221.7, 328.4, 520.4", \ + " 79.5, 103.8, 158.2, 253.7, 382.2, 585.2", \ + " 85.3, 113.5, 176.9, 288.5, 441.4, 682.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.1, 72.4, 129.5, 258.3, 487.6, 975.0", \ + " 57.2, 76.2, 130.6, 258.4, 487.6, 975.0", \ + " 64.9, 82.8, 134.8, 259.2, 487.6, 975.0", \ + " 76.4, 93.1, 142.7, 263.4, 487.9, 975.0", \ + " 96.6, 113.4, 159.7, 275.1, 493.3, 975.0", \ + " 120.9, 141.1, 187.4, 296.8, 507.6, 978.5", \ + " 154.0, 177.0, 230.5, 335.3, 536.8, 994.4", \ + " 198.9, 224.8, 285.8, 397.1, 589.0, 1030.2", \ + " 261.7, 291.2, 360.1, 488.4, 677.6, 1099.2", \ + " 350.7, 384.8, 463.3, 609.5, 819.5, 1223.0" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 45.9 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 31.5, 56.5, 112.1, 210.5, 419.3", \ + " 29.2, 38.5, 63.4, 119.1, 217.6, 426.5", \ + " 33.3, 44.5, 69.0, 124.6, 223.0, 431.9", \ + " 37.8, 50.7, 76.9, 132.1, 230.4, 439.3", \ + " 44.3, 59.4, 90.4, 145.7, 243.6, 452.3", \ + " 52.1, 69.5, 105.7, 165.7, 263.0, 471.2", \ + " 61.7, 82.0, 124.0, 194.6, 292.8, 500.0", \ + " 73.5, 97.1, 145.8, 228.0, 337.7, 543.1", \ + " 88.1, 115.9, 172.7, 268.0, 397.2, 609.5", \ + " 106.6, 139.4, 206.4, 317.2, 468.0, 711.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.5, 72.9, 142.9, 300.3, 580.1, 1174.5", \ + " 54.8, 78.4, 144.8, 300.2, 580.0, 1174.5", \ + " 63.0, 85.4, 149.5, 301.6, 580.0, 1174.5", \ + " 75.3, 96.0, 157.7, 306.2, 580.5, 1174.5", \ + " 93.0, 116.5, 174.5, 317.9, 586.2, 1174.4", \ + " 112.9, 142.1, 201.5, 339.0, 600.2, 1178.2", \ + " 142.3, 174.2, 244.7, 376.0, 628.2, 1193.6", \ + " 184.1, 219.0, 297.3, 435.3, 677.7, 1227.5", \ + " 244.2, 283.2, 369.6, 526.5, 761.9, 1292.3", \ + " 331.6, 375.6, 472.3, 647.7, 898.3, 1409.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 31.7, 55.0, 106.5, 197.6, 390.8", \ + " 29.4, 38.5, 62.1, 113.9, 205.1, 398.5", \ + " 33.0, 44.0, 67.5, 119.4, 210.7, 404.1", \ + " 36.4, 49.5, 75.0, 126.8, 218.1, 411.6", \ + " 40.6, 56.3, 87.5, 140.0, 231.2, 424.7", \ + " 44.6, 63.0, 100.4, 159.4, 250.3, 443.6", \ + " 48.6, 70.1, 114.2, 185.6, 279.4, 472.2", \ + " 51.9, 77.1, 128.6, 213.6, 322.5, 514.7", \ + " 53.8, 83.4, 143.8, 243.7, 375.0, 579.5", \ + " 53.1, 87.9, 158.9, 276.2, 432.4, 676.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 53.0, 110.3, 239.3, 468.6, 956.0", \ + " 37.7, 56.9, 111.4, 239.3, 468.6, 956.0", \ + " 45.6, 63.5, 115.6, 240.2, 468.6, 956.0", \ + " 56.3, 73.8, 123.5, 244.4, 468.9, 956.0", \ + " 71.4, 93.2, 140.6, 256.0, 474.3, 956.0", \ + " 91.4, 116.4, 168.1, 277.8, 488.6, 959.5", \ + " 119.9, 148.0, 208.4, 316.3, 517.9, 975.4", \ + " 159.4, 191.2, 259.7, 377.7, 570.0, 1011.2", \ + " 215.8, 252.2, 329.7, 465.7, 658.4, 1080.3", \ + " 298.1, 339.4, 428.0, 582.7, 799.1, 1204.0" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 75.9 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 60.2, 94.7, 170.4, 303.5, 585.3", \ + " 52.8, 66.2, 100.8, 176.6, 309.8, 591.8", \ + " 58.1, 71.4, 105.7, 181.5, 314.7, 596.7", \ + " 66.1, 78.7, 112.7, 188.2, 321.4, 603.3", \ + " 78.0, 92.2, 125.6, 200.5, 333.3, 615.1", \ + " 91.6, 107.9, 145.1, 218.9, 351.0, 632.3", \ + " 108.5, 127.1, 169.8, 247.5, 378.3, 658.6", \ + " 129.6, 150.6, 199.3, 288.5, 419.9, 698.2", \ + " 156.6, 180.7, 236.0, 338.3, 484.3, 759.6", \ + " 191.5, 219.4, 283.1, 399.9, 568.6, 855.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 112.2, 147.2, 240.7, 451.1, 824.6, 1617.9", \ + " 114.0, 147.8, 239.9, 450.7, 824.6, 1617.9", \ + " 118.9, 151.8, 242.1, 450.2, 824.5, 1617.9", \ + " 126.9, 159.0, 247.3, 452.0, 824.2, 1617.9", \ + " 143.0, 174.2, 259.6, 459.6, 825.5, 1617.9", \ + " 169.1, 198.4, 281.1, 475.4, 834.3, 1617.7", \ + " 201.4, 238.4, 317.5, 505.3, 855.3, 1625.5", \ + " 242.6, 282.9, 374.9, 556.3, 895.4, 1649.7", \ + " 303.7, 347.1, 449.5, 639.1, 967.4, 1701.4", \ + " 393.8, 441.2, 552.4, 765.2, 1089.3, 1799.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 34.8, 59.7, 113.4, 207.0, 401.4", \ + " 32.2, 41.9, 67.0, 121.0, 214.8, 409.3", \ + " 37.4, 47.6, 72.5, 126.6, 220.4, 415.0", \ + " 42.6, 54.7, 80.0, 134.0, 227.9, 422.5", \ + " 49.6, 63.9, 93.7, 147.2, 241.1, 435.7", \ + " 57.4, 73.6, 108.9, 166.7, 260.1, 454.6", \ + " 66.3, 84.6, 125.8, 195.0, 289.1, 483.2", \ + " 76.7, 97.0, 144.1, 226.1, 332.6, 525.6", \ + " 87.8, 110.5, 164.0, 259.5, 387.5, 590.2", \ + " 96.3, 122.5, 183.9, 294.8, 447.2, 687.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.5, 92.2, 148.6, 277.0, 506.0, 993.2", \ + " 77.1, 95.8, 149.6, 277.0, 506.0, 993.2", \ + " 84.4, 102.0, 153.5, 277.7, 506.0, 993.2", \ + " 95.2, 112.0, 161.1, 281.7, 506.2, 993.2", \ + " 116.1, 131.6, 177.8, 293.1, 511.4, 993.2", \ + " 145.0, 162.1, 205.3, 314.5, 525.4, 996.6", \ + " 182.9, 202.4, 250.2, 353.0, 554.5, 1012.2", \ + " 233.6, 255.6, 310.2, 415.6, 606.7, 1047.9", \ + " 303.8, 328.7, 390.6, 510.9, 696.2, 1117.0", \ + " 401.9, 431.0, 501.6, 638.8, 841.0, 1241.8" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 45.9 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 31.5, 56.5, 112.1, 210.5, 419.3", \ + " 29.2, 38.5, 63.4, 119.1, 217.6, 426.5", \ + " 33.3, 44.5, 69.0, 124.6, 223.0, 431.9", \ + " 37.8, 50.7, 76.9, 132.1, 230.4, 439.3", \ + " 44.3, 59.4, 90.4, 145.7, 243.6, 452.3", \ + " 52.1, 69.5, 105.7, 165.7, 263.0, 471.2", \ + " 61.7, 82.0, 124.0, 194.6, 292.8, 500.0", \ + " 73.5, 97.1, 145.8, 228.0, 337.7, 543.1", \ + " 88.1, 115.9, 172.7, 268.0, 397.2, 609.5", \ + " 106.6, 139.4, 206.4, 317.2, 468.0, 711.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.5, 72.9, 142.9, 300.3, 580.1, 1174.5", \ + " 54.8, 78.4, 144.8, 300.2, 580.0, 1174.5", \ + " 63.0, 85.4, 149.5, 301.6, 580.0, 1174.5", \ + " 75.3, 96.0, 157.7, 306.2, 580.5, 1174.5", \ + " 93.0, 116.5, 174.5, 317.9, 586.2, 1174.4", \ + " 112.9, 142.1, 201.5, 339.0, 600.2, 1178.2", \ + " 142.3, 174.2, 244.7, 376.0, 628.2, 1193.6", \ + " 184.1, 219.0, 297.3, 435.3, 677.7, 1227.5", \ + " 244.2, 283.2, 369.6, 526.5, 761.9, 1292.3", \ + " 331.6, 375.6, 472.3, 647.7, 898.3, 1409.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 31.7, 55.0, 106.5, 197.6, 390.8", \ + " 29.4, 38.5, 62.1, 113.9, 205.1, 398.5", \ + " 33.0, 44.0, 67.5, 119.4, 210.7, 404.1", \ + " 36.4, 49.5, 75.0, 126.8, 218.1, 411.6", \ + " 40.6, 56.3, 87.5, 140.0, 231.2, 424.7", \ + " 44.6, 63.0, 100.4, 159.4, 250.3, 443.6", \ + " 48.6, 70.1, 114.2, 185.6, 279.4, 472.2", \ + " 51.9, 77.1, 128.6, 213.6, 322.5, 514.7", \ + " 53.8, 83.4, 143.8, 243.7, 375.0, 579.5", \ + " 53.1, 87.9, 158.9, 276.2, 432.4, 676.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 53.0, 110.3, 239.3, 468.6, 956.0", \ + " 37.7, 56.9, 111.4, 239.3, 468.6, 956.0", \ + " 45.6, 63.5, 115.6, 240.2, 468.6, 956.0", \ + " 56.3, 73.8, 123.5, 244.4, 468.9, 956.0", \ + " 71.4, 93.2, 140.6, 256.0, 474.3, 956.0", \ + " 91.4, 116.4, 168.1, 277.8, 488.6, 959.5", \ + " 119.9, 148.0, 208.4, 316.3, 517.9, 975.4", \ + " 159.4, 191.2, 259.7, 377.7, 570.0, 1011.2", \ + " 215.8, 252.2, 329.7, 465.7, 658.4, 1080.3", \ + " 298.1, 339.4, 428.0, 582.7, 799.1, 1204.0" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 67.1 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 50.4, 84.4, 159.5, 292.3, 573.9", \ + " 43.6, 56.4, 90.4, 165.7, 298.6, 580.4", \ + " 49.2, 61.7, 95.4, 170.6, 303.5, 585.3", \ + " 56.5, 69.4, 102.4, 177.4, 310.2, 591.9", \ + " 66.3, 81.5, 115.5, 189.7, 322.2, 603.7", \ + " 77.9, 95.3, 134.4, 208.2, 339.8, 620.9", \ + " 92.6, 112.5, 157.3, 237.0, 367.3, 647.2", \ + " 111.0, 133.7, 184.7, 276.7, 409.0, 686.9", \ + " 134.7, 161.0, 219.3, 324.6, 473.2, 748.4", \ + " 165.4, 196.1, 263.7, 384.0, 555.6, 844.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.3, 125.5, 219.3, 429.8, 803.3, 1596.5", \ + " 93.7, 127.2, 218.9, 429.4, 803.3, 1596.5", \ + " 99.6, 132.2, 221.7, 429.1, 803.2, 1596.5", \ + " 108.8, 140.3, 227.6, 431.4, 802.9, 1596.5", \ + " 126.6, 156.8, 241.0, 439.6, 804.6, 1596.4", \ + " 153.4, 182.8, 263.7, 456.3, 814.0, 1596.4", \ + " 180.7, 219.5, 301.3, 487.2, 835.7, 1604.6", \ + " 221.5, 263.1, 359.3, 539.3, 876.7, 1629.5", \ + " 282.0, 326.6, 430.9, 623.2, 949.7, 1681.8", \ + " 370.6, 419.6, 532.6, 747.9, 1072.6, 1780.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 34.8, 59.4, 111.9, 203.1, 396.4", \ + " 32.2, 41.8, 66.7, 119.5, 210.9, 404.3", \ + " 37.4, 47.6, 72.2, 125.0, 216.5, 410.0", \ + " 42.5, 54.7, 79.8, 132.5, 224.0, 417.5", \ + " 49.2, 63.5, 93.2, 145.7, 237.2, 430.7", \ + " 55.9, 72.4, 107.7, 165.0, 256.2, 449.6", \ + " 62.7, 81.7, 123.1, 192.2, 285.2, 478.2", \ + " 68.7, 90.7, 138.9, 221.3, 328.4, 520.6", \ + " 73.3, 98.8, 155.0, 252.2, 381.7, 585.2", \ + " 74.7, 104.4, 170.4, 284.8, 439.6, 681.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.7, 72.0, 129.1, 258.0, 487.3, 974.6", \ + " 56.4, 75.5, 130.1, 258.0, 487.3, 974.6", \ + " 63.7, 81.7, 134.0, 258.8, 487.3, 974.6", \ + " 74.9, 91.8, 141.6, 262.8, 487.5, 974.6", \ + " 95.1, 111.9, 158.3, 274.1, 492.7, 974.6", \ + " 119.6, 139.7, 185.9, 295.6, 506.7, 978.0", \ + " 153.4, 176.3, 229.4, 334.1, 535.8, 993.6", \ + " 199.3, 225.2, 285.8, 396.4, 588.0, 1029.3", \ + " 263.5, 293.3, 361.9, 489.2, 677.3, 1098.5", \ + " 354.2, 389.2, 468.1, 613.1, 821.4, 1223.2" ); }} +timing() { /* ring osc delay aoi31v0x2, path b to z 55.5 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.0, 39.0, 73.0, 148.0, 280.7, 562.3", \ + " 33.0, 45.2, 78.9, 154.2, 287.0, 568.8", \ + " 37.9, 50.8, 84.0, 159.1, 291.9, 573.7", \ + " 43.1, 58.1, 91.1, 165.9, 298.6, 580.3", \ + " 50.8, 68.1, 104.5, 178.3, 310.6, 592.1", \ + " 60.2, 79.9, 122.0, 196.8, 328.3, 609.3", \ + " 72.2, 94.8, 143.0, 226.0, 355.9, 635.7", \ + " 87.3, 113.4, 168.4, 263.9, 397.8, 675.4", \ + " 106.9, 137.4, 200.6, 309.8, 461.5, 737.0", \ + " 132.5, 168.4, 242.1, 367.1, 542.0, 833.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.3, 103.6, 197.5, 407.9, 781.1, 1573.9", \ + " 74.3, 107.1, 197.6, 407.4, 781.1, 1573.9", \ + " 81.4, 113.0, 201.1, 407.3, 781.0, 1573.9", \ + " 91.9, 122.2, 207.8, 410.1, 780.6, 1573.9", \ + " 112.8, 140.1, 222.3, 419.1, 782.8, 1573.8", \ + " 131.4, 168.4, 246.2, 436.6, 792.8, 1573.9", \ + " 158.2, 199.0, 284.9, 468.6, 815.2, 1582.6", \ + " 198.6, 241.4, 340.6, 521.7, 857.0, 1608.0", \ + " 258.2, 304.1, 410.6, 606.5, 931.2, 1661.1", \ + " 345.5, 395.9, 511.0, 729.2, 1055.0, 1760.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 31.9, 55.2, 106.7, 197.7, 391.0", \ + " 29.8, 38.9, 62.5, 114.3, 205.5, 398.9", \ + " 33.5, 44.5, 68.0, 119.9, 211.2, 404.6", \ + " 37.0, 50.0, 75.5, 127.3, 218.7, 412.1", \ + " 40.9, 56.8, 88.0, 140.6, 231.8, 425.3", \ + " 44.5, 63.2, 100.9, 159.9, 250.9, 444.2", \ + " 47.5, 69.5, 114.3, 186.0, 279.9, 472.8", \ + " 49.1, 75.1, 127.8, 213.5, 322.8, 515.2", \ + " 48.3, 79.0, 141.1, 242.6, 374.8, 579.8", \ + " 43.1, 79.4, 153.0, 273.0, 431.0, 675.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 53.0, 110.3, 239.3, 468.6, 956.0", \ + " 37.2, 56.5, 111.3, 239.3, 468.6, 956.0", \ + " 44.8, 62.8, 115.2, 240.0, 468.6, 956.0", \ + " 55.3, 72.9, 122.8, 244.0, 468.9, 956.0", \ + " 70.4, 92.1, 139.5, 255.4, 474.0, 956.0", \ + " 90.6, 115.4, 167.0, 276.9, 488.0, 959.4", \ + " 119.5, 147.7, 207.7, 315.3, 517.1, 975.0", \ + " 159.7, 191.9, 260.1, 377.3, 569.3, 1010.7", \ + " 216.8, 254.2, 331.9, 466.9, 658.5, 1079.9", \ + " 299.6, 343.0, 433.0, 586.8, 801.4, 1204.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 60.2, 94.7, 170.4, 303.5, 585.3", \ + " 52.8, 66.2, 100.8, 176.6, 309.8, 591.8", \ + " 58.1, 71.4, 105.7, 181.5, 314.7, 596.7", \ + " 66.1, 78.7, 112.7, 188.2, 321.4, 603.3", \ + " 78.0, 92.2, 125.6, 200.5, 333.3, 615.1", \ + " 91.6, 107.9, 145.1, 218.9, 351.0, 632.3", \ + " 108.5, 127.1, 169.8, 247.5, 378.3, 658.6", \ + " 129.6, 150.6, 199.3, 288.5, 419.9, 698.2", \ + " 156.6, 180.7, 236.0, 338.3, 484.3, 759.6", \ + " 191.5, 219.4, 283.1, 399.9, 568.6, 855.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 112.2, 147.2, 240.7, 451.1, 824.6, 1617.9", \ + " 114.0, 147.8, 239.9, 450.7, 824.6, 1617.9", \ + " 118.9, 151.8, 242.1, 450.2, 824.5, 1617.9", \ + " 126.9, 159.0, 247.3, 452.0, 824.2, 1617.9", \ + " 143.0, 174.2, 259.6, 459.6, 825.5, 1617.9", \ + " 169.1, 198.4, 281.1, 475.4, 834.3, 1617.7", \ + " 201.4, 238.4, 317.5, 505.3, 855.3, 1625.5", \ + " 242.6, 282.9, 374.9, 556.3, 895.4, 1649.7", \ + " 303.7, 347.1, 449.5, 639.1, 967.4, 1701.4", \ + " 393.8, 441.2, 552.4, 765.2, 1089.3, 1799.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 34.8, 59.7, 113.4, 207.0, 401.4", \ + " 32.2, 41.9, 67.0, 121.0, 214.8, 409.3", \ + " 37.4, 47.6, 72.5, 126.6, 220.4, 415.0", \ + " 42.6, 54.7, 80.0, 134.0, 227.9, 422.5", \ + " 49.6, 63.9, 93.7, 147.2, 241.1, 435.7", \ + " 57.4, 73.6, 108.9, 166.7, 260.1, 454.6", \ + " 66.3, 84.6, 125.8, 195.0, 289.1, 483.2", \ + " 76.7, 97.0, 144.1, 226.1, 332.6, 525.6", \ + " 87.8, 110.5, 164.0, 259.5, 387.5, 590.2", \ + " 96.3, 122.5, 183.9, 294.8, 447.2, 687.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.5, 92.2, 148.6, 277.0, 506.0, 993.2", \ + " 77.1, 95.8, 149.6, 277.0, 506.0, 993.2", \ + " 84.4, 102.0, 153.5, 277.7, 506.0, 993.2", \ + " 95.2, 112.0, 161.1, 281.7, 506.2, 993.2", \ + " 116.1, 131.6, 177.8, 293.1, 511.4, 993.2", \ + " 145.0, 162.1, 205.3, 314.5, 525.4, 996.6", \ + " 182.9, 202.4, 250.2, 353.0, 554.5, 1012.2", \ + " 233.6, 255.6, 310.2, 415.6, 606.7, 1047.9", \ + " 303.8, 328.7, 390.6, 510.9, 696.2, 1117.0", \ + " 401.9, 431.0, 501.6, 638.8, 841.0, 1241.8" ); }} +} +} +cell(aoi31v0x3) { /* 2008-01-06:07h28 characteristic delay 16.1 ps */ +area : 18 ; /* tracks */ +cell_leakage_power : 1848 ; /* aoi31v0x3 */ +cell_footprint : aoi31 ; +pin(a1) { /* aoi31v0x3 FO4 effort 2.02 logical effort 2.04 */ +direction : input ; +capacitance : 14.76 ; +rise_capacitance : 14.51 ; +fall_capacitance : 15.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aoi31v0x3 */ +} +pin(a2) { /* aoi31v0x3 FO4 effort 1.93 logical effort 2.02 */ +direction : input ; +capacitance : 14.66 ; +rise_capacitance : 14.76 ; +fall_capacitance : 14.57 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aoi31v0x3 */ +} +pin(a3) { /* aoi31v0x3 FO4 effort 1.80 logical effort 1.99 */ +direction : input ; +capacitance : 14.39 ; +rise_capacitance : 14.88 ; +fall_capacitance : 13.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of aoi31v0x3 */ +} +pin(b) { /* aoi31v0x3 FO4 effort 1.35 logical effort 1.44 */ +direction : input ; +capacitance : 11.09 ; +rise_capacitance : 10.54 ; +fall_capacitance : 11.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aoi31v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 302 ; +max_fanout : 8 ; +function : "((a1*a2*a3)+b)'" ; +internal_power(a1_z_n) { /* aoi31v0x3 64.06 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 33.03, 33.05, 33.07, 33.05, 32.98", \ + " 32.26, 32.35, 32.46, 32.52, 32.50", \ + " 31.97, 32.07, 32.23, 32.34, 32.35", \ + " 31.75, 31.85, 32.03, 32.18, 32.23", \ + " 31.72, 31.78, 31.90, 32.05, 32.11", \ + " 32.34, 32.27, 32.20, 32.19, 32.19", \ + " 34.36, 34.02, 33.50, 33.02, 32.69", \ + " 38.97, 38.21, 36.89, 35.41, 34.29", \ + " 47.92, 46.63, 44.14, 40.98, 38.30", \ + " 63.35, 61.52, 57.68, 52.17, 46.91" ); }} +internal_power(a2_z_n) { /* aoi31v0x3 54.47 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 28.22, 28.31, 28.40, 28.43, 28.39", \ + " 27.33, 27.51, 27.76, 27.95, 28.00", \ + " 27.00, 27.18, 27.47, 27.73, 27.84", \ + " 26.80, 26.95, 27.24, 27.53, 27.69", \ + " 26.89, 26.95, 27.12, 27.37, 27.55", \ + " 27.72, 27.60, 27.50, 27.53, 27.60", \ + " 30.00, 29.58, 28.95, 28.42, 28.11", \ + " 34.77, 33.91, 32.44, 30.85, 29.71", \ + " 43.59, 42.21, 39.57, 36.30, 33.63", \ + " 58.39, 56.50, 52.58, 47.01, 41.83" ); }} +internal_power(a3_z_n) { /* aoi31v0x3 44.37 nW/MHz */ +related_pin : "a3" ; +power(pwr_x3_390_5x10) { +values( " 22.84, 23.13, 23.43, 23.59, 23.61", \ + " 21.92, 22.24, 22.72, 23.10, 23.27", \ + " 21.67, 21.94, 22.41, 22.87, 23.10", \ + " 21.61, 21.79, 22.18, 22.65, 22.94", \ + " 21.99, 22.00, 22.16, 22.51, 22.79", \ + " 23.18, 22.95, 22.73, 22.72, 22.85", \ + " 25.88, 25.29, 24.45, 23.76, 23.42", \ + " 30.97, 29.93, 28.19, 26.38, 25.12", \ + " 39.95, 38.38, 35.47, 31.97, 29.14", \ + " 54.69, 52.60, 48.39, 42.61, 37.33" ); }} +internal_power(b_z_n) { /* aoi31v0x3 25.98 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 12.72, 13.06, 13.40, 13.59, 13.64", \ + " 12.28, 12.59, 13.05, 13.42, 13.59", \ + " 12.37, 12.56, 12.95, 13.34, 13.55", \ + " 12.75, 12.77, 12.99, 13.31, 13.52", \ + " 13.74, 13.51, 13.37, 13.45, 13.58", \ + " 15.57, 15.02, 14.37, 14.00, 13.87", \ + " 18.75, 17.78, 16.45, 15.32, 14.68", \ + " 23.91, 22.45, 20.21, 18.00, 16.47", \ + " 32.17, 30.17, 26.77, 23.03, 20.11", \ + " 45.27, 42.71, 37.92, 32.05, 27.09" ); }} +timing() { /* ring osc delay aoi31v0x3, path a1 to z 82.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 87.1 ; */ +/* intrinsic_fall : 55.4 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 83.6, 117.9, 193.4, 326.4, 608.2", \ + " 77.6, 91.0, 125.5, 201.1, 334.2, 616.1", \ + " 83.0, 96.4, 131.0, 206.7, 339.9, 621.8", \ + " 89.8, 103.3, 137.8, 213.6, 346.8, 628.8", \ + " 101.0, 114.7, 149.1, 224.9, 358.1, 640.1", \ + " 113.7, 128.9, 165.1, 240.6, 373.8, 655.7", \ + " 130.3, 147.0, 186.9, 264.7, 397.4, 679.0", \ + " 153.5, 171.7, 215.1, 299.7, 433.1, 713.8", \ + " 187.8, 207.8, 254.9, 346.6, 488.5, 767.6", \ + " 237.8, 260.1, 312.3, 411.8, 565.4, 852.0" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.4, 156.3, 250.6, 464.7, 846.5, 1658.2", \ + " 121.7, 156.5, 250.8, 464.7, 846.5, 1658.2", \ + " 123.0, 157.4, 251.1, 464.8, 846.5, 1658.2", \ + " 127.0, 160.8, 253.2, 465.3, 846.6, 1658.2", \ + " 136.1, 169.2, 260.0, 469.5, 847.4, 1658.2", \ + " 153.2, 184.8, 273.3, 479.3, 853.2, 1658.6", \ + " 184.9, 215.2, 299.0, 499.5, 867.4, 1664.9", \ + " 230.7, 263.0, 345.8, 537.3, 896.1, 1682.5", \ + " 301.2, 334.3, 420.3, 605.2, 950.7, 1720.4", \ + " 405.0, 439.6, 528.6, 719.1, 1049.0, 1795.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 57.0, 81.8, 134.6, 226.1, 418.7", \ + " 51.0, 61.1, 86.0, 138.9, 230.5, 423.1", \ + " 53.3, 63.4, 88.3, 141.3, 232.9, 425.5", \ + " 55.5, 66.0, 91.0, 144.0, 235.7, 428.4", \ + " 57.7, 68.7, 95.2, 148.4, 240.1, 432.8", \ + " 59.1, 71.0, 99.1, 154.6, 246.3, 439.0", \ + " 58.9, 72.1, 102.7, 161.9, 255.9, 448.4", \ + " 55.3, 70.5, 105.0, 169.3, 268.8, 462.6", \ + " 45.8, 63.6, 103.6, 175.5, 282.8, 484.7", \ + " 27.0, 47.6, 94.5, 177.7, 296.4, 512.2" ); } +fall_transition(x3_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 87.2, 110.5, 175.2, 323.3, 588.0, 1151.5", \ + " 88.2, 111.4, 175.6, 323.4, 588.0, 1151.5", \ + " 90.5, 113.5, 177.4, 324.3, 588.2, 1151.5", \ + " 94.7, 117.3, 180.5, 326.5, 589.4, 1151.6", \ + " 105.4, 126.7, 188.1, 332.1, 593.2, 1153.1", \ + " 121.8, 144.3, 203.2, 343.5, 601.3, 1157.8", \ + " 147.4, 170.3, 231.3, 366.6, 618.4, 1168.7", \ + " 187.9, 211.4, 273.3, 408.4, 651.4, 1191.3", \ + " 251.9, 276.0, 338.9, 475.6, 712.4, 1236.5", \ + " 352.1, 376.5, 440.6, 578.5, 816.5, 1322.0" ); }} +timing() { /* ring osc delay aoi31v0x3, path a2 to z 76.6 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.3 ; */ +/* intrinsic_fall : 53.7 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.5, 74.7, 108.6, 183.6, 316.3, 597.9", \ + " 68.3, 81.6, 115.9, 191.4, 324.3, 606.1", \ + " 73.5, 86.8, 121.2, 196.8, 329.9, 611.8", \ + " 80.2, 93.5, 127.8, 203.5, 336.7, 618.7", \ + " 90.3, 104.6, 138.9, 214.5, 347.9, 630.0", \ + " 101.3, 117.3, 154.7, 230.1, 363.3, 645.4", \ + " 115.9, 133.6, 174.9, 254.1, 386.8, 668.6", \ + " 136.1, 155.8, 201.3, 288.0, 422.3, 703.2", \ + " 165.4, 187.6, 238.0, 332.7, 476.9, 756.7", \ + " 207.8, 233.2, 290.2, 394.5, 551.6, 840.5" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 98.0, 133.0, 227.6, 441.8, 823.6, 1635.1", \ + " 98.6, 133.4, 227.7, 441.8, 823.6, 1635.1", \ + " 100.1, 134.4, 228.1, 441.9, 823.6, 1635.1", \ + " 104.7, 138.3, 230.4, 442.4, 823.6, 1635.1", \ + " 114.7, 147.3, 237.6, 446.6, 824.4, 1635.1", \ + " 133.5, 163.7, 251.2, 456.7, 830.2, 1635.5", \ + " 163.4, 195.2, 277.4, 477.1, 844.6, 1641.7", \ + " 207.4, 240.2, 324.9, 515.0, 873.4, 1659.4", \ + " 275.7, 309.3, 396.8, 583.1, 928.0, 1697.4", \ + " 376.8, 411.8, 502.1, 695.4, 1026.1, 1772.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 53.1, 77.9, 130.7, 222.2, 414.8", \ + " 47.2, 57.3, 82.3, 135.1, 226.7, 419.3", \ + " 50.4, 60.3, 85.2, 138.2, 229.8, 422.4", \ + " 53.6, 64.3, 89.3, 142.3, 233.9, 426.6", \ + " 57.8, 69.4, 96.4, 149.6, 241.2, 433.9", \ + " 61.8, 74.7, 104.2, 160.3, 251.9, 444.6", \ + " 64.7, 79.5, 112.9, 174.3, 268.4, 460.8", \ + " 65.2, 82.4, 120.9, 189.9, 291.6, 485.1", \ + " 61.7, 81.6, 126.3, 205.9, 319.1, 522.2", \ + " 51.3, 74.3, 126.5, 219.4, 349.1, 572.5" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.3, 107.3, 170.4, 314.6, 572.0, 1120.1", \ + " 86.5, 109.0, 171.3, 314.7, 572.0, 1120.1", \ + " 90.0, 112.2, 173.8, 316.0, 572.2, 1120.1", \ + " 96.6, 118.1, 178.5, 319.0, 573.5, 1120.1", \ + " 112.1, 131.9, 189.7, 326.9, 578.2, 1121.2", \ + " 132.3, 155.0, 210.3, 342.6, 588.8, 1126.2", \ + " 161.4, 185.1, 245.4, 372.3, 611.1, 1139.2", \ + " 205.0, 229.8, 292.4, 422.5, 652.4, 1167.5", \ + " 269.9, 296.4, 361.9, 498.1, 724.4, 1222.9", \ + " 364.4, 393.7, 464.3, 606.8, 841.6, 1324.1" ); }} +timing() { /* ring osc delay aoi31v0x3, path a3 to z 67.1 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.4 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 63.2, 97.6, 172.9, 305.7, 587.4", \ + " 56.0, 69.8, 104.7, 180.6, 313.9, 595.8", \ + " 61.2, 74.9, 109.8, 185.9, 319.4, 601.5", \ + " 67.6, 81.5, 116.2, 192.4, 326.1, 608.3", \ + " 75.6, 91.6, 127.3, 203.3, 337.0, 619.5", \ + " 84.7, 102.5, 142.3, 218.7, 352.3, 634.8", \ + " 96.7, 116.7, 160.9, 242.5, 375.5, 657.8", \ + " 113.0, 135.9, 185.2, 275.0, 410.8, 692.2", \ + " 136.3, 162.7, 218.6, 317.6, 464.6, 745.4", \ + " 170.0, 200.8, 265.1, 375.9, 536.9, 828.7" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.4, 110.0, 204.2, 418.1, 799.5, 1610.7", \ + " 76.3, 110.6, 204.4, 418.2, 799.5, 1610.7", \ + " 78.7, 111.9, 204.9, 418.3, 799.6, 1610.7", \ + " 84.1, 116.4, 207.4, 418.8, 799.6, 1610.7", \ + " 95.8, 126.4, 215.1, 423.1, 800.4, 1610.7", \ + " 116.6, 144.4, 229.3, 433.5, 806.3, 1611.0", \ + " 143.5, 175.4, 256.4, 454.3, 820.9, 1617.3", \ + " 186.3, 218.7, 304.8, 492.6, 849.9, 1635.0", \ + " 254.4, 286.7, 374.1, 561.2, 904.8, 1673.2", \ + " 355.9, 389.1, 477.8, 672.5, 1003.1, 1748.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 45.4, 70.3, 123.1, 214.6, 407.2", \ + " 40.2, 50.4, 75.4, 128.3, 219.8, 412.5", \ + " 44.9, 54.6, 79.5, 132.4, 224.0, 416.6", \ + " 49.7, 60.6, 85.3, 138.2, 229.7, 422.3", \ + " 55.7, 68.2, 95.8, 148.6, 240.0, 432.5", \ + " 61.2, 75.6, 107.4, 163.8, 255.0, 447.2", \ + " 65.9, 82.5, 119.4, 184.5, 278.0, 469.8", \ + " 68.9, 88.0, 130.5, 206.1, 311.6, 503.5", \ + " 68.9, 90.9, 140.0, 227.5, 350.2, 555.1", \ + " 63.4, 89.0, 146.0, 247.4, 389.9, 627.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.9, 104.9, 166.9, 307.4, 558.6, 1093.6", \ + " 85.4, 106.9, 167.2, 307.3, 558.6, 1093.6", \ + " 91.2, 112.1, 170.7, 308.2, 558.7, 1093.6", \ + " 100.5, 120.9, 177.7, 312.2, 559.3, 1093.6", \ + " 119.7, 138.3, 193.3, 323.3, 565.0, 1093.8", \ + " 139.8, 164.1, 218.5, 344.4, 579.5, 1098.8", \ + " 168.7, 195.0, 258.0, 381.0, 608.6, 1116.0", \ + " 211.0, 239.6, 307.2, 438.2, 659.8, 1152.7", \ + " 272.5, 304.6, 378.0, 520.3, 743.6, 1222.2", \ + " 361.6, 398.5, 480.5, 634.0, 873.4, 1343.3" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 38.5 */ +related_pin : "b" ; +when : "(a1'*a2'*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 28.8, 51.1, 100.5, 188.1, 374.0", \ + " 27.6, 36.2, 58.3, 107.8, 195.5, 381.5", \ + " 31.5, 42.0, 64.2, 113.5, 201.1, 387.1", \ + " 35.7, 47.9, 72.2, 121.3, 208.8, 394.7", \ + " 41.6, 56.0, 85.3, 135.2, 222.4, 408.2", \ + " 48.4, 65.1, 99.6, 155.8, 242.3, 427.6", \ + " 56.5, 76.1, 116.5, 183.3, 272.8, 457.2", \ + " 65.9, 89.0, 136.1, 214.6, 317.9, 501.4", \ + " 77.0, 104.3, 159.7, 251.4, 374.0, 569.1", \ + " 90.2, 122.5, 188.1, 295.7, 439.8, 669.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.5, 63.9, 126.3, 266.8, 516.5, 1047.1", \ + " 49.6, 70.2, 128.9, 266.8, 516.5, 1047.1", \ + " 58.4, 77.7, 134.2, 268.9, 516.5, 1047.1", \ + " 71.6, 88.9, 142.9, 274.2, 517.7, 1047.1", \ + " 88.2, 110.8, 160.8, 287.0, 524.6, 1047.3", \ + " 109.2, 135.5, 189.2, 309.5, 540.2, 1053.0", \ + " 139.6, 168.8, 232.5, 348.6, 570.4, 1070.8", \ + " 182.2, 214.7, 286.3, 410.9, 623.1, 1108.0", \ + " 243.0, 280.0, 360.2, 503.3, 711.8, 1177.8", \ + " 331.3, 373.5, 464.7, 626.4, 854.4, 1301.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.3, 34.2, 59.7, 115.8, 215.2, 425.9", \ + " 31.0, 40.9, 66.5, 123.1, 222.6, 433.5", \ + " 35.1, 46.4, 71.9, 128.5, 228.1, 439.1", \ + " 38.9, 52.4, 79.4, 135.9, 235.5, 446.6", \ + " 43.7, 60.0, 92.4, 149.0, 248.6, 459.7", \ + " 48.8, 67.8, 106.6, 168.4, 267.6, 478.5", \ + " 54.3, 76.3, 122.0, 196.2, 296.7, 507.1", \ + " 59.8, 85.5, 138.6, 226.9, 340.3, 549.7", \ + " 65.1, 95.1, 157.0, 260.5, 397.1, 614.6", \ + " 69.4, 104.6, 177.1, 297.9, 460.3, 713.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.6, 58.1, 120.6, 261.3, 511.5, 1043.2", \ + " 40.5, 61.6, 121.4, 261.3, 511.5, 1043.2", \ + " 48.1, 68.0, 125.3, 261.8, 511.5, 1043.2", \ + " 59.3, 78.1, 132.9, 265.6, 511.5, 1043.2", \ + " 74.6, 97.7, 149.4, 276.5, 515.9, 1043.2", \ + " 94.6, 121.1, 176.1, 297.3, 529.1, 1045.4", \ + " 122.8, 152.7, 216.9, 334.5, 556.8, 1059.2", \ + " 162.2, 195.6, 268.6, 394.3, 606.8, 1092.5", \ + " 218.4, 256.3, 338.3, 483.2, 692.4, 1158.1", \ + " 300.7, 343.1, 435.9, 600.7, 830.5, 1276.9" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 51.6 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.8, 40.5, 65.7, 121.3, 219.8, 428.7", \ + " 37.8, 47.4, 72.6, 128.4, 226.9, 435.8", \ + " 43.8, 53.2, 78.2, 133.8, 232.4, 441.3", \ + " 50.0, 61.0, 85.9, 141.3, 239.8, 448.7", \ + " 58.5, 71.6, 99.9, 154.8, 252.9, 461.7", \ + " 68.3, 83.5, 116.8, 174.7, 272.2, 480.5", \ + " 80.2, 97.8, 136.7, 204.2, 301.8, 509.2", \ + " 94.4, 114.8, 159.8, 239.0, 346.3, 552.1", \ + " 111.6, 135.5, 187.9, 280.0, 406.9, 618.1", \ + " 132.6, 160.8, 222.4, 329.6, 478.3, 719.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.3, 90.5, 160.6, 318.1, 598.1, 1192.8", \ + " 69.7, 94.2, 161.7, 318.0, 598.1, 1192.8", \ + " 76.6, 100.2, 165.8, 319.0, 598.1, 1192.8", \ + " 87.1, 109.8, 173.2, 323.2, 598.4, 1192.8", \ + " 108.3, 128.6, 189.1, 334.2, 603.6, 1192.8", \ + " 130.9, 157.9, 215.2, 354.6, 617.2, 1196.2", \ + " 162.0, 192.1, 257.7, 390.9, 644.6, 1211.2", \ + " 205.7, 239.0, 314.4, 449.9, 693.7, 1244.8", \ + " 268.3, 305.6, 389.6, 542.8, 777.9, 1309.5", \ + " 358.3, 401.1, 495.4, 667.7, 915.1, 1426.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 37.8, 65.0, 122.4, 222.0, 432.9", \ + " 33.9, 44.6, 72.0, 129.8, 229.6, 440.6", \ + " 39.5, 50.2, 77.4, 135.2, 235.1, 446.2", \ + " 45.2, 57.8, 84.8, 142.6, 242.5, 453.7", \ + " 53.2, 67.9, 98.6, 155.8, 255.6, 466.8", \ + " 61.8, 78.5, 114.8, 175.1, 274.6, 485.6", \ + " 71.2, 90.2, 132.5, 203.8, 303.7, 514.2", \ + " 81.1, 102.7, 151.4, 236.1, 347.1, 556.7", \ + " 91.4, 116.2, 172.3, 271.5, 405.3, 621.5", \ + " 101.4, 130.1, 195.2, 310.8, 469.9, 720.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.9, 81.1, 143.4, 284.0, 534.1, 1065.8", \ + " 63.5, 84.5, 144.2, 284.0, 534.1, 1065.8", \ + " 70.8, 90.7, 148.0, 284.5, 534.1, 1065.8", \ + " 82.0, 100.7, 155.4, 288.1, 534.1, 1065.8", \ + " 102.9, 120.3, 171.7, 298.9, 538.4, 1065.8", \ + " 127.9, 149.1, 198.7, 319.6, 551.5, 1067.9", \ + " 161.7, 185.9, 242.1, 356.8, 579.1, 1081.7", \ + " 207.4, 234.5, 298.8, 417.2, 629.1, 1114.9", \ + " 271.1, 301.7, 374.3, 509.7, 715.2, 1180.5", \ + " 361.3, 396.5, 478.5, 633.2, 854.9, 1299.7" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 40.7 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 31.6, 56.6, 112.2, 210.5, 419.3", \ + " 29.3, 38.6, 63.5, 119.2, 217.6, 426.5", \ + " 33.3, 44.5, 69.1, 124.7, 223.1, 432.0", \ + " 37.8, 50.7, 76.9, 132.2, 230.5, 439.3", \ + " 44.1, 59.2, 90.4, 145.7, 243.7, 452.3", \ + " 51.4, 69.0, 105.5, 165.7, 263.0, 471.2", \ + " 60.4, 80.9, 123.3, 194.2, 292.6, 499.9", \ + " 71.0, 95.0, 144.3, 227.2, 337.3, 542.9", \ + " 83.9, 112.2, 169.8, 266.1, 396.2, 608.9", \ + " 99.7, 133.1, 201.1, 313.5, 465.6, 710.1" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.8, 73.1, 143.1, 300.5, 580.3, 1174.7", \ + " 55.1, 78.7, 145.1, 300.4, 580.3, 1174.7", \ + " 63.4, 85.7, 149.8, 301.9, 580.2, 1174.7", \ + " 75.9, 96.4, 158.0, 306.5, 580.7, 1174.7", \ + " 94.0, 117.2, 175.1, 318.3, 586.5, 1174.6", \ + " 114.3, 143.3, 202.4, 339.6, 600.6, 1178.4", \ + " 144.3, 176.0, 246.2, 376.9, 628.8, 1193.9", \ + " 186.8, 221.6, 299.6, 437.0, 678.8, 1228.1", \ + " 247.7, 286.8, 373.1, 529.3, 764.0, 1293.6", \ + " 336.1, 380.5, 477.4, 652.4, 902.1, 1411.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.4, 34.3, 59.8, 116.0, 215.3, 426.1", \ + " 31.2, 41.1, 66.8, 123.3, 222.8, 433.8", \ + " 35.3, 46.6, 72.2, 128.8, 228.4, 439.4", \ + " 39.1, 52.7, 79.6, 136.1, 235.8, 446.9", \ + " 43.9, 60.2, 92.6, 149.3, 248.9, 460.0", \ + " 48.7, 67.8, 106.7, 168.6, 267.9, 478.8", \ + " 53.9, 76.1, 122.0, 196.4, 296.9, 507.4", \ + " 58.8, 84.8, 138.3, 226.8, 340.4, 549.9", \ + " 63.1, 93.6, 156.0, 260.1, 397.1, 614.7", \ + " 65.9, 101.7, 175.0, 296.8, 459.8, 713.7" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.7, 58.1, 120.6, 261.3, 511.5, 1043.2", \ + " 40.3, 61.5, 121.3, 261.3, 511.5, 1043.2", \ + " 47.7, 67.7, 125.2, 261.8, 511.5, 1043.2", \ + " 58.9, 77.7, 132.6, 265.4, 511.5, 1043.2", \ + " 74.3, 97.3, 149.0, 276.2, 515.8, 1043.2", \ + " 94.5, 120.9, 175.8, 297.0, 528.8, 1045.4", \ + " 123.0, 152.8, 216.8, 334.1, 556.5, 1059.1", \ + " 162.7, 196.3, 269.0, 394.2, 606.5, 1092.3", \ + " 219.4, 257.6, 339.5, 483.8, 692.5, 1158.0", \ + " 302.0, 345.2, 438.4, 602.6, 831.6, 1277.1" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 70.2 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 62.1, 96.7, 172.4, 305.6, 587.5", \ + " 54.8, 68.2, 102.8, 178.7, 311.9, 593.9", \ + " 60.0, 73.3, 107.8, 183.6, 316.8, 598.8", \ + " 67.7, 80.5, 114.7, 190.3, 323.5, 605.5", \ + " 79.8, 94.0, 127.5, 202.6, 335.4, 617.2", \ + " 93.4, 109.7, 146.8, 220.8, 353.0, 634.3", \ + " 110.1, 128.7, 171.5, 249.2, 380.2, 660.6", \ + " 130.6, 151.7, 200.5, 289.9, 421.5, 700.0", \ + " 156.5, 180.7, 236.3, 339.0, 485.3, 761.0", \ + " 189.5, 217.5, 281.6, 399.1, 568.6, 856.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 115.5, 150.5, 243.9, 454.1, 827.6, 1621.0", \ + " 117.1, 150.9, 243.0, 453.9, 827.6, 1621.0", \ + " 121.8, 154.8, 245.1, 453.3, 827.6, 1621.0", \ + " 129.8, 161.9, 250.3, 455.1, 827.3, 1621.0", \ + " 145.8, 177.0, 262.6, 462.6, 828.6, 1621.0", \ + " 172.0, 201.4, 284.1, 478.5, 837.4, 1620.9", \ + " 205.9, 242.4, 320.8, 508.6, 858.5, 1628.7", \ + " 248.1, 288.0, 379.0, 560.1, 899.0, 1653.1", \ + " 310.5, 353.7, 455.4, 644.0, 971.7, 1705.1", \ + " 402.3, 449.7, 560.6, 772.4, 1095.2, 1804.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.3, 38.0, 65.3, 124.1, 226.5, 438.7", \ + " 34.3, 45.0, 72.5, 131.7, 234.3, 446.6", \ + " 39.9, 50.6, 78.0, 137.2, 239.9, 452.3", \ + " 45.6, 58.3, 85.4, 144.7, 247.4, 459.8", \ + " 53.6, 68.4, 99.3, 157.8, 260.5, 473.0", \ + " 62.6, 79.4, 116.0, 177.2, 279.5, 491.8", \ + " 73.2, 92.0, 134.7, 206.6, 308.4, 520.4", \ + " 85.8, 106.6, 155.4, 240.7, 351.9, 562.8", \ + " 100.5, 123.6, 178.6, 277.9, 411.2, 627.3", \ + " 114.0, 140.5, 203.4, 318.2, 476.7, 726.3" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.7, 104.5, 166.0, 305.9, 555.8, 1087.3", \ + " 86.8, 107.4, 166.6, 305.9, 555.8, 1087.3", \ + " 93.8, 113.3, 170.1, 306.3, 555.8, 1087.3", \ + " 104.4, 122.9, 177.2, 309.7, 555.8, 1087.3", \ + " 124.9, 142.1, 193.2, 320.2, 559.8, 1087.3", \ + " 155.1, 172.1, 220.0, 340.7, 572.6, 1089.3", \ + " 194.2, 214.4, 263.9, 377.8, 600.0, 1102.8", \ + " 246.1, 269.0, 326.2, 439.0, 650.1, 1135.8", \ + " 317.8, 343.6, 408.5, 535.0, 737.0, 1201.6", \ + " 418.0, 447.8, 521.3, 665.9, 879.4, 1321.6" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 40.7 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 31.6, 56.6, 112.2, 210.5, 419.3", \ + " 29.3, 38.6, 63.5, 119.2, 217.6, 426.5", \ + " 33.3, 44.5, 69.1, 124.7, 223.1, 432.0", \ + " 37.8, 50.7, 76.9, 132.2, 230.5, 439.3", \ + " 44.1, 59.2, 90.4, 145.7, 243.7, 452.3", \ + " 51.4, 69.0, 105.5, 165.7, 263.0, 471.2", \ + " 60.4, 80.9, 123.3, 194.2, 292.6, 499.9", \ + " 71.0, 95.0, 144.3, 227.2, 337.3, 542.9", \ + " 83.9, 112.2, 169.8, 266.1, 396.2, 608.9", \ + " 99.7, 133.1, 201.1, 313.5, 465.6, 710.1" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.8, 73.1, 143.1, 300.5, 580.3, 1174.7", \ + " 55.1, 78.7, 145.1, 300.4, 580.3, 1174.7", \ + " 63.4, 85.7, 149.8, 301.9, 580.2, 1174.7", \ + " 75.9, 96.4, 158.0, 306.5, 580.7, 1174.7", \ + " 94.0, 117.2, 175.1, 318.3, 586.5, 1174.6", \ + " 114.3, 143.3, 202.4, 339.6, 600.6, 1178.4", \ + " 144.3, 176.0, 246.2, 376.9, 628.8, 1193.9", \ + " 186.8, 221.6, 299.6, 437.0, 678.8, 1228.1", \ + " 247.7, 286.8, 373.1, 529.3, 764.0, 1293.6", \ + " 336.1, 380.5, 477.4, 652.4, 902.1, 1411.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.4, 34.3, 59.8, 116.0, 215.3, 426.1", \ + " 31.2, 41.1, 66.8, 123.3, 222.8, 433.8", \ + " 35.3, 46.6, 72.2, 128.8, 228.4, 439.4", \ + " 39.1, 52.7, 79.6, 136.1, 235.8, 446.9", \ + " 43.9, 60.2, 92.6, 149.3, 248.9, 460.0", \ + " 48.7, 67.8, 106.7, 168.6, 267.9, 478.8", \ + " 53.9, 76.1, 122.0, 196.4, 296.9, 507.4", \ + " 58.8, 84.8, 138.3, 226.8, 340.4, 549.9", \ + " 63.1, 93.6, 156.0, 260.1, 397.1, 614.7", \ + " 65.8, 101.7, 175.0, 296.8, 459.8, 713.7" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.6, 58.1, 120.6, 261.3, 511.5, 1043.2", \ + " 40.3, 61.5, 121.3, 261.3, 511.5, 1043.2", \ + " 47.7, 67.7, 125.2, 261.8, 511.5, 1043.2", \ + " 58.9, 77.7, 132.6, 265.4, 511.5, 1043.2", \ + " 74.3, 97.3, 149.0, 276.2, 515.8, 1043.2", \ + " 94.5, 120.9, 175.8, 297.0, 528.8, 1045.3", \ + " 123.0, 152.8, 216.8, 334.1, 556.5, 1059.1", \ + " 162.7, 196.3, 269.0, 394.2, 606.5, 1092.3", \ + " 219.4, 257.6, 339.5, 483.8, 692.5, 1158.0", \ + " 302.0, 345.2, 438.4, 602.6, 831.6, 1277.1" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 60.3 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.7, 51.8, 85.7, 160.8, 293.6, 575.2", \ + " 44.9, 57.8, 91.7, 167.0, 299.9, 581.6", \ + " 50.4, 63.0, 96.7, 171.9, 304.8, 586.6", \ + " 57.7, 70.5, 103.7, 178.7, 311.5, 593.2", \ + " 67.4, 82.7, 116.7, 191.0, 323.4, 605.0", \ + " 78.8, 96.3, 135.4, 209.3, 341.0, 622.1", \ + " 93.1, 113.1, 158.0, 237.9, 368.3, 648.3", \ + " 110.8, 133.6, 184.9, 277.2, 409.7, 687.8", \ + " 133.1, 159.5, 218.3, 324.2, 473.3, 748.9", \ + " 161.5, 192.4, 260.6, 382.0, 554.5, 844.5" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 91.9, 127.1, 220.8, 431.3, 804.8, 1597.9", \ + " 95.2, 128.8, 220.5, 431.0, 804.7, 1597.9", \ + " 101.1, 133.6, 223.2, 430.6, 804.7, 1597.9", \ + " 110.2, 141.8, 229.1, 432.9, 804.4, 1597.9", \ + " 128.2, 158.4, 242.5, 441.2, 806.2, 1597.9", \ + " 155.9, 184.7, 265.4, 457.9, 815.6, 1597.9", \ + " 184.0, 222.4, 303.5, 489.2, 837.5, 1606.3", \ + " 225.8, 267.1, 362.6, 541.9, 878.8, 1631.3", \ + " 287.5, 331.9, 435.8, 627.1, 952.8, 1684.1", \ + " 377.7, 426.8, 539.6, 754.0, 1077.5, 1784.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.3, 38.0, 65.0, 122.3, 221.9, 432.8", \ + " 34.3, 45.0, 72.3, 129.9, 229.7, 440.7", \ + " 39.9, 50.6, 77.7, 135.5, 235.3, 446.4", \ + " 45.6, 58.2, 85.2, 142.9, 242.8, 453.9", \ + " 53.2, 68.1, 98.9, 156.1, 255.9, 467.1", \ + " 61.1, 78.2, 114.9, 175.3, 274.9, 485.9", \ + " 69.5, 89.1, 132.0, 203.8, 303.9, 514.5", \ + " 77.7, 100.2, 150.0, 235.6, 347.1, 556.9", \ + " 85.3, 111.3, 169.1, 270.0, 404.7, 621.4", \ + " 91.0, 121.2, 188.8, 307.2, 468.2, 720.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.5, 80.7, 143.0, 283.6, 533.7, 1065.4", \ + " 62.5, 83.6, 143.6, 283.6, 533.7, 1065.4", \ + " 69.6, 89.6, 147.1, 284.0, 533.7, 1065.4", \ + " 80.5, 99.3, 154.3, 287.4, 533.7, 1065.4", \ + " 101.3, 118.8, 170.3, 297.9, 537.8, 1065.4", \ + " 126.6, 147.7, 197.1, 318.3, 550.5, 1067.4", \ + " 161.1, 185.1, 240.9, 355.4, 577.9, 1080.9", \ + " 208.0, 235.0, 298.7, 416.5, 628.0, 1113.9", \ + " 273.3, 304.1, 376.2, 510.4, 714.9, 1179.7", \ + " 365.6, 401.5, 483.7, 636.9, 856.7, 1299.7" ); }} +timing() { /* ring osc delay aoi31v0x3, path b to z 47.1 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.5, 39.5, 73.3, 148.3, 281.0, 562.6", \ + " 33.2, 45.6, 79.3, 154.5, 287.3, 569.0", \ + " 38.2, 51.1, 84.3, 159.4, 292.2, 573.9", \ + " 43.3, 58.3, 91.4, 166.2, 298.9, 580.6", \ + " 50.7, 68.1, 104.7, 178.5, 310.9, 592.4", \ + " 59.6, 79.5, 121.9, 197.0, 328.5, 609.5", \ + " 70.9, 93.8, 142.4, 225.9, 355.9, 635.8", \ + " 85.0, 111.4, 167.0, 263.2, 397.5, 675.3", \ + " 102.7, 133.7, 197.8, 308.0, 460.5, 736.5", \ + " 125.6, 162.1, 236.9, 363.4, 539.7, 832.2" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.2, 103.6, 197.3, 407.6, 780.8, 1573.5", \ + " 74.3, 107.0, 197.5, 407.2, 780.7, 1573.5", \ + " 81.5, 112.9, 201.0, 407.1, 780.6, 1573.5", \ + " 92.2, 122.3, 207.7, 410.0, 780.3, 1573.5", \ + " 113.5, 140.6, 222.4, 419.0, 782.6, 1573.4", \ + " 132.6, 169.3, 246.6, 436.7, 792.7, 1573.6", \ + " 160.1, 200.6, 286.1, 469.1, 815.3, 1582.5", \ + " 201.4, 244.1, 342.7, 523.0, 857.7, 1608.1", \ + " 262.1, 308.0, 414.2, 609.2, 932.8, 1661.8", \ + " 350.6, 401.4, 516.6, 734.0, 1058.5, 1762.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.6, 34.6, 60.0, 116.2, 215.5, 426.3", \ + " 31.6, 41.5, 67.3, 123.8, 223.3, 434.2", \ + " 35.8, 47.1, 72.7, 129.3, 228.9, 439.9", \ + " 39.7, 53.2, 80.2, 136.7, 236.4, 447.5", \ + " 44.3, 60.7, 93.2, 149.9, 249.6, 460.6", \ + " 48.6, 68.0, 107.2, 169.2, 268.5, 479.5", \ + " 52.8, 75.6, 122.1, 196.8, 297.5, 508.0", \ + " 56.2, 82.9, 137.5, 226.9, 340.7, 550.4", \ + " 57.8, 89.4, 153.6, 259.2, 396.9, 614.9", \ + " 56.2, 93.5, 169.3, 293.8, 458.5, 713.4" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.7, 58.1, 120.6, 261.3, 511.5, 1043.2", \ + " 39.7, 61.1, 121.2, 261.3, 511.5, 1043.2", \ + " 46.9, 67.0, 124.7, 261.7, 511.5, 1043.2", \ + " 57.9, 76.8, 131.9, 265.1, 511.5, 1043.2", \ + " 73.3, 96.2, 148.0, 275.6, 515.6, 1043.2", \ + " 93.7, 120.0, 174.7, 296.1, 528.3, 1045.2", \ + " 122.9, 152.6, 216.1, 333.2, 555.8, 1058.7", \ + " 163.4, 197.2, 269.4, 393.9, 605.8, 1091.8", \ + " 221.1, 260.1, 342.0, 485.0, 692.6, 1157.5", \ + " 304.5, 349.6, 443.8, 606.8, 833.9, 1277.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 43.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 1.06 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 62.1, 96.7, 172.4, 305.6, 587.5", \ + " 54.8, 68.2, 102.8, 178.7, 311.9, 593.9", \ + " 60.0, 73.3, 107.8, 183.6, 316.8, 598.8", \ + " 67.7, 80.5, 114.7, 190.3, 323.5, 605.5", \ + " 79.8, 94.0, 127.5, 202.6, 335.4, 617.2", \ + " 93.4, 109.7, 146.8, 220.8, 353.0, 634.3", \ + " 110.1, 128.7, 171.5, 249.2, 380.2, 660.6", \ + " 130.6, 151.7, 200.5, 289.9, 421.5, 700.0", \ + " 156.5, 180.7, 236.3, 339.0, 485.3, 761.0", \ + " 189.5, 217.5, 281.6, 399.1, 568.6, 856.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 115.5, 150.5, 243.9, 454.1, 827.6, 1621.0", \ + " 117.1, 150.9, 243.0, 453.9, 827.6, 1621.0", \ + " 121.8, 154.8, 245.1, 453.3, 827.6, 1621.0", \ + " 129.8, 161.9, 250.3, 455.1, 827.3, 1621.0", \ + " 145.8, 177.0, 262.6, 462.6, 828.6, 1621.0", \ + " 172.0, 201.4, 284.1, 478.5, 837.4, 1620.9", \ + " 205.9, 242.4, 320.8, 508.6, 858.5, 1628.7", \ + " 248.1, 288.0, 379.0, 560.1, 899.0, 1653.1", \ + " 310.5, 353.7, 455.4, 644.0, 971.7, 1705.1", \ + " 402.3, 449.7, 560.6, 772.4, 1095.2, 1804.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.3, 38.0, 65.3, 124.1, 226.5, 438.7", \ + " 34.3, 45.0, 72.5, 131.7, 234.3, 446.6", \ + " 39.9, 50.6, 78.0, 137.2, 239.9, 452.3", \ + " 45.6, 58.3, 85.4, 144.7, 247.4, 459.8", \ + " 53.6, 68.4, 99.3, 157.8, 260.5, 473.0", \ + " 62.6, 79.4, 116.0, 177.2, 279.5, 491.8", \ + " 73.2, 92.0, 134.7, 206.6, 308.4, 520.4", \ + " 85.8, 106.6, 155.4, 240.7, 351.9, 562.8", \ + " 100.5, 123.6, 178.6, 277.9, 411.2, 627.3", \ + " 114.0, 140.5, 203.4, 318.2, 476.7, 726.3" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.7, 104.5, 166.0, 305.9, 555.8, 1087.3", \ + " 86.8, 107.4, 166.6, 305.9, 555.8, 1087.3", \ + " 93.8, 113.3, 170.1, 306.3, 555.8, 1087.3", \ + " 104.4, 122.9, 177.2, 309.7, 555.8, 1087.3", \ + " 124.9, 142.1, 193.2, 320.2, 559.8, 1087.3", \ + " 155.1, 172.1, 220.0, 340.7, 572.6, 1089.3", \ + " 194.2, 214.4, 263.9, 377.8, 600.0, 1102.8", \ + " 246.1, 269.0, 326.2, 439.0, 650.1, 1135.8", \ + " 317.8, 343.6, 408.5, 535.0, 737.0, 1201.6", \ + " 418.0, 447.8, 521.3, 665.9, 879.4, 1321.6" ); }} +} +} +cell(aon21v0x05) { /* 2008-01-06:07h29 */ +area : 8 ; /* tracks */ +cell_leakage_power : 543 ; /* aon21v0x05 */ +cell_footprint : aon21 ; +pin(a1) { /* aon21v0x05 FO4 effort 2.26 */ +direction : input ; +capacitance : 2.77 ; +rise_capacitance : 2.77 ; +fall_capacitance : 2.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21v0x05 */ +} +pin(a2) { /* aon21v0x05 FO4 effort 2.19 */ +direction : input ; +capacitance : 2.76 ; +rise_capacitance : 2.71 ; +fall_capacitance : 2.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21v0x05 */ +} +pin(b) { /* aon21v0x05 FO4 effort 1.88 */ +direction : input ; +capacitance : 2.93 ; +rise_capacitance : 3.07 ; +fall_capacitance : 2.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of aon21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 81 ; +max_fanout : 2 ; +function : "((a1*a2)+b)" ; +internal_power(a1_z_p) { /* aon21v0x05 16.20 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 8.26, 8.26, 8.31, 8.36, 8.38", \ + " 8.14, 8.14, 8.18, 8.23, 8.26", \ + " 8.09, 8.09, 8.13, 8.18, 8.21", \ + " 8.07, 8.07, 8.10, 8.15, 8.18", \ + " 8.11, 8.09, 8.11, 8.16, 8.19", \ + " 8.30, 8.26, 8.25, 8.28, 8.31", \ + " 8.73, 8.67, 8.63, 8.64, 8.66", \ + " 9.63, 9.53, 9.45, 9.42, 9.43", \ + " 11.28, 11.13, 10.99, 10.91, 10.89", \ + " 14.07, 13.86, 13.64, 13.49, 13.43" ); }} +internal_power(a2_z_p) { /* aon21v0x05 14.94 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 7.63, 7.65, 7.70, 7.75, 7.77", \ + " 7.49, 7.50, 7.55, 7.60, 7.63", \ + " 7.44, 7.45, 7.50, 7.55, 7.57", \ + " 7.43, 7.43, 7.47, 7.52, 7.55", \ + " 7.50, 7.49, 7.51, 7.55, 7.58", \ + " 7.73, 7.69, 7.68, 7.71, 7.74", \ + " 8.21, 8.14, 8.10, 8.11, 8.13", \ + " 9.12, 9.02, 8.93, 8.90, 8.90", \ + " 10.74, 10.59, 10.44, 10.36, 10.34", \ + " 13.46, 13.24, 13.02, 12.86, 12.80" ); }} +internal_power(b_z_p) { /* aon21v0x05 11.37 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.64, 5.69, 5.77, 5.81, 5.83", \ + " 5.52, 5.56, 5.64, 5.69, 5.71", \ + " 5.53, 5.56, 5.63, 5.69, 5.71", \ + " 5.61, 5.63, 5.68, 5.74, 5.77", \ + " 5.85, 5.84, 5.87, 5.93, 5.96", \ + " 6.28, 6.25, 6.26, 6.30, 6.33", \ + " 7.04, 6.98, 6.95, 6.97, 7.00", \ + " 8.28, 8.18, 8.11, 8.09, 8.10", \ + " 10.28, 10.13, 9.99, 9.93, 9.91", \ + " 13.44, 13.22, 13.01, 12.87, 12.81" ); }} +timing() { /* ring osc delay aon21v0x05, path a1 to z 110.6 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.1 ; */ +/* intrinsic_fall : 118.7 ; */ +/* rise_resistance : 5.08 ; */ +/* fall_resistance : 4.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.5, 94.9, 122.9, 180.3, 279.8, 489.8", \ + " 86.7, 99.1, 127.1, 184.5, 284.0, 494.0", \ + " 89.7, 102.1, 130.1, 187.5, 287.0, 497.1", \ + " 93.9, 106.2, 134.2, 191.6, 291.1, 501.1", \ + " 100.8, 113.2, 141.1, 198.5, 298.0, 508.1", \ + " 108.5, 121.1, 149.4, 206.8, 306.3, 516.4", \ + " 117.0, 129.9, 158.5, 216.1, 315.7, 525.8", \ + " 125.4, 138.7, 167.8, 225.6, 325.3, 535.5", \ + " 132.6, 146.6, 176.5, 234.9, 334.7, 545.1", \ + " 136.6, 151.4, 182.8, 242.5, 343.1, 553.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.8, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 54.8, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 54.8, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 55.0, 79.0, 142.8, 290.4, 558.0, 1131.7", \ + " 56.5, 80.4, 143.7, 290.8, 558.2, 1131.7", \ + " 59.0, 82.9, 145.8, 292.1, 558.8, 1131.9", \ + " 62.4, 86.3, 148.7, 294.1, 560.0, 1132.6", \ + " 67.6, 91.3, 153.0, 297.1, 561.8, 1133.5", \ + " 74.9, 98.8, 159.8, 302.2, 565.3, 1135.4", \ + " 84.9, 109.3, 170.2, 311.3, 572.0, 1139.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.8, 105.9, 131.0, 177.6, 254.6, 415.9", \ + " 101.5, 113.6, 138.7, 185.3, 262.3, 423.6", \ + " 107.0, 119.1, 144.2, 190.8, 267.8, 429.1", \ + " 113.8, 125.9, 151.0, 197.6, 274.6, 435.9", \ + " 124.6, 136.7, 161.9, 208.6, 285.6, 446.9", \ + " 137.2, 149.9, 175.7, 222.7, 299.8, 461.2", \ + " 152.8, 166.2, 193.3, 241.5, 318.9, 480.2", \ + " 173.5, 187.7, 216.2, 265.7, 344.0, 505.5", \ + " 202.5, 217.7, 248.1, 299.6, 378.7, 540.9", \ + " 243.5, 259.9, 292.8, 347.3, 428.3, 590.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.0, 63.3, 107.7, 206.2, 385.6, 774.5", \ + " 45.0, 63.3, 107.7, 206.2, 385.6, 774.5", \ + " 45.1, 63.4, 107.8, 206.2, 385.6, 774.5", \ + " 45.2, 63.5, 107.9, 206.3, 385.6, 774.5", \ + " 46.5, 64.6, 108.6, 206.6, 385.8, 774.5", \ + " 50.1, 68.3, 111.7, 208.5, 386.6, 774.7", \ + " 54.6, 73.3, 117.1, 212.8, 389.3, 775.8", \ + " 60.7, 79.9, 123.9, 218.7, 393.4, 777.9", \ + " 69.2, 89.0, 133.5, 227.0, 399.5, 781.2", \ + " 80.7, 101.3, 147.0, 239.9, 409.2, 787.0" ); }} +timing() { /* ring osc delay aon21v0x05, path a2 to z 105.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.4 ; */ +/* intrinsic_fall : 109.3 ; */ +/* rise_resistance : 5.07 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.4, 90.8, 118.8, 176.2, 275.7, 485.7", \ + " 84.0, 96.4, 124.4, 181.8, 281.3, 491.3", \ + " 88.7, 101.0, 129.0, 186.4, 285.9, 496.0", \ + " 95.2, 107.5, 135.4, 192.8, 292.3, 502.4", \ + " 105.8, 118.1, 145.9, 203.1, 302.6, 512.7", \ + " 117.0, 129.4, 157.5, 214.8, 314.2, 524.3", \ + " 129.1, 141.8, 169.9, 227.5, 327.0, 537.0", \ + " 141.7, 154.8, 183.3, 240.6, 340.4, 550.5", \ + " 154.6, 168.2, 197.4, 255.0, 354.5, 565.1", \ + " 166.7, 181.1, 211.6, 269.9, 369.8, 580.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.8, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 54.8, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 54.7, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 54.7, 78.9, 142.7, 290.3, 558.0, 1131.7", \ + " 56.3, 80.1, 143.5, 290.6, 558.1, 1131.7", \ + " 59.1, 83.0, 145.7, 292.0, 558.7, 1131.8", \ + " 63.1, 86.5, 148.8, 294.1, 560.0, 1132.6", \ + " 68.8, 91.7, 152.7, 296.9, 561.8, 1133.7", \ + " 76.5, 99.4, 159.1, 301.1, 564.7, 1135.4", \ + " 87.2, 110.3, 169.2, 308.9, 570.0, 1138.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.1, 97.8, 122.2, 168.3, 245.0, 406.2", \ + " 93.2, 104.9, 129.3, 175.4, 252.2, 413.3", \ + " 98.5, 110.1, 134.5, 180.7, 257.4, 418.6", \ + " 105.1, 116.6, 141.1, 187.3, 264.0, 425.2", \ + " 115.3, 127.0, 151.7, 197.9, 274.7, 435.9", \ + " 126.7, 139.1, 164.7, 211.4, 288.3, 449.5", \ + " 140.9, 153.9, 180.7, 228.7, 306.1, 467.2", \ + " 159.2, 173.1, 201.3, 250.7, 328.9, 490.4", \ + " 183.9, 198.9, 229.2, 280.9, 360.1, 522.3", \ + " 217.7, 234.0, 267.1, 322.4, 404.1, 566.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.8, 60.1, 105.0, 204.2, 384.3, 773.6", \ + " 41.8, 60.2, 105.0, 204.2, 384.3, 773.6", \ + " 41.9, 60.3, 105.0, 204.3, 384.3, 773.6", \ + " 42.2, 60.5, 105.2, 204.3, 384.3, 773.6", \ + " 44.1, 62.1, 106.3, 204.9, 384.6, 773.7", \ + " 47.7, 66.1, 109.9, 207.3, 385.7, 774.0", \ + " 52.3, 71.0, 115.3, 211.8, 388.7, 775.2", \ + " 58.6, 77.9, 122.3, 218.0, 393.0, 777.5", \ + " 67.8, 87.7, 132.9, 227.2, 399.8, 781.1", \ + " 79.9, 100.8, 147.7, 242.0, 411.5, 788.3" ); }} +timing() { /* ring osc delay aon21v0x05, path b to z 72.8 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.3 ; */ +/* intrinsic_fall : 89.7 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.1, 59.6, 85.1, 140.9, 239.5, 448.9", \ + " 56.0, 66.4, 91.9, 147.8, 246.5, 455.9", \ + " 61.2, 71.5, 96.9, 152.8, 251.5, 461.0", \ + " 66.7, 77.2, 102.6, 158.4, 257.2, 466.6", \ + " 73.5, 84.2, 109.9, 165.7, 264.4, 473.9", \ + " 80.1, 91.2, 117.0, 173.0, 271.7, 481.1", \ + " 86.8, 98.4, 124.6, 180.5, 279.4, 488.7", \ + " 92.7, 105.1, 132.2, 188.2, 287.1, 496.5", \ + " 96.8, 110.3, 138.8, 195.7, 294.8, 504.4", \ + " 97.2, 112.0, 142.6, 201.3, 301.8, 511.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.8, 65.3, 130.8, 281.7, 552.0, 1127.4", \ + " 41.9, 65.4, 130.8, 281.7, 552.0, 1127.4", \ + " 42.6, 65.9, 131.0, 281.7, 552.0, 1127.4", \ + " 44.7, 67.5, 131.8, 281.9, 552.0, 1127.4", \ + " 48.0, 70.6, 133.7, 282.8, 552.3, 1127.4", \ + " 51.8, 73.9, 136.3, 284.1, 552.9, 1127.6", \ + " 57.1, 78.8, 139.9, 286.1, 553.9, 1128.2", \ + " 64.2, 86.0, 145.7, 289.8, 555.8, 1129.0", \ + " 73.7, 95.9, 155.0, 296.5, 560.1, 1131.1", \ + " 86.4, 109.6, 168.6, 308.8, 568.8, 1136.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.7, 67.1, 89.3, 133.5, 209.5, 370.6", \ + " 63.5, 73.8, 96.0, 140.2, 216.4, 377.4", \ + " 69.3, 79.5, 101.8, 146.1, 222.2, 383.3", \ + " 76.6, 87.0, 109.4, 153.6, 229.8, 390.9", \ + " 87.0, 97.9, 121.0, 165.5, 241.7, 402.8", \ + " 99.3, 110.7, 134.4, 179.9, 256.1, 417.2", \ + " 115.3, 127.2, 151.6, 197.5, 274.6, 435.6", \ + " 135.5, 148.3, 173.8, 220.3, 297.7, 459.4", \ + " 161.7, 175.7, 203.1, 251.1, 328.6, 491.0", \ + " 196.2, 211.5, 241.8, 292.5, 371.6, 534.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 35.9, 53.1, 97.2, 197.5, 379.7, 771.3", \ + " 36.0, 53.2, 97.3, 197.6, 379.7, 771.3", \ + " 36.4, 53.6, 97.5, 197.6, 379.7, 771.3", \ + " 38.2, 55.0, 98.3, 198.0, 379.8, 771.4", \ + " 41.7, 58.6, 101.1, 199.6, 380.4, 771.4", \ + " 45.1, 62.1, 104.9, 202.4, 382.2, 771.9", \ + " 50.0, 66.9, 108.8, 205.8, 384.5, 773.5", \ + " 56.9, 73.9, 114.9, 210.0, 387.6, 775.2", \ + " 66.3, 83.8, 124.7, 217.1, 392.4, 777.9", \ + " 79.0, 97.5, 139.2, 230.1, 401.3, 783.4" ); }} +timing() { /* ring osc delay aon21v0x05, path b to z 86.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.3 ; */ +/* intrinsic_fall : 89.7 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 64.3, 90.2, 146.0, 244.7, 454.0", \ + " 60.4, 71.3, 97.2, 153.1, 251.8, 461.2", \ + " 65.8, 76.6, 102.5, 158.4, 257.1, 466.5", \ + " 72.2, 83.0, 108.8, 164.6, 263.4, 472.8", \ + " 80.2, 91.4, 117.4, 173.2, 271.9, 481.3", \ + " 88.0, 99.6, 125.9, 181.9, 280.4, 489.9", \ + " 95.7, 108.0, 134.8, 190.7, 289.4, 498.7", \ + " 102.5, 115.5, 143.3, 199.4, 298.1, 507.4", \ + " 106.9, 121.0, 150.4, 207.4, 306.1, 515.6", \ + " 106.5, 121.8, 153.5, 212.3, 312.2, 521.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.2, 68.2, 132.6, 282.4, 552.2, 1127.4", \ + " 45.4, 68.4, 132.7, 282.4, 552.2, 1127.4", \ + " 45.8, 68.8, 132.8, 282.4, 552.2, 1127.4", \ + " 47.8, 70.2, 133.5, 282.6, 552.2, 1127.4", \ + " 51.5, 73.6, 135.8, 283.6, 552.4, 1127.4", \ + " 55.7, 77.6, 139.0, 285.3, 553.2, 1127.5", \ + " 61.2, 83.1, 143.3, 287.9, 554.4, 1128.1", \ + " 68.4, 90.6, 149.6, 292.1, 556.4, 1128.9", \ + " 77.9, 100.8, 159.4, 299.2, 560.9, 1130.9", \ + " 90.4, 114.6, 173.4, 311.6, 569.6, 1136.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.6, 88.7, 113.8, 160.4, 237.3, 398.7", \ + " 82.2, 94.3, 119.3, 165.9, 242.9, 404.2", \ + " 87.4, 99.5, 124.6, 171.2, 248.2, 409.5", \ + " 95.0, 107.0, 132.1, 178.7, 255.7, 417.1", \ + " 107.8, 119.9, 145.1, 191.8, 268.8, 430.2", \ + " 122.7, 135.4, 161.5, 208.7, 285.8, 447.1", \ + " 142.0, 155.2, 181.8, 230.2, 307.8, 469.1", \ + " 167.0, 180.8, 208.3, 257.0, 335.7, 497.5", \ + " 200.0, 214.9, 244.1, 293.7, 372.3, 535.5", \ + " 244.3, 260.5, 292.3, 344.3, 423.8, 586.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.8, 63.2, 107.6, 206.2, 385.6, 774.4", \ + " 44.9, 63.2, 107.6, 206.2, 385.6, 774.4", \ + " 44.9, 63.3, 107.7, 206.2, 385.6, 774.4", \ + " 45.2, 63.5, 107.9, 206.3, 385.6, 774.5", \ + " 48.0, 65.9, 109.4, 207.0, 385.9, 774.5", \ + " 51.8, 70.1, 113.3, 209.7, 387.2, 774.9", \ + " 56.6, 74.6, 117.8, 213.7, 390.2, 776.5", \ + " 63.2, 81.0, 123.2, 218.1, 393.7, 778.9", \ + " 72.3, 90.6, 132.1, 224.2, 398.2, 781.7", \ + " 85.0, 104.1, 146.0, 235.8, 405.5, 786.5" ); }} +timing() { /* ring osc delay aon21v0x05, path b to z 79.2 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.3 ; */ +/* intrinsic_fall : 89.7 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 59.8, 85.3, 141.1, 239.7, 449.1", \ + " 56.4, 66.8, 92.3, 148.2, 246.8, 456.2", \ + " 61.6, 71.9, 97.4, 153.2, 252.0, 461.4", \ + " 67.2, 77.7, 103.0, 158.9, 257.6, 467.1", \ + " 73.8, 84.6, 110.3, 166.1, 264.8, 474.3", \ + " 80.1, 91.2, 117.1, 173.1, 271.7, 481.1", \ + " 86.0, 97.7, 123.9, 179.8, 278.6, 488.0", \ + " 90.5, 103.0, 130.0, 186.1, 284.9, 494.4", \ + " 92.1, 105.6, 134.1, 191.0, 290.1, 499.7", \ + " 88.2, 103.0, 133.6, 192.2, 292.6, 502.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.8, 65.3, 130.8, 281.7, 552.0, 1127.4", \ + " 41.9, 65.4, 130.8, 281.7, 552.0, 1127.4", \ + " 42.6, 65.9, 131.0, 281.7, 552.0, 1127.4", \ + " 44.7, 67.5, 131.8, 281.9, 552.0, 1127.4", \ + " 47.9, 70.5, 133.7, 282.7, 552.3, 1127.4", \ + " 51.8, 73.9, 136.3, 284.1, 552.9, 1127.6", \ + " 57.3, 79.0, 140.0, 286.2, 553.9, 1128.2", \ + " 64.5, 86.3, 146.0, 289.9, 555.8, 1129.0", \ + " 74.2, 96.4, 155.3, 296.8, 560.2, 1131.2", \ + " 87.0, 110.2, 169.0, 308.9, 568.9, 1136.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 79.2, 103.7, 149.7, 226.4, 387.6", \ + " 73.3, 84.9, 109.3, 155.4, 232.1, 393.3", \ + " 78.7, 90.3, 114.7, 160.8, 237.6, 398.8", \ + " 86.2, 97.8, 122.2, 168.3, 245.1, 406.3", \ + " 97.8, 109.8, 134.5, 180.8, 257.6, 418.8", \ + " 111.5, 123.7, 149.3, 196.4, 273.4, 434.6", \ + " 129.5, 142.1, 168.1, 216.1, 293.9, 455.1", \ + " 153.0, 166.3, 193.1, 241.4, 319.9, 481.9", \ + " 184.3, 198.6, 227.0, 276.4, 354.9, 518.1", \ + " 226.2, 241.8, 272.7, 324.5, 404.3, 567.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.6, 60.0, 104.9, 204.2, 384.3, 773.6", \ + " 41.6, 60.0, 104.9, 204.2, 384.3, 773.6", \ + " 41.7, 60.1, 105.0, 204.2, 384.3, 773.6", \ + " 42.5, 60.7, 105.4, 204.4, 384.3, 773.6", \ + " 45.9, 63.8, 107.7, 205.6, 384.8, 773.8", \ + " 49.1, 67.3, 111.4, 208.6, 386.7, 774.3", \ + " 53.7, 71.5, 115.1, 212.3, 389.6, 776.2", \ + " 60.1, 77.8, 120.3, 216.2, 392.9, 778.5", \ + " 69.2, 87.2, 129.1, 222.4, 397.5, 781.5", \ + " 81.8, 100.5, 142.8, 234.3, 405.3, 786.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 67.3 ; */ +/* intrinsic_fall : 89.7 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 64.3, 90.2, 146.0, 244.7, 454.0", \ + " 60.4, 71.3, 97.2, 153.1, 251.8, 461.2", \ + " 65.8, 76.6, 102.5, 158.4, 257.1, 466.5", \ + " 72.2, 83.0, 108.8, 164.6, 263.4, 472.8", \ + " 80.2, 91.4, 117.4, 173.2, 271.9, 481.3", \ + " 88.0, 99.6, 125.9, 181.9, 280.4, 489.9", \ + " 95.7, 108.0, 134.8, 190.7, 289.4, 498.7", \ + " 102.5, 115.5, 143.3, 199.4, 298.1, 507.4", \ + " 106.9, 121.0, 150.4, 207.4, 306.1, 515.6", \ + " 106.5, 121.8, 153.5, 212.3, 312.2, 521.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.2, 68.2, 132.6, 282.4, 552.2, 1127.4", \ + " 45.4, 68.4, 132.7, 282.4, 552.2, 1127.4", \ + " 45.8, 68.8, 132.8, 282.4, 552.2, 1127.4", \ + " 47.8, 70.2, 133.5, 282.6, 552.2, 1127.4", \ + " 51.5, 73.6, 135.8, 283.6, 552.4, 1127.4", \ + " 55.7, 77.6, 139.0, 285.3, 553.2, 1127.5", \ + " 61.2, 83.1, 143.3, 287.9, 554.4, 1128.1", \ + " 68.4, 90.6, 149.6, 292.1, 556.4, 1128.9", \ + " 77.9, 100.8, 159.4, 299.2, 560.9, 1130.9", \ + " 90.4, 114.6, 173.4, 311.6, 569.6, 1136.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.6, 88.7, 113.8, 160.4, 237.3, 398.7", \ + " 82.2, 94.3, 119.3, 165.9, 242.9, 404.2", \ + " 87.4, 99.5, 124.6, 171.2, 248.2, 409.5", \ + " 95.0, 107.0, 132.1, 178.7, 255.7, 417.1", \ + " 107.8, 119.9, 145.1, 191.8, 268.8, 430.2", \ + " 122.7, 135.4, 161.5, 208.7, 285.8, 447.1", \ + " 142.0, 155.2, 181.8, 230.2, 307.8, 469.1", \ + " 167.0, 180.8, 208.3, 257.0, 335.7, 497.5", \ + " 200.0, 214.9, 244.1, 293.7, 372.3, 535.5", \ + " 244.3, 260.5, 292.3, 344.3, 423.8, 586.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.8, 63.2, 107.6, 206.2, 385.6, 774.4", \ + " 44.9, 63.2, 107.6, 206.2, 385.6, 774.4", \ + " 44.9, 63.3, 107.7, 206.2, 385.6, 774.4", \ + " 45.2, 63.5, 107.9, 206.3, 385.6, 774.5", \ + " 48.0, 65.9, 109.4, 207.0, 385.9, 774.5", \ + " 51.8, 70.1, 113.3, 209.7, 387.2, 774.9", \ + " 56.6, 74.6, 117.8, 213.7, 390.2, 776.5", \ + " 63.2, 81.0, 123.2, 218.1, 393.7, 778.9", \ + " 72.3, 90.6, 132.1, 224.2, 398.2, 781.7", \ + " 85.0, 104.1, 146.0, 235.8, 405.5, 786.5" ); }} +} +} +cell(aon21bv0x05) { /* 2008-01-06:07h29 characteristic delay 12.7 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 554 ; /* aon21bv0x05 */ +cell_footprint : aon21b ; +pin(a1) { /* aon21bv0x05 FO4 effort 2.12 */ +direction : input ; +capacitance : 2.29 ; +rise_capacitance : 2.37 ; +fall_capacitance : 2.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21bv0x05 */ +} +pin(a2) { /* aon21bv0x05 FO4 effort 2.03 */ +direction : input ; +capacitance : 2.20 ; +rise_capacitance : 2.23 ; +fall_capacitance : 2.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21bv0x05 */ +} +pin(b) { /* aon21bv0x05 FO4 effort 1.33 logical effort 1.48 */ +direction : input ; +capacitance : 2.00 ; +rise_capacitance : 1.98 ; +fall_capacitance : 2.01 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of aon21bv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 63 ; +max_fanout : 2 ; +function : "((a1*a2)+b')" ; +internal_power(a1_z_p) { /* aon21bv0x05 12.47 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.27, 6.30, 6.34, 6.37, 6.37", \ + " 6.15, 6.18, 6.23, 6.25, 6.25", \ + " 6.13, 6.17, 6.21, 6.24, 6.24", \ + " 6.16, 6.19, 6.24, 6.27, 6.27", \ + " 6.30, 6.32, 6.36, 6.39, 6.40", \ + " 6.60, 6.61, 6.64, 6.67, 6.69", \ + " 7.18, 7.17, 7.18, 7.21, 7.22", \ + " 8.16, 8.13, 8.12, 8.13, 8.14", \ + " 9.79, 9.73, 9.68, 9.67, 9.66", \ + " 12.41, 12.32, 12.22, 12.15, 12.13" ); }} +internal_power(a2_z_p) { /* aon21bv0x05 11.30 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 5.64, 5.68, 5.72, 5.73, 5.73", \ + " 5.53, 5.57, 5.61, 5.63, 5.63", \ + " 5.53, 5.56, 5.61, 5.63, 5.64", \ + " 5.58, 5.61, 5.65, 5.68, 5.69", \ + " 5.75, 5.77, 5.80, 5.84, 5.85", \ + " 6.08, 6.09, 6.11, 6.14, 6.15", \ + " 6.67, 6.66, 6.67, 6.69, 6.71", \ + " 7.65, 7.62, 7.61, 7.61, 7.62", \ + " 9.24, 9.19, 9.14, 9.12, 9.12", \ + " 11.81, 11.71, 11.61, 11.55, 11.52" ); }} +internal_power(b_z_n) { /* aon21bv0x05 3.68 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 1.81, 1.87, 1.93, 1.96, 1.97", \ + " 1.72, 1.78, 1.86, 1.92, 1.95", \ + " 1.74, 1.77, 1.84, 1.90, 1.93", \ + " 1.80, 1.80, 1.84, 1.89, 1.93", \ + " 1.98, 1.93, 1.90, 1.91, 1.93", \ + " 2.30, 2.18, 2.06, 1.99, 1.97", \ + " 2.86, 2.66, 2.40, 2.20, 2.09", \ + " 3.77, 3.46, 3.02, 2.63, 2.37", \ + " 5.22, 4.80, 4.13, 3.44, 2.95", \ + " 7.50, 6.96, 6.01, 4.92, 4.06" ); }} +timing() { /* ring osc delay aon21bv0x05, path a1 to z 93.9 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.2 ; */ +/* intrinsic_fall : 94.8 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.7, 92.6, 130.3, 213.9, 361.8, 675.8", \ + " 82.0, 96.9, 134.7, 218.3, 366.2, 680.2", \ + " 84.9, 99.8, 137.6, 221.2, 369.1, 683.2", \ + " 88.5, 103.4, 141.1, 224.7, 372.7, 686.7", \ + " 92.9, 108.0, 145.9, 229.4, 377.4, 691.5", \ + " 96.8, 112.0, 150.2, 233.8, 381.7, 695.8", \ + " 99.8, 115.1, 153.4, 237.3, 385.2, 699.3", \ + " 100.6, 116.4, 155.0, 238.9, 387.2, 701.1", \ + " 97.0, 113.5, 153.2, 237.8, 386.1, 700.3", \ + " 85.6, 103.0, 144.2, 230.8, 380.3, 694.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 78.9, 115.4, 214.7, 441.6, 847.4, 1710.8", \ + " 79.0, 115.5, 214.7, 441.6, 847.4, 1710.8", \ + " 79.2, 115.7, 214.8, 441.6, 847.4, 1710.8", \ + " 80.4, 116.5, 215.2, 441.7, 847.4, 1710.8", \ + " 82.9, 118.6, 216.6, 442.3, 847.5, 1710.8", \ + " 85.5, 121.3, 218.6, 443.4, 848.1, 1710.8", \ + " 89.6, 124.8, 221.5, 445.0, 848.9, 1711.3", \ + " 95.9, 130.7, 225.9, 448.0, 850.4, 1712.0", \ + " 104.8, 139.8, 234.1, 453.8, 854.1, 1713.6", \ + " 117.1, 152.5, 247.1, 465.0, 862.4, 1718.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.6, 85.1, 112.8, 172.2, 276.9, 499.3", \ + " 81.0, 92.5, 120.2, 179.6, 284.4, 506.8", \ + " 87.3, 98.7, 126.5, 185.9, 290.7, 513.1", \ + " 95.7, 107.3, 135.0, 194.5, 299.3, 521.7", \ + " 109.6, 121.3, 149.1, 208.7, 313.5, 536.0", \ + " 126.1, 138.4, 166.7, 226.4, 331.2, 553.7", \ + " 147.5, 160.3, 189.3, 249.3, 354.2, 576.6", \ + " 175.2, 188.8, 218.6, 279.0, 384.0, 606.5", \ + " 212.4, 227.1, 258.1, 319.1, 424.2, 646.8", \ + " 263.3, 279.4, 312.5, 374.7, 480.1, 702.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.5, 72.3, 137.6, 288.6, 560.1, 1139.6", \ + " 48.5, 72.3, 137.7, 288.6, 560.1, 1139.6", \ + " 48.6, 72.4, 137.7, 288.6, 560.1, 1139.6", \ + " 49.1, 72.7, 137.8, 288.6, 560.1, 1139.6", \ + " 51.6, 74.6, 138.8, 289.0, 560.2, 1139.7", \ + " 55.5, 78.2, 141.1, 290.1, 560.6, 1139.7", \ + " 60.4, 82.7, 144.5, 291.8, 561.4, 1139.9", \ + " 66.7, 88.7, 149.0, 294.4, 562.6, 1140.3", \ + " 75.4, 97.1, 155.7, 298.3, 564.5, 1141.0", \ + " 87.5, 109.1, 166.3, 305.0, 568.1, 1142.3" ); }} +timing() { /* ring osc delay aon21bv0x05, path a2 to z 89.5 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.2 ; */ +/* intrinsic_fall : 86.5 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.28 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.4, 88.3, 126.1, 209.6, 357.5, 671.5", \ + " 79.2, 94.1, 131.9, 215.5, 363.4, 677.5", \ + " 83.7, 98.5, 136.3, 219.9, 367.9, 681.9", \ + " 88.6, 103.4, 141.2, 224.7, 372.7, 686.8", \ + " 94.3, 109.5, 147.3, 230.8, 378.8, 692.9", \ + " 99.5, 114.6, 152.9, 236.5, 384.4, 698.5", \ + " 104.3, 119.5, 157.6, 241.7, 389.6, 703.7", \ + " 107.8, 123.3, 161.5, 245.4, 393.9, 707.8", \ + " 108.2, 124.2, 163.2, 247.4, 395.9, 710.2", \ + " 102.6, 119.5, 159.8, 245.5, 394.6, 709.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 78.9, 115.4, 214.7, 441.6, 847.4, 1710.8", \ + " 79.0, 115.5, 214.7, 441.6, 847.4, 1710.8", \ + " 79.4, 115.7, 214.9, 441.6, 847.4, 1710.8", \ + " 80.8, 116.8, 215.3, 441.7, 847.4, 1710.8", \ + " 83.4, 119.1, 217.0, 442.4, 847.5, 1710.8", \ + " 85.8, 121.7, 218.9, 443.7, 848.3, 1710.8", \ + " 89.6, 124.7, 221.6, 445.3, 849.3, 1711.5", \ + " 95.6, 130.1, 225.4, 448.0, 850.8, 1712.5", \ + " 104.5, 138.8, 232.8, 453.0, 854.0, 1714.1", \ + " 117.1, 151.6, 245.1, 462.9, 861.3, 1718.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.1, 77.4, 104.8, 164.0, 268.7, 491.1", \ + " 73.5, 84.7, 112.2, 171.5, 276.2, 498.5", \ + " 79.7, 90.9, 118.4, 177.7, 282.5, 504.8", \ + " 87.8, 99.1, 126.6, 185.9, 290.7, 513.1", \ + " 100.1, 111.7, 139.5, 198.9, 303.7, 526.1", \ + " 114.8, 126.8, 155.0, 214.7, 319.4, 541.8", \ + " 133.8, 146.3, 175.1, 235.1, 340.0, 562.4", \ + " 158.3, 171.6, 201.2, 261.5, 366.6, 589.0", \ + " 190.8, 205.1, 236.1, 297.2, 402.3, 624.8", \ + " 234.4, 250.3, 283.3, 345.9, 451.4, 674.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.4, 70.6, 136.5, 288.0, 559.8, 1139.5", \ + " 46.5, 70.6, 136.5, 288.0, 559.8, 1139.5", \ + " 46.7, 70.7, 136.6, 288.0, 559.8, 1139.5", \ + " 47.5, 71.3, 136.8, 288.1, 559.8, 1139.5", \ + " 50.3, 73.5, 138.1, 288.5, 559.9, 1139.5", \ + " 53.7, 76.8, 140.3, 289.7, 560.4, 1139.5", \ + " 58.3, 80.8, 143.4, 291.4, 561.2, 1139.8", \ + " 64.5, 86.7, 147.7, 293.9, 562.4, 1140.2", \ + " 73.4, 95.3, 154.8, 298.0, 564.6, 1140.9", \ + " 85.9, 107.8, 166.0, 305.7, 568.9, 1142.7" ); }} +timing() { /* ring osc delay aon21bv0x05, path b to z 44.6 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 36.0 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 44.3, 82.2, 165.8, 313.8, 627.8", \ + " 37.1, 51.5, 89.4, 173.3, 321.5, 635.8", \ + " 43.6, 57.7, 95.2, 179.1, 327.4, 641.7", \ + " 50.4, 66.5, 103.4, 187.1, 335.3, 649.6", \ + " 60.7, 79.7, 118.6, 201.5, 349.4, 663.7", \ + " 73.5, 95.4, 140.6, 222.8, 370.1, 684.0", \ + " 90.8, 115.8, 168.4, 256.1, 402.0, 714.9", \ + " 113.6, 142.5, 202.8, 304.7, 450.1, 761.3", \ + " 144.7, 178.3, 247.6, 366.0, 524.5, 832.5", \ + " 187.9, 227.3, 307.7, 444.1, 630.8, 943.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 92.7, 194.3, 422.7, 828.9, 1692.1", \ + " 59.2, 94.6, 194.3, 422.7, 828.9, 1692.1", \ + " 65.8, 99.6, 195.9, 422.7, 828.9, 1692.1", \ + " 75.6, 107.9, 200.9, 423.0, 828.9, 1692.1", \ + " 94.6, 124.3, 213.2, 428.5, 828.9, 1692.1", \ + " 115.1, 150.3, 234.3, 442.2, 832.9, 1692.1", \ + " 142.9, 184.0, 270.0, 469.2, 848.8, 1692.8", \ + " 180.9, 227.0, 325.9, 516.2, 882.7, 1706.3", \ + " 234.8, 286.0, 398.7, 595.0, 946.0, 1745.6", \ + " 312.2, 369.9, 496.3, 719.7, 1057.4, 1827.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 32.8, 59.2, 118.2, 222.9, 445.3", \ + " 28.6, 38.9, 65.4, 124.6, 229.3, 451.7", \ + " 31.1, 43.6, 70.3, 129.4, 234.2, 456.6", \ + " 33.2, 47.9, 76.8, 135.9, 240.7, 463.0", \ + " 35.0, 52.7, 87.5, 147.4, 252.1, 474.4", \ + " 35.4, 56.2, 97.9, 164.2, 268.6, 490.8", \ + " 33.5, 58.1, 107.5, 186.8, 293.8, 515.6", \ + " 27.8, 56.7, 114.9, 209.3, 331.1, 552.3", \ + " 15.8, 49.9, 118.5, 230.2, 375.8, 608.1", \ + " -6.4, 33.7, 114.8, 246.9, 420.5, 691.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.5, 64.2, 132.4, 286.0, 559.0, 1139.1", \ + " 45.4, 68.4, 133.4, 286.0, 559.0, 1139.1", \ + " 53.5, 75.0, 137.3, 286.3, 559.0, 1139.1", \ + " 64.2, 85.2, 144.9, 289.6, 559.0, 1139.1", \ + " 77.7, 104.1, 161.3, 299.9, 561.8, 1139.1", \ + " 96.6, 125.3, 187.5, 320.1, 573.3, 1139.6", \ + " 123.9, 155.4, 225.4, 356.4, 599.3, 1149.7", \ + " 161.7, 197.0, 273.9, 414.1, 647.8, 1178.9", \ + " 215.0, 255.7, 341.2, 496.9, 730.6, 1240.7", \ + " 291.2, 338.9, 436.5, 608.6, 862.4, 1356.0" ); }} +timing() { /* ring osc delay aon21bv0x05, path b to z 44.6 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 36.0 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 44.3, 82.2, 165.8, 313.8, 627.8", \ + " 37.1, 51.5, 89.4, 173.3, 321.5, 635.8", \ + " 43.5, 57.6, 95.2, 179.1, 327.4, 641.7", \ + " 50.4, 66.5, 103.4, 187.1, 335.3, 649.6", \ + " 60.6, 79.7, 118.6, 201.5, 349.4, 663.7", \ + " 73.5, 95.4, 140.6, 222.8, 370.1, 684.0", \ + " 90.7, 115.8, 168.4, 256.1, 402.0, 714.9", \ + " 113.6, 142.5, 202.8, 304.7, 450.1, 761.3", \ + " 144.7, 178.3, 247.6, 366.0, 524.5, 832.5", \ + " 187.9, 227.3, 307.7, 444.1, 630.8, 943.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 92.7, 194.3, 422.7, 828.9, 1692.1", \ + " 59.2, 94.6, 194.2, 422.7, 828.9, 1692.1", \ + " 65.8, 99.6, 195.9, 422.7, 828.9, 1692.1", \ + " 75.6, 107.8, 200.9, 423.0, 828.9, 1692.1", \ + " 94.6, 124.3, 213.2, 428.5, 828.9, 1692.1", \ + " 115.1, 150.3, 234.3, 442.2, 832.9, 1692.1", \ + " 142.9, 184.0, 270.0, 469.2, 848.8, 1692.8", \ + " 180.9, 227.0, 325.9, 516.2, 882.7, 1706.3", \ + " 234.8, 286.0, 398.7, 595.0, 946.0, 1745.6", \ + " 312.2, 369.9, 496.3, 719.7, 1057.4, 1827.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 32.7, 59.1, 118.1, 222.8, 445.2", \ + " 28.6, 38.9, 65.4, 124.5, 229.3, 451.7", \ + " 31.1, 43.6, 70.2, 129.4, 234.1, 456.6", \ + " 33.2, 47.9, 76.8, 135.9, 240.6, 463.0", \ + " 35.0, 52.7, 87.5, 147.4, 252.1, 474.4", \ + " 35.4, 56.2, 97.9, 164.2, 268.6, 490.8", \ + " 33.5, 58.1, 107.5, 186.8, 293.8, 515.6", \ + " 27.8, 56.7, 114.9, 209.3, 331.1, 552.3", \ + " 15.8, 49.9, 118.5, 230.2, 375.8, 608.1", \ + " -6.4, 33.7, 114.8, 246.9, 420.5, 691.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.4, 64.1, 132.5, 286.2, 559.3, 1139.4", \ + " 45.4, 68.4, 133.5, 286.2, 559.3, 1139.4", \ + " 53.5, 75.0, 137.5, 286.5, 559.3, 1139.4", \ + " 64.2, 85.3, 145.0, 289.8, 559.2, 1139.4", \ + " 77.8, 104.1, 161.4, 300.1, 562.0, 1139.4", \ + " 96.7, 125.4, 187.6, 320.3, 573.5, 1139.9", \ + " 123.9, 155.4, 225.5, 356.6, 599.5, 1149.9", \ + " 161.8, 197.1, 274.0, 414.2, 648.0, 1179.1", \ + " 215.1, 255.8, 341.3, 497.0, 730.8, 1240.9", \ + " 291.3, 338.9, 436.5, 608.6, 862.5, 1356.1" ); }} +timing() { /* ring osc delay aon21bv0x05, path b to z 44.6 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 36.0 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 44.3, 82.2, 165.8, 313.8, 627.8", \ + " 37.1, 51.5, 89.4, 173.3, 321.5, 635.8", \ + " 43.5, 57.6, 95.2, 179.1, 327.4, 641.7", \ + " 50.4, 66.5, 103.4, 187.1, 335.3, 649.6", \ + " 60.6, 79.7, 118.6, 201.5, 349.4, 663.7", \ + " 73.5, 95.4, 140.6, 222.8, 370.1, 684.0", \ + " 90.7, 115.8, 168.4, 256.1, 402.0, 714.9", \ + " 113.6, 142.5, 202.8, 304.7, 450.1, 761.3", \ + " 144.7, 178.3, 247.6, 366.0, 524.5, 832.5", \ + " 187.9, 227.3, 307.7, 444.1, 630.8, 943.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 92.7, 194.3, 422.7, 828.9, 1692.1", \ + " 59.2, 94.6, 194.2, 422.7, 828.9, 1692.1", \ + " 65.8, 99.6, 195.9, 422.7, 828.9, 1692.1", \ + " 75.6, 107.8, 200.9, 423.0, 828.9, 1692.1", \ + " 94.6, 124.3, 213.2, 428.5, 828.9, 1692.1", \ + " 115.1, 150.3, 234.3, 442.2, 832.9, 1692.1", \ + " 142.9, 184.0, 270.0, 469.2, 848.8, 1692.8", \ + " 180.9, 227.0, 325.9, 516.2, 882.7, 1706.3", \ + " 234.8, 286.0, 398.7, 595.0, 946.0, 1745.6", \ + " 312.2, 369.9, 496.3, 719.7, 1057.4, 1827.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 32.7, 59.1, 118.1, 222.8, 445.2", \ + " 28.6, 38.9, 65.4, 124.5, 229.3, 451.7", \ + " 31.1, 43.6, 70.2, 129.4, 234.1, 456.6", \ + " 33.2, 47.9, 76.8, 135.9, 240.6, 463.0", \ + " 35.0, 52.7, 87.5, 147.4, 252.1, 474.4", \ + " 35.4, 56.2, 97.9, 164.2, 268.6, 490.8", \ + " 33.5, 58.1, 107.5, 186.8, 293.8, 515.6", \ + " 27.8, 56.7, 114.9, 209.3, 331.1, 552.3", \ + " 15.8, 49.9, 118.5, 230.2, 375.8, 608.1", \ + " -6.4, 33.7, 114.8, 246.9, 420.5, 691.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.4, 64.1, 132.5, 286.2, 559.3, 1139.4", \ + " 45.4, 68.4, 133.5, 286.2, 559.3, 1139.4", \ + " 53.5, 75.0, 137.5, 286.5, 559.3, 1139.4", \ + " 64.2, 85.3, 145.0, 289.8, 559.2, 1139.4", \ + " 77.8, 104.1, 161.4, 300.1, 562.0, 1139.4", \ + " 96.7, 125.4, 187.6, 320.3, 573.5, 1139.9", \ + " 123.9, 155.4, 225.5, 356.6, 599.5, 1149.9", \ + " 161.8, 197.1, 274.0, 414.2, 648.0, 1179.1", \ + " 215.1, 255.8, 341.3, 497.0, 730.8, 1240.9", \ + " 291.3, 338.9, 436.5, 608.6, 862.5, 1356.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 36.0 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 44.3, 82.2, 165.8, 313.8, 627.8", \ + " 37.1, 51.5, 89.4, 173.3, 321.5, 635.8", \ + " 43.5, 57.6, 95.2, 179.1, 327.4, 641.7", \ + " 50.4, 66.5, 103.4, 187.1, 335.3, 649.6", \ + " 60.6, 79.7, 118.6, 201.5, 349.4, 663.7", \ + " 73.5, 95.4, 140.6, 222.8, 370.1, 684.0", \ + " 90.7, 115.8, 168.4, 256.1, 402.0, 714.9", \ + " 113.6, 142.5, 202.8, 304.7, 450.1, 761.3", \ + " 144.7, 178.3, 247.6, 366.0, 524.5, 832.5", \ + " 187.9, 227.3, 307.7, 444.1, 630.8, 943.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 92.7, 194.3, 422.7, 828.9, 1692.1", \ + " 59.2, 94.6, 194.2, 422.7, 828.9, 1692.1", \ + " 65.8, 99.6, 195.9, 422.7, 828.9, 1692.1", \ + " 75.6, 107.8, 200.9, 423.0, 828.9, 1692.1", \ + " 94.6, 124.3, 213.2, 428.5, 828.9, 1692.1", \ + " 115.1, 150.3, 234.3, 442.2, 832.9, 1692.1", \ + " 142.9, 184.0, 270.0, 469.2, 848.8, 1692.8", \ + " 180.9, 227.0, 325.9, 516.2, 882.7, 1706.3", \ + " 234.8, 286.0, 398.7, 595.0, 946.0, 1745.6", \ + " 312.2, 369.9, 496.3, 719.7, 1057.4, 1827.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 32.7, 59.1, 118.1, 222.8, 445.2", \ + " 28.6, 38.9, 65.4, 124.5, 229.3, 451.7", \ + " 31.1, 43.6, 70.2, 129.4, 234.1, 456.6", \ + " 33.2, 47.9, 76.8, 135.9, 240.6, 463.0", \ + " 35.0, 52.7, 87.5, 147.4, 252.1, 474.4", \ + " 35.4, 56.2, 97.9, 164.2, 268.6, 490.8", \ + " 33.5, 58.1, 107.5, 186.8, 293.8, 515.6", \ + " 27.8, 56.7, 114.9, 209.3, 331.1, 552.3", \ + " 15.8, 49.9, 118.5, 230.2, 375.8, 608.1", \ + " -6.4, 33.7, 114.8, 246.9, 420.5, 691.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.4, 64.1, 132.5, 286.2, 559.3, 1139.4", \ + " 45.4, 68.4, 133.5, 286.2, 559.3, 1139.4", \ + " 53.5, 75.0, 137.5, 286.5, 559.3, 1139.4", \ + " 64.2, 85.3, 145.0, 289.8, 559.2, 1139.4", \ + " 77.8, 104.1, 161.4, 300.1, 562.0, 1139.4", \ + " 96.7, 125.4, 187.6, 320.3, 573.5, 1139.9", \ + " 123.9, 155.4, 225.5, 356.6, 599.5, 1149.9", \ + " 161.8, 197.1, 274.0, 414.2, 648.0, 1179.1", \ + " 215.1, 255.8, 341.3, 497.0, 730.8, 1240.9", \ + " 291.3, 338.9, 436.5, 608.6, 862.5, 1356.1" ); }} +} +} +cell(aon21bv0x1) { /* 2008-01-06:07h29 characteristic delay 11.4 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 959 ; /* aon21bv0x1 */ +cell_footprint : aon21b ; +pin(a1) { /* aon21bv0x1 FO4 effort 1.89 */ +direction : input ; +capacitance : 3.56 ; +rise_capacitance : 3.62 ; +fall_capacitance : 3.51 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21bv0x1 */ +} +pin(a2) { /* aon21bv0x1 FO4 effort 1.96 */ +direction : input ; +capacitance : 3.61 ; +rise_capacitance : 3.73 ; +fall_capacitance : 3.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21bv0x1 */ +} +pin(b) { /* aon21bv0x1 FO4 effort 1.22 logical effort 1.33 */ +direction : input ; +capacitance : 3.10 ; +rise_capacitance : 3.08 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of aon21bv0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 111 ; +max_fanout : 4 ; +function : "((a1*a2)+b')" ; +internal_power(a1_z_p) { /* aon21bv0x1 17.99 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 8.91, 8.98, 9.06, 9.09, 9.10", \ + " 8.74, 8.81, 8.89, 8.94, 8.95", \ + " 8.75, 8.82, 8.90, 8.95, 8.96", \ + " 8.86, 8.92, 8.99, 9.05, 9.07", \ + " 9.18, 9.22, 9.29, 9.35, 9.37", \ + " 9.79, 9.81, 9.86, 9.91, 9.94", \ + " 10.86, 10.85, 10.87, 10.91, 10.94", \ + " 12.62, 12.57, 12.55, 12.56, 12.58", \ + " 15.46, 15.35, 15.27, 15.24, 15.24", \ + " 19.99, 19.81, 19.63, 19.53, 19.49" ); }} +internal_power(a2_z_p) { /* aon21bv0x1 20.07 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 10.01, 10.08, 10.15, 10.20, 10.20", \ + " 9.83, 9.90, 9.98, 10.03, 10.04", \ + " 9.83, 9.89, 9.97, 10.02, 10.04", \ + " 9.90, 9.95, 10.04, 10.09, 10.11", \ + " 10.17, 10.21, 10.28, 10.34, 10.36", \ + " 10.73, 10.75, 10.80, 10.86, 10.88", \ + " 11.77, 11.75, 11.78, 11.82, 11.85", \ + " 13.52, 13.47, 13.45, 13.47, 13.49", \ + " 16.41, 16.30, 16.21, 16.18, 16.18", \ + " 21.03, 20.85, 20.67, 20.56, 20.52" ); }} +internal_power(b_z_n) { /* aon21bv0x1 5.52 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 2.68, 2.79, 2.90, 2.95, 2.96", \ + " 2.56, 2.64, 2.78, 2.88, 2.92", \ + " 2.60, 2.65, 2.75, 2.85, 2.90", \ + " 2.73, 2.72, 2.76, 2.84, 2.89", \ + " 3.07, 2.96, 2.89, 2.88, 2.90", \ + " 3.66, 3.43, 3.19, 3.05, 2.99", \ + " 4.67, 4.29, 3.82, 3.44, 3.22", \ + " 6.28, 5.73, 4.95, 4.23, 3.74", \ + " 8.83, 8.10, 6.92, 5.70, 4.80", \ + " 12.84, 11.91, 10.26, 8.35, 6.81" ); }} +timing() { /* ring osc delay aon21bv0x1, path a1 to z 80.7 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.0 ; */ +/* intrinsic_fall : 83.2 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.08 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.7, 78.4, 113.0, 189.4, 324.6, 611.8", \ + " 70.6, 84.2, 118.9, 195.3, 330.6, 617.8", \ + " 74.7, 88.3, 123.0, 199.4, 334.8, 621.9", \ + " 79.0, 92.6, 127.2, 203.7, 339.0, 626.2", \ + " 83.5, 97.4, 132.2, 208.7, 344.0, 631.2", \ + " 87.5, 101.3, 136.3, 213.0, 348.3, 635.5", \ + " 90.6, 104.6, 139.4, 216.4, 351.8, 638.9", \ + " 91.6, 105.9, 141.1, 217.8, 353.8, 640.8", \ + " 88.5, 103.4, 139.3, 216.6, 352.4, 640.0", \ + " 77.9, 93.7, 130.8, 209.6, 346.5, 634.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.4, 102.9, 193.8, 401.4, 772.5, 1562.0", \ + " 69.6, 103.0, 193.8, 401.4, 772.5, 1562.0", \ + " 70.2, 103.4, 194.0, 401.4, 772.5, 1562.0", \ + " 71.8, 104.7, 194.7, 401.6, 772.6, 1562.0", \ + " 74.2, 106.8, 196.3, 402.5, 772.7, 1562.0", \ + " 76.5, 109.1, 198.1, 403.7, 773.6, 1562.0", \ + " 80.5, 112.4, 200.8, 405.2, 774.6, 1562.9", \ + " 86.6, 118.1, 204.8, 408.1, 776.2, 1563.8", \ + " 95.6, 126.8, 212.5, 413.3, 779.8, 1565.6", \ + " 108.1, 139.5, 224.9, 423.7, 787.5, 1570.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 72.9, 98.7, 154.1, 251.8, 459.4", \ + " 69.7, 80.4, 106.1, 161.6, 259.3, 466.9", \ + " 75.9, 86.6, 112.4, 167.9, 265.7, 473.2", \ + " 84.0, 94.8, 120.7, 176.2, 274.0, 481.5", \ + " 96.3, 107.5, 133.6, 189.1, 287.0, 494.5", \ + " 111.2, 122.7, 149.3, 205.1, 302.9, 510.5", \ + " 130.6, 142.6, 169.8, 225.9, 323.8, 531.4", \ + " 156.0, 168.8, 196.7, 253.2, 351.3, 558.9", \ + " 190.1, 203.9, 233.2, 290.4, 388.5, 596.3", \ + " 236.4, 251.7, 283.0, 341.8, 440.4, 648.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.8, 64.3, 125.7, 267.0, 520.6, 1061.6", \ + " 41.9, 64.4, 125.8, 267.0, 520.6, 1061.6", \ + " 42.2, 64.5, 125.8, 267.0, 520.6, 1061.6", \ + " 43.1, 65.2, 126.1, 267.1, 520.7, 1061.6", \ + " 46.0, 67.5, 127.5, 267.6, 520.8, 1061.6", \ + " 49.3, 70.7, 129.7, 268.8, 521.3, 1061.7", \ + " 53.7, 74.7, 132.7, 270.5, 522.1, 1062.0", \ + " 59.8, 80.4, 137.0, 273.0, 523.3, 1062.4", \ + " 68.5, 88.9, 144.0, 277.2, 525.5, 1063.1", \ + " 80.8, 101.0, 155.2, 284.9, 529.9, 1065.0" ); }} +timing() { /* ring osc delay aon21bv0x1, path a2 to z 85.4 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.2 ; */ +/* intrinsic_fall : 91.9 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.09 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.5, 82.2, 116.8, 193.2, 328.5, 615.6", \ + " 73.0, 86.6, 121.3, 197.7, 333.0, 620.1", \ + " 75.9, 89.5, 124.1, 200.6, 335.9, 623.0", \ + " 79.1, 92.8, 127.4, 203.9, 339.2, 626.3", \ + " 82.8, 96.6, 131.5, 207.9, 343.2, 630.4", \ + " 85.7, 99.6, 134.6, 211.2, 346.5, 633.7", \ + " 87.2, 101.4, 136.4, 213.2, 348.5, 635.6", \ + " 85.8, 100.4, 136.0, 212.7, 348.4, 635.4", \ + " 79.1, 94.4, 130.9, 208.6, 344.3, 631.6", \ + " 63.0, 79.2, 117.3, 196.9, 334.2, 621.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.4, 102.9, 193.8, 401.4, 772.5, 1562.0", \ + " 69.5, 102.9, 193.8, 401.4, 772.5, 1562.0", \ + " 70.0, 103.2, 193.9, 401.4, 772.5, 1562.0", \ + " 71.3, 104.3, 194.5, 401.5, 772.6, 1562.0", \ + " 73.7, 106.3, 195.8, 402.2, 772.7, 1562.0", \ + " 76.3, 108.8, 197.7, 403.2, 773.2, 1562.0", \ + " 80.7, 112.6, 200.6, 404.8, 774.0, 1562.5", \ + " 87.1, 118.8, 205.4, 408.0, 775.6, 1563.2", \ + " 96.0, 127.9, 213.9, 414.1, 779.7, 1565.0", \ + " 108.2, 140.5, 226.8, 425.8, 788.5, 1570.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.0, 81.0, 107.0, 162.6, 260.4, 468.0", \ + " 77.5, 88.5, 114.6, 170.1, 268.0, 475.6", \ + " 83.9, 94.8, 120.9, 176.5, 274.4, 482.0", \ + " 92.5, 103.4, 129.6, 185.2, 283.1, 490.7", \ + " 106.4, 117.6, 143.9, 199.5, 297.4, 505.1", \ + " 123.2, 134.9, 161.7, 217.5, 315.4, 523.0", \ + " 145.1, 157.4, 184.8, 241.0, 338.9, 546.5", \ + " 173.7, 186.8, 215.0, 271.6, 369.7, 577.3", \ + " 212.5, 226.7, 256.2, 313.4, 411.5, 619.3", \ + " 266.1, 281.7, 313.2, 371.6, 470.0, 677.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.2, 66.2, 127.0, 267.7, 521.0, 1061.8", \ + " 44.2, 66.3, 127.0, 267.7, 521.0, 1061.8", \ + " 44.3, 66.4, 127.1, 267.7, 521.0, 1061.8", \ + " 44.8, 66.7, 127.2, 267.8, 521.0, 1061.8", \ + " 47.3, 68.7, 128.3, 268.1, 521.1, 1061.8", \ + " 51.3, 72.3, 130.6, 269.2, 521.5, 1061.9", \ + " 56.1, 76.7, 134.0, 271.0, 522.3, 1062.1", \ + " 62.3, 82.7, 138.5, 273.6, 523.5, 1062.5", \ + " 70.7, 90.9, 145.2, 277.5, 525.5, 1063.2", \ + " 82.5, 102.6, 155.6, 284.3, 529.1, 1064.6" ); }} +timing() { /* ring osc delay aon21bv0x1, path b to z 38.9 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.8 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 39.5, 74.1, 150.6, 285.9, 573.0", \ + " 33.7, 46.8, 81.4, 158.2, 293.7, 581.0", \ + " 39.7, 53.1, 87.3, 164.0, 299.6, 586.9", \ + " 46.1, 61.7, 95.6, 172.0, 307.5, 594.9", \ + " 55.7, 74.1, 110.9, 186.5, 321.7, 608.9", \ + " 67.9, 88.9, 132.2, 208.0, 342.5, 629.3", \ + " 84.3, 108.4, 158.6, 241.5, 374.5, 660.4", \ + " 106.0, 133.9, 191.5, 288.5, 423.0, 706.9", \ + " 135.6, 168.2, 234.4, 346.9, 497.7, 778.5", \ + " 176.7, 215.1, 292.3, 421.8, 599.1, 889.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.2, 82.0, 174.8, 383.7, 755.1, 1544.2", \ + " 52.7, 84.6, 174.8, 383.7, 755.1, 1544.2", \ + " 59.6, 90.0, 177.2, 383.7, 755.1, 1544.2", \ + " 69.9, 98.6, 182.8, 384.5, 755.1, 1544.2", \ + " 87.5, 115.7, 195.7, 391.0, 755.2, 1544.2", \ + " 107.1, 141.6, 217.7, 405.9, 760.9, 1544.2", \ + " 134.2, 173.5, 254.5, 434.3, 778.6, 1546.2", \ + " 171.6, 215.3, 309.3, 483.0, 814.7, 1562.9", \ + " 224.7, 273.4, 379.9, 563.6, 880.9, 1606.1", \ + " 301.2, 356.1, 475.5, 686.8, 995.6, 1692.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 29.9, 54.6, 109.7, 207.4, 414.9", \ + " 26.0, 36.0, 60.8, 116.0, 213.8, 421.4", \ + " 28.2, 40.5, 65.7, 120.9, 218.6, 426.2", \ + " 29.9, 44.3, 72.3, 127.4, 225.1, 432.7", \ + " 31.1, 48.4, 82.4, 139.0, 236.6, 444.1", \ + " 31.0, 51.4, 91.8, 155.8, 253.2, 460.5", \ + " 28.6, 52.6, 100.4, 177.0, 278.4, 485.3", \ + " 22.3, 50.5, 106.8, 197.8, 315.2, 522.2", \ + " 9.6, 42.9, 109.3, 216.8, 357.1, 578.1", \ + " -13.2, 25.9, 104.3, 231.4, 398.3, 659.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.0, 57.9, 121.7, 265.0, 519.8, 1061.3", \ + " 41.8, 62.9, 123.0, 265.0, 519.8, 1061.3", \ + " 50.1, 69.8, 127.4, 265.6, 519.8, 1061.3", \ + " 59.4, 80.3, 135.3, 269.4, 519.8, 1061.3", \ + " 72.6, 98.0, 152.2, 280.5, 523.6, 1061.3", \ + " 91.1, 118.7, 178.7, 301.6, 536.2, 1062.4", \ + " 117.8, 148.2, 215.1, 338.5, 563.6, 1074.3", \ + " 154.7, 189.0, 262.6, 396.7, 613.6, 1105.8", \ + " 206.8, 246.6, 328.7, 477.1, 697.4, 1170.4", \ + " 281.7, 328.2, 422.4, 586.5, 828.1, 1288.4" ); }} +timing() { /* ring osc delay aon21bv0x1, path b to z 38.9 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.8 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 39.5, 74.1, 150.6, 285.9, 573.0", \ + " 33.7, 46.8, 81.4, 158.2, 293.7, 581.0", \ + " 39.7, 53.1, 87.3, 164.0, 299.6, 586.9", \ + " 46.0, 61.7, 95.6, 172.0, 307.5, 594.9", \ + " 55.7, 74.1, 110.9, 186.5, 321.7, 608.9", \ + " 67.9, 88.9, 132.2, 208.0, 342.5, 629.3", \ + " 84.3, 108.4, 158.6, 241.5, 374.5, 660.4", \ + " 106.0, 133.9, 191.4, 288.5, 423.0, 706.9", \ + " 135.6, 168.2, 234.4, 346.9, 497.7, 778.5", \ + " 176.7, 215.1, 292.3, 421.8, 599.1, 889.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.1, 81.9, 174.8, 383.7, 755.1, 1544.2", \ + " 52.7, 84.6, 174.8, 383.7, 755.1, 1544.2", \ + " 59.6, 90.0, 177.2, 383.7, 755.1, 1544.2", \ + " 69.9, 98.6, 182.8, 384.5, 755.1, 1544.2", \ + " 87.5, 115.7, 195.7, 391.0, 755.2, 1544.2", \ + " 107.1, 141.6, 217.7, 405.9, 760.9, 1544.2", \ + " 134.2, 173.5, 254.5, 434.3, 778.6, 1546.2", \ + " 171.6, 215.3, 309.3, 483.0, 814.8, 1562.9", \ + " 224.7, 273.4, 379.9, 563.6, 880.9, 1606.1", \ + " 301.2, 356.1, 475.5, 686.8, 995.6, 1692.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 29.8, 54.5, 109.6, 207.3, 414.9", \ + " 25.9, 36.0, 60.8, 116.0, 213.8, 421.4", \ + " 28.1, 40.4, 65.6, 120.8, 218.6, 426.2", \ + " 29.8, 44.3, 72.2, 127.4, 225.1, 432.7", \ + " 31.1, 48.4, 82.3, 138.9, 236.6, 444.1", \ + " 31.0, 51.4, 91.8, 155.8, 253.2, 460.5", \ + " 28.6, 52.6, 100.4, 177.0, 278.4, 485.4", \ + " 22.2, 50.5, 106.8, 197.8, 315.2, 522.2", \ + " 9.6, 42.9, 109.3, 216.8, 357.1, 578.2", \ + " -13.2, 25.9, 104.3, 231.4, 398.3, 659.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 57.9, 121.8, 265.3, 520.1, 1061.6", \ + " 41.7, 62.9, 123.2, 265.2, 520.1, 1061.6", \ + " 50.1, 69.8, 127.5, 265.8, 520.1, 1061.6", \ + " 59.4, 80.3, 135.5, 269.6, 520.0, 1061.6", \ + " 72.7, 98.0, 152.3, 280.7, 523.9, 1061.6", \ + " 91.2, 118.8, 178.8, 301.8, 536.4, 1062.7", \ + " 117.8, 148.2, 215.2, 338.7, 563.8, 1074.6", \ + " 154.7, 189.1, 262.7, 396.8, 613.8, 1106.1", \ + " 206.9, 246.7, 328.8, 477.2, 697.5, 1170.6", \ + " 281.7, 328.2, 422.5, 586.6, 828.2, 1288.6" ); }} +timing() { /* ring osc delay aon21bv0x1, path b to z 38.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.8 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 39.5, 74.1, 150.6, 285.9, 573.0", \ + " 33.7, 46.8, 81.4, 158.2, 293.7, 581.0", \ + " 39.7, 53.1, 87.3, 164.0, 299.6, 586.9", \ + " 46.0, 61.7, 95.6, 172.0, 307.5, 594.9", \ + " 55.7, 74.1, 110.9, 186.5, 321.7, 608.9", \ + " 67.9, 88.9, 132.2, 208.0, 342.5, 629.3", \ + " 84.3, 108.4, 158.6, 241.5, 374.5, 660.4", \ + " 106.0, 133.9, 191.4, 288.5, 423.0, 706.9", \ + " 135.6, 168.2, 234.4, 346.9, 497.7, 778.5", \ + " 176.7, 215.1, 292.3, 421.8, 599.1, 889.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.1, 81.9, 174.8, 383.7, 755.1, 1544.2", \ + " 52.7, 84.6, 174.8, 383.7, 755.1, 1544.2", \ + " 59.6, 90.0, 177.2, 383.7, 755.1, 1544.2", \ + " 69.9, 98.6, 182.8, 384.5, 755.1, 1544.2", \ + " 87.5, 115.7, 195.7, 391.0, 755.2, 1544.2", \ + " 107.1, 141.6, 217.7, 405.9, 760.9, 1544.2", \ + " 134.2, 173.5, 254.5, 434.3, 778.6, 1546.2", \ + " 171.6, 215.3, 309.3, 483.0, 814.8, 1562.9", \ + " 224.7, 273.4, 379.9, 563.6, 880.9, 1606.1", \ + " 301.2, 356.1, 475.5, 686.8, 995.6, 1692.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 29.8, 54.5, 109.6, 207.3, 414.9", \ + " 25.9, 36.0, 60.8, 116.0, 213.8, 421.4", \ + " 28.1, 40.4, 65.6, 120.8, 218.6, 426.2", \ + " 29.8, 44.3, 72.2, 127.4, 225.1, 432.7", \ + " 31.1, 48.4, 82.3, 138.9, 236.6, 444.1", \ + " 31.0, 51.4, 91.8, 155.8, 253.2, 460.5", \ + " 28.6, 52.6, 100.4, 177.0, 278.4, 485.4", \ + " 22.2, 50.5, 106.8, 197.8, 315.2, 522.2", \ + " 9.6, 42.9, 109.3, 216.8, 357.1, 578.2", \ + " -13.2, 25.9, 104.3, 231.4, 398.3, 659.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 57.9, 121.8, 265.3, 520.1, 1061.6", \ + " 41.7, 62.9, 123.2, 265.2, 520.1, 1061.6", \ + " 50.1, 69.8, 127.5, 265.8, 520.1, 1061.6", \ + " 59.4, 80.3, 135.5, 269.6, 520.0, 1061.6", \ + " 72.7, 98.0, 152.3, 280.7, 523.9, 1061.6", \ + " 91.2, 118.8, 178.8, 301.8, 536.4, 1062.7", \ + " 117.8, 148.2, 215.2, 338.7, 563.8, 1074.6", \ + " 154.7, 189.1, 262.7, 396.8, 613.8, 1106.1", \ + " 206.9, 246.7, 328.8, 477.2, 697.5, 1170.6", \ + " 281.7, 328.2, 422.5, 586.6, 828.2, 1288.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 44.8 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 39.5, 74.1, 150.6, 285.9, 573.0", \ + " 33.7, 46.8, 81.4, 158.2, 293.7, 581.0", \ + " 39.7, 53.1, 87.3, 164.0, 299.6, 586.9", \ + " 46.0, 61.7, 95.6, 172.0, 307.5, 594.9", \ + " 55.7, 74.1, 110.9, 186.5, 321.7, 608.9", \ + " 67.9, 88.9, 132.2, 208.0, 342.5, 629.3", \ + " 84.3, 108.4, 158.6, 241.5, 374.5, 660.4", \ + " 106.0, 133.9, 191.4, 288.5, 423.0, 706.9", \ + " 135.6, 168.2, 234.4, 346.9, 497.7, 778.5", \ + " 176.7, 215.1, 292.3, 421.8, 599.1, 889.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.1, 81.9, 174.8, 383.7, 755.1, 1544.2", \ + " 52.7, 84.6, 174.8, 383.7, 755.1, 1544.2", \ + " 59.6, 90.0, 177.2, 383.7, 755.1, 1544.2", \ + " 69.9, 98.6, 182.8, 384.5, 755.1, 1544.2", \ + " 87.5, 115.7, 195.7, 391.0, 755.2, 1544.2", \ + " 107.1, 141.6, 217.7, 405.9, 760.9, 1544.2", \ + " 134.2, 173.5, 254.5, 434.3, 778.6, 1546.2", \ + " 171.6, 215.3, 309.3, 483.0, 814.8, 1562.9", \ + " 224.7, 273.4, 379.9, 563.6, 880.9, 1606.1", \ + " 301.2, 356.1, 475.5, 686.8, 995.6, 1692.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 29.8, 54.5, 109.6, 207.3, 414.9", \ + " 25.9, 36.0, 60.8, 116.0, 213.8, 421.4", \ + " 28.1, 40.4, 65.6, 120.8, 218.6, 426.2", \ + " 29.8, 44.3, 72.2, 127.4, 225.1, 432.7", \ + " 31.1, 48.4, 82.3, 138.9, 236.6, 444.1", \ + " 31.0, 51.4, 91.8, 155.8, 253.2, 460.5", \ + " 28.6, 52.6, 100.4, 177.0, 278.4, 485.4", \ + " 22.2, 50.5, 106.8, 197.8, 315.2, 522.2", \ + " 9.6, 42.9, 109.3, 216.8, 357.1, 578.2", \ + " -13.2, 25.9, 104.3, 231.4, 398.3, 659.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 57.9, 121.8, 265.3, 520.1, 1061.6", \ + " 41.7, 62.9, 123.2, 265.2, 520.1, 1061.6", \ + " 50.1, 69.8, 127.5, 265.8, 520.1, 1061.6", \ + " 59.4, 80.3, 135.5, 269.6, 520.0, 1061.6", \ + " 72.7, 98.0, 152.3, 280.7, 523.9, 1061.6", \ + " 91.2, 118.8, 178.8, 301.8, 536.4, 1062.7", \ + " 117.8, 148.2, 215.2, 338.7, 563.8, 1074.6", \ + " 154.7, 189.1, 262.7, 396.8, 613.8, 1106.1", \ + " 206.9, 246.7, 328.8, 477.2, 697.5, 1170.6", \ + " 281.7, 328.2, 422.5, 586.6, 828.2, 1288.6" ); }} +} +} +cell(aon21bv0x2) { /* 2008-01-06:07h29 characteristic delay 10.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1444 ; /* aon21bv0x2 */ +cell_footprint : aon21b ; +pin(a1) { /* aon21bv0x2 FO4 effort 1.73 */ +direction : input ; +capacitance : 4.49 ; +rise_capacitance : 4.56 ; +fall_capacitance : 4.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21bv0x2 */ +} +pin(a2) { /* aon21bv0x2 FO4 effort 1.82 */ +direction : input ; +capacitance : 4.72 ; +rise_capacitance : 4.90 ; +fall_capacitance : 4.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21bv0x2 */ +} +pin(b) { /* aon21bv0x2 FO4 effort 1.16 logical effort 1.23 */ +direction : input ; +capacitance : 4.90 ; +rise_capacitance : 4.88 ; +fall_capacitance : 4.92 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of aon21bv0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 190 ; +max_fanout : 6 ; +function : "((a1*a2)+b')" ; +internal_power(a1_z_p) { /* aon21bv0x2 27.05 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 13.37, 13.50, 13.63, 13.68, 13.68", \ + " 13.15, 13.27, 13.41, 13.48, 13.48", \ + " 13.16, 13.27, 13.41, 13.49, 13.51", \ + " 13.30, 13.39, 13.53, 13.62, 13.64", \ + " 13.72, 13.78, 13.90, 13.99, 14.02", \ + " 14.53, 14.55, 14.63, 14.71, 14.75", \ + " 15.96, 15.92, 15.94, 16.01, 16.04", \ + " 18.31, 18.19, 18.13, 18.15, 18.17", \ + " 22.13, 21.90, 21.72, 21.66, 21.65", \ + " 28.25, 27.85, 27.50, 27.31, 27.24" ); }} +internal_power(a2_z_p) { /* aon21bv0x2 29.79 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 14.82, 14.96, 15.09, 15.15, 15.15", \ + " 14.59, 14.71, 14.85, 14.92, 14.93", \ + " 14.57, 14.68, 14.82, 14.90, 14.92", \ + " 14.66, 14.76, 14.89, 14.98, 15.00", \ + " 15.01, 15.07, 15.20, 15.29, 15.32", \ + " 15.75, 15.77, 15.86, 15.95, 15.99", \ + " 17.14, 17.10, 17.13, 17.20, 17.23", \ + " 19.50, 19.37, 19.32, 19.34, 19.37", \ + " 23.38, 23.14, 22.97, 22.91, 22.90", \ + " 29.62, 29.23, 28.88, 28.69, 28.62" ); }} +internal_power(b_z_n) { /* aon21bv0x2 8.70 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 4.18, 4.39, 4.55, 4.62, 4.62", \ + " 3.99, 4.16, 4.37, 4.52, 4.57", \ + " 4.08, 4.16, 4.33, 4.48, 4.55", \ + " 4.31, 4.28, 4.35, 4.47, 4.53", \ + " 4.88, 4.67, 4.54, 4.54, 4.56", \ + " 5.89, 5.45, 5.02, 4.79, 4.69", \ + " 7.61, 6.87, 6.02, 5.39, 5.04", \ + " 10.35, 9.27, 7.84, 6.62, 5.84", \ + " 14.67, 13.23, 11.05, 8.96, 7.47", \ + " 21.46, 19.62, 16.55, 13.21, 10.63" ); }} +timing() { /* ring osc delay aon21bv0x2, path a1 to z 80.7 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 85.3 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.2, 82.2, 122.5, 211.6, 369.4, 704.3", \ + " 72.2, 88.2, 128.5, 217.6, 375.4, 710.4", \ + " 76.5, 92.5, 132.8, 221.9, 379.7, 714.7", \ + " 81.2, 97.1, 137.4, 226.5, 384.3, 719.3", \ + " 86.4, 102.6, 143.1, 232.1, 389.9, 724.9", \ + " 91.0, 107.3, 148.0, 237.1, 394.9, 729.9", \ + " 95.1, 111.4, 151.9, 241.5, 399.2, 734.1", \ + " 97.2, 113.9, 154.6, 243.9, 402.1, 736.9", \ + " 95.5, 112.9, 154.4, 244.1, 402.2, 737.3", \ + " 86.7, 105.2, 148.0, 239.1, 398.0, 733.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.0, 107.9, 213.9, 456.1, 889.1, 1810.1", \ + " 69.2, 108.0, 213.9, 456.1, 889.1, 1810.1", \ + " 69.7, 108.3, 214.0, 456.1, 889.1, 1810.1", \ + " 71.2, 109.5, 214.5, 456.2, 889.1, 1810.1", \ + " 73.8, 111.7, 216.1, 456.9, 889.2, 1810.1", \ + " 76.4, 114.3, 218.0, 458.1, 889.9, 1810.1", \ + " 80.6, 117.6, 220.7, 459.5, 890.8, 1810.7", \ + " 87.0, 123.4, 224.6, 462.1, 892.2, 1811.6", \ + " 96.4, 132.5, 232.2, 467.1, 895.3, 1813.0", \ + " 109.5, 145.8, 244.8, 476.9, 902.4, 1817.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.4, 77.3, 108.1, 174.5, 291.7, 540.8", \ + " 71.9, 84.8, 115.6, 182.0, 299.2, 548.3", \ + " 78.1, 91.0, 121.9, 188.3, 305.6, 554.6", \ + " 86.4, 99.3, 130.2, 196.7, 314.0, 563.0", \ + " 99.2, 112.5, 143.5, 210.0, 327.4, 576.4", \ + " 114.5, 128.3, 159.9, 226.5, 343.8, 592.9", \ + " 134.4, 148.8, 181.0, 247.9, 365.3, 614.3", \ + " 160.3, 175.6, 208.6, 275.8, 393.3, 642.3", \ + " 194.9, 211.4, 245.7, 313.6, 431.1, 680.2", \ + " 241.9, 260.0, 296.5, 365.7, 483.5, 732.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.9, 69.8, 143.5, 313.1, 617.7, 1267.0", \ + " 43.0, 69.8, 143.5, 313.1, 617.7, 1267.0", \ + " 43.2, 70.0, 143.5, 313.2, 617.7, 1267.0", \ + " 44.0, 70.5, 143.8, 313.2, 617.7, 1267.0", \ + " 47.0, 72.7, 144.9, 313.6, 617.8, 1267.1", \ + " 50.7, 76.1, 147.1, 314.7, 618.1, 1267.1", \ + " 55.5, 80.4, 150.2, 316.2, 618.8, 1267.2", \ + " 62.0, 86.5, 154.5, 318.6, 619.9, 1267.6", \ + " 71.1, 95.3, 161.4, 322.4, 621.8, 1268.1", \ + " 84.0, 107.9, 172.6, 329.5, 625.7, 1269.6" ); }} +timing() { /* ring osc delay aon21bv0x2, path a2 to z 85.5 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 94.0 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 86.4, 126.7, 215.8, 373.5, 708.5", \ + " 74.8, 90.8, 131.1, 220.2, 378.0, 713.0", \ + " 77.7, 93.7, 134.1, 223.2, 380.9, 715.9", \ + " 81.2, 97.2, 137.5, 226.6, 384.4, 719.4", \ + " 85.2, 101.5, 141.9, 231.0, 388.8, 723.8", \ + " 88.6, 105.0, 145.7, 234.8, 392.6, 727.6", \ + " 90.8, 107.5, 148.2, 237.6, 395.3, 730.2", \ + " 90.3, 107.5, 148.7, 238.0, 396.0, 730.8", \ + " 84.8, 102.7, 145.0, 235.1, 393.1, 728.1", \ + " 70.3, 89.3, 133.2, 225.3, 384.5, 719.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.0, 107.9, 213.9, 456.1, 889.1, 1810.1", \ + " 69.1, 107.9, 213.9, 456.1, 889.1, 1810.1", \ + " 69.4, 108.2, 214.0, 456.1, 889.1, 1810.1", \ + " 70.8, 109.1, 214.4, 456.2, 889.1, 1810.1", \ + " 73.3, 111.2, 215.7, 456.7, 889.2, 1810.1", \ + " 76.1, 113.9, 217.6, 457.7, 889.7, 1810.1", \ + " 80.5, 117.7, 220.5, 459.2, 890.4, 1810.5", \ + " 87.0, 123.9, 225.1, 462.0, 891.7, 1811.1", \ + " 96.3, 133.2, 233.4, 467.8, 895.2, 1812.5", \ + " 108.8, 146.2, 246.5, 478.9, 903.4, 1817.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.3, 85.5, 116.6, 183.1, 300.4, 549.5", \ + " 79.8, 93.0, 124.1, 190.6, 307.9, 557.0", \ + " 86.1, 99.3, 130.4, 197.0, 314.3, 563.4", \ + " 94.7, 108.0, 139.1, 205.6, 323.0, 572.1", \ + " 108.9, 122.4, 153.6, 220.2, 337.6, 586.7", \ + " 126.1, 140.1, 171.9, 238.5, 355.9, 605.0", \ + " 148.3, 163.1, 195.5, 262.5, 379.8, 629.0", \ + " 177.3, 193.0, 226.2, 293.5, 411.0, 660.1", \ + " 216.4, 233.3, 267.9, 335.7, 453.2, 702.4", \ + " 270.4, 288.9, 325.5, 394.4, 512.0, 761.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 71.7, 144.7, 313.8, 618.0, 1267.2", \ + " 45.3, 71.8, 144.7, 313.8, 618.0, 1267.2", \ + " 45.4, 71.8, 144.7, 313.8, 618.0, 1267.2", \ + " 45.9, 72.2, 144.8, 313.8, 618.0, 1267.2", \ + " 48.4, 74.0, 145.7, 314.1, 618.1, 1267.2", \ + " 52.6, 77.7, 147.9, 315.0, 618.3, 1267.3", \ + " 57.8, 82.4, 151.3, 316.7, 619.1, 1267.4", \ + " 64.3, 88.6, 155.8, 319.1, 620.1, 1267.7", \ + " 73.2, 97.1, 162.5, 322.7, 621.8, 1268.3", \ + " 85.5, 109.3, 172.9, 329.0, 625.0, 1269.4" ); }} +timing() { /* ring osc delay aon21bv0x2, path b to z 36.1 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.3 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 40.7, 80.9, 170.0, 327.8, 662.7", \ + " 32.9, 48.1, 88.3, 177.7, 335.6, 670.7", \ + " 38.7, 54.4, 94.3, 183.5, 341.5, 676.7", \ + " 45.0, 63.1, 102.5, 191.6, 349.5, 684.6", \ + " 54.5, 75.8, 117.7, 206.0, 363.7, 698.7", \ + " 66.4, 90.9, 139.6, 227.3, 384.4, 719.0", \ + " 82.5, 110.6, 167.4, 260.4, 416.2, 750.0", \ + " 103.6, 136.1, 201.4, 309.3, 464.1, 796.2", \ + " 132.5, 170.4, 245.6, 371.3, 538.1, 867.1", \ + " 172.5, 217.2, 304.6, 449.7, 645.9, 977.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.9, 86.5, 194.7, 438.5, 871.7, 1792.4", \ + " 51.7, 89.0, 194.8, 438.5, 871.7, 1792.4", \ + " 58.7, 94.2, 196.6, 438.5, 871.7, 1792.4", \ + " 69.1, 102.7, 201.7, 438.7, 871.7, 1792.4", \ + " 86.4, 119.5, 213.9, 443.9, 871.7, 1792.4", \ + " 106.1, 145.8, 235.2, 457.3, 875.1, 1792.4", \ + " 133.2, 178.6, 271.2, 484.0, 890.0, 1792.7", \ + " 170.8, 221.4, 327.4, 530.8, 923.0, 1804.3", \ + " 224.1, 280.7, 401.4, 609.7, 985.4, 1841.5", \ + " 300.9, 364.8, 500.6, 736.0, 1096.1, 1921.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 31.5, 61.1, 127.2, 244.4, 493.4", \ + " 25.7, 37.7, 67.4, 133.5, 250.8, 499.9", \ + " 27.9, 42.4, 72.2, 138.4, 255.7, 504.7", \ + " 29.7, 46.6, 78.8, 144.9, 262.2, 511.2", \ + " 31.0, 51.3, 89.9, 156.4, 273.6, 522.6", \ + " 31.0, 54.9, 100.9, 173.2, 290.1, 539.0", \ + " 28.8, 56.9, 111.3, 197.1, 315.3, 563.7", \ + " 22.8, 55.8, 119.9, 222.0, 352.7, 600.4", \ + " 10.7, 49.6, 125.1, 245.8, 401.8, 656.2", \ + " -11.3, 34.4, 123.6, 266.1, 452.2, 741.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.9, 62.6, 139.1, 311.2, 616.9, 1266.6", \ + " 41.7, 67.2, 140.0, 311.1, 616.9, 1266.6", \ + " 50.1, 73.9, 143.8, 311.2, 616.9, 1266.6", \ + " 59.1, 84.1, 151.2, 314.0, 616.9, 1266.6", \ + " 72.4, 102.4, 167.2, 323.5, 618.7, 1266.6", \ + " 90.9, 123.6, 193.0, 342.8, 628.6, 1266.6", \ + " 117.5, 153.5, 231.3, 378.1, 652.7, 1273.9", \ + " 154.4, 194.8, 280.4, 434.8, 699.0, 1299.7", \ + " 206.5, 253.2, 348.2, 519.3, 779.7, 1357.1", \ + " 281.3, 335.9, 444.1, 633.0, 910.1, 1467.1" ); }} +timing() { /* ring osc delay aon21bv0x2, path b to z 36.0 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.3 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 40.7, 80.9, 170.0, 327.8, 662.7", \ + " 32.9, 48.1, 88.3, 177.7, 335.6, 670.7", \ + " 38.7, 54.4, 94.2, 183.5, 341.5, 676.7", \ + " 45.0, 63.1, 102.5, 191.6, 349.5, 684.6", \ + " 54.4, 75.7, 117.7, 206.0, 363.7, 698.7", \ + " 66.4, 90.9, 139.6, 227.3, 384.4, 719.0", \ + " 82.4, 110.5, 167.4, 260.4, 416.2, 750.0", \ + " 103.6, 136.1, 201.4, 309.3, 464.1, 796.2", \ + " 132.5, 170.4, 245.6, 371.3, 538.1, 867.1", \ + " 172.5, 217.2, 304.6, 449.7, 645.9, 977.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 86.4, 194.7, 438.5, 871.7, 1792.4", \ + " 51.7, 89.0, 194.7, 438.5, 871.7, 1792.4", \ + " 58.6, 94.2, 196.6, 438.5, 871.7, 1792.4", \ + " 69.0, 102.7, 201.7, 438.7, 871.7, 1792.4", \ + " 86.4, 119.5, 213.9, 443.9, 871.7, 1792.4", \ + " 106.0, 145.8, 235.2, 457.3, 875.1, 1792.4", \ + " 133.2, 178.6, 271.2, 484.0, 890.0, 1792.7", \ + " 170.8, 221.4, 327.4, 530.8, 923.0, 1804.3", \ + " 224.1, 280.7, 401.4, 609.7, 985.4, 1841.5", \ + " 300.9, 364.8, 500.6, 736.0, 1096.1, 1921.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 31.4, 61.0, 127.1, 244.3, 493.4", \ + " 25.7, 37.6, 67.3, 133.5, 250.8, 499.9", \ + " 27.9, 42.3, 72.2, 138.4, 255.7, 504.7", \ + " 29.7, 46.6, 78.8, 144.9, 262.2, 511.2", \ + " 31.0, 51.3, 89.9, 156.4, 273.6, 522.7", \ + " 31.0, 54.9, 100.9, 173.2, 290.2, 539.0", \ + " 28.8, 56.9, 111.4, 197.1, 315.3, 563.8", \ + " 22.8, 55.8, 119.9, 222.0, 352.7, 600.5", \ + " 10.7, 49.6, 125.1, 245.8, 401.8, 656.2", \ + " -11.3, 34.4, 123.6, 266.1, 452.2, 741.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 62.6, 139.3, 311.5, 617.3, 1267.0", \ + " 41.7, 67.3, 140.2, 311.4, 617.3, 1267.0", \ + " 50.1, 73.9, 144.0, 311.5, 617.3, 1267.0", \ + " 59.2, 84.2, 151.4, 314.3, 617.2, 1267.0", \ + " 72.5, 102.5, 167.4, 323.8, 619.0, 1267.0", \ + " 91.0, 123.7, 193.1, 343.1, 628.9, 1267.0", \ + " 117.6, 153.5, 231.5, 378.3, 653.0, 1274.2", \ + " 154.4, 194.9, 280.5, 435.0, 699.2, 1300.0", \ + " 206.5, 253.3, 348.3, 519.4, 780.0, 1357.4", \ + " 281.4, 336.0, 444.2, 633.2, 910.3, 1467.4" ); }} +timing() { /* ring osc delay aon21bv0x2, path b to z 36.0 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.3 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 40.7, 80.9, 170.0, 327.8, 662.7", \ + " 32.9, 48.1, 88.3, 177.7, 335.6, 670.7", \ + " 38.7, 54.4, 94.2, 183.5, 341.5, 676.7", \ + " 45.0, 63.1, 102.5, 191.6, 349.5, 684.6", \ + " 54.4, 75.7, 117.7, 206.0, 363.7, 698.7", \ + " 66.4, 90.9, 139.6, 227.3, 384.4, 719.0", \ + " 82.4, 110.5, 167.4, 260.4, 416.2, 750.0", \ + " 103.6, 136.1, 201.4, 309.3, 464.1, 796.2", \ + " 132.5, 170.4, 245.6, 371.3, 538.1, 867.1", \ + " 172.5, 217.2, 304.6, 449.7, 645.9, 977.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 86.4, 194.7, 438.5, 871.7, 1792.4", \ + " 51.7, 88.9, 194.7, 438.5, 871.7, 1792.4", \ + " 58.6, 94.2, 196.6, 438.5, 871.7, 1792.4", \ + " 69.0, 102.7, 201.7, 438.7, 871.7, 1792.4", \ + " 86.4, 119.5, 213.9, 443.9, 871.7, 1792.4", \ + " 106.0, 145.8, 235.2, 457.3, 875.1, 1792.4", \ + " 133.2, 178.6, 271.2, 484.0, 890.0, 1792.7", \ + " 170.8, 221.4, 327.4, 530.8, 923.0, 1804.3", \ + " 224.1, 280.7, 401.4, 609.7, 985.4, 1841.5", \ + " 300.9, 364.8, 500.6, 736.0, 1096.1, 1921.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 31.4, 61.0, 127.1, 244.3, 493.4", \ + " 25.7, 37.6, 67.3, 133.5, 250.8, 499.9", \ + " 27.9, 42.3, 72.2, 138.4, 255.7, 504.7", \ + " 29.7, 46.6, 78.8, 144.9, 262.2, 511.2", \ + " 31.0, 51.3, 89.9, 156.4, 273.6, 522.6", \ + " 31.0, 54.9, 100.9, 173.2, 290.2, 539.0", \ + " 28.8, 56.9, 111.4, 197.1, 315.3, 563.8", \ + " 22.8, 55.8, 119.9, 222.0, 352.7, 600.5", \ + " 10.7, 49.6, 125.1, 245.8, 401.8, 656.2", \ + " -11.3, 34.4, 123.6, 266.1, 452.2, 741.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.7, 62.6, 139.3, 311.5, 617.3, 1267.0", \ + " 41.7, 67.2, 140.2, 311.4, 617.3, 1267.0", \ + " 50.1, 73.9, 144.0, 311.5, 617.3, 1267.0", \ + " 59.2, 84.2, 151.4, 314.3, 617.2, 1267.0", \ + " 72.5, 102.5, 167.4, 323.8, 619.0, 1267.0", \ + " 91.0, 123.7, 193.1, 343.1, 628.9, 1267.0", \ + " 117.6, 153.5, 231.5, 378.3, 653.0, 1274.2", \ + " 154.4, 194.9, 280.5, 435.0, 699.2, 1300.0", \ + " 206.5, 253.3, 348.3, 519.4, 780.0, 1357.4", \ + " 281.4, 336.0, 444.2, 633.2, 910.3, 1467.4" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 43.3 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 40.7, 80.9, 170.0, 327.8, 662.7", \ + " 32.9, 48.1, 88.3, 177.7, 335.6, 670.7", \ + " 38.7, 54.4, 94.2, 183.5, 341.5, 676.7", \ + " 45.0, 63.1, 102.5, 191.6, 349.5, 684.6", \ + " 54.4, 75.7, 117.7, 206.0, 363.7, 698.7", \ + " 66.4, 90.9, 139.6, 227.3, 384.4, 719.0", \ + " 82.4, 110.5, 167.4, 260.4, 416.2, 750.0", \ + " 103.6, 136.1, 201.4, 309.3, 464.1, 796.2", \ + " 132.5, 170.4, 245.6, 371.3, 538.1, 867.1", \ + " 172.5, 217.2, 304.6, 449.7, 645.9, 977.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 86.4, 194.7, 438.5, 871.7, 1792.4", \ + " 51.7, 89.0, 194.7, 438.5, 871.7, 1792.4", \ + " 58.6, 94.2, 196.6, 438.5, 871.7, 1792.4", \ + " 69.0, 102.7, 201.7, 438.7, 871.7, 1792.4", \ + " 86.4, 119.5, 213.9, 443.9, 871.7, 1792.4", \ + " 106.0, 145.8, 235.2, 457.3, 875.1, 1792.4", \ + " 133.2, 178.6, 271.2, 484.0, 890.0, 1792.7", \ + " 170.8, 221.4, 327.4, 530.8, 923.0, 1804.3", \ + " 224.1, 280.7, 401.4, 609.7, 985.4, 1841.5", \ + " 300.9, 364.8, 500.6, 736.0, 1096.1, 1921.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 31.4, 61.0, 127.1, 244.3, 493.4", \ + " 25.7, 37.6, 67.3, 133.5, 250.8, 499.9", \ + " 27.9, 42.3, 72.2, 138.4, 255.7, 504.7", \ + " 29.7, 46.6, 78.8, 144.9, 262.2, 511.2", \ + " 31.0, 51.3, 89.9, 156.4, 273.6, 522.7", \ + " 31.0, 54.9, 100.9, 173.2, 290.2, 539.0", \ + " 28.8, 56.9, 111.4, 197.1, 315.3, 563.8", \ + " 22.8, 55.8, 119.9, 222.0, 352.7, 600.5", \ + " 10.7, 49.6, 125.1, 245.8, 401.8, 656.2", \ + " -11.3, 34.4, 123.6, 266.1, 452.2, 741.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 62.6, 139.3, 311.5, 617.3, 1267.0", \ + " 41.7, 67.3, 140.2, 311.4, 617.3, 1267.0", \ + " 50.1, 73.9, 144.0, 311.5, 617.3, 1267.0", \ + " 59.2, 84.2, 151.4, 314.3, 617.2, 1267.0", \ + " 72.5, 102.5, 167.4, 323.8, 619.0, 1267.0", \ + " 91.0, 123.7, 193.1, 343.1, 628.9, 1267.0", \ + " 117.6, 153.5, 231.5, 378.3, 653.0, 1274.2", \ + " 154.4, 194.9, 280.5, 435.0, 699.2, 1300.0", \ + " 206.5, 253.3, 348.3, 519.4, 780.0, 1357.4", \ + " 281.4, 336.0, 444.2, 633.2, 910.3, 1467.4" ); }} +} +} +cell(aon21bv0x3) { /* 2008-01-06:07h29 characteristic delay 10.4 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1917 ; /* aon21bv0x3 */ +cell_footprint : aon21b ; +pin(a1) { /* aon21bv0x3 FO4 effort 1.76 */ +direction : input ; +capacitance : 5.56 ; +rise_capacitance : 5.77 ; +fall_capacitance : 5.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21bv0x3 */ +} +pin(a2) { /* aon21bv0x3 FO4 effort 1.68 */ +direction : input ; +capacitance : 5.32 ; +rise_capacitance : 5.39 ; +fall_capacitance : 5.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21bv0x3 */ +} +pin(b) { /* aon21bv0x3 FO4 effort 1.14 logical effort 1.21 */ +direction : input ; +capacitance : 6.80 ; +rise_capacitance : 6.78 ; +fall_capacitance : 6.82 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of aon21bv0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 270 ; +max_fanout : 8 ; +function : "((a1*a2)+b')" ; +internal_power(a1_z_p) { /* aon21bv0x3 39.90 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 19.79, 19.99, 20.20, 20.29, 20.30", \ + " 19.51, 19.70, 19.92, 20.03, 20.04", \ + " 19.48, 19.66, 19.87, 20.00, 20.02", \ + " 19.59, 19.74, 19.95, 20.09, 20.12", \ + " 20.01, 20.11, 20.29, 20.44, 20.48", \ + " 20.91, 20.94, 21.08, 21.22, 21.27", \ + " 22.61, 22.54, 22.59, 22.70, 22.75", \ + " 25.51, 25.31, 25.23, 25.27, 25.31", \ + " 30.31, 29.92, 29.65, 29.58, 29.57", \ + " 38.04, 37.40, 36.86, 36.59, 36.50" ); }} +internal_power(a2_z_p) { /* aon21bv0x3 36.70 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 18.10, 18.31, 18.51, 18.60, 18.60", \ + " 17.84, 18.03, 18.24, 18.35, 18.36", \ + " 17.84, 18.02, 18.23, 18.36, 18.38", \ + " 18.00, 18.14, 18.35, 18.49, 18.52", \ + " 18.50, 18.59, 18.77, 18.91, 18.96", \ + " 19.48, 19.50, 19.63, 19.76, 19.81", \ + " 21.23, 21.15, 21.19, 21.29, 21.34", \ + " 24.11, 23.91, 23.82, 23.85, 23.88", \ + " 28.83, 28.43, 28.16, 28.07, 28.06", \ + " 36.41, 35.74, 35.19, 34.91, 34.81" ); }} +internal_power(b_z_n) { /* aon21bv0x3 11.94 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 5.72, 6.01, 6.24, 6.32, 6.33", \ + " 5.46, 5.70, 6.00, 6.20, 6.26", \ + " 5.59, 5.70, 5.94, 6.14, 6.23", \ + " 5.92, 5.87, 5.97, 6.13, 6.21", \ + " 6.73, 6.41, 6.23, 6.22, 6.24", \ + " 8.15, 7.50, 6.89, 6.56, 6.42", \ + " 10.57, 9.48, 8.26, 7.38, 6.90", \ + " 14.43, 12.84, 10.78, 9.06, 7.97", \ + " 20.53, 18.38, 15.23, 12.27, 10.20", \ + " 30.09, 27.36, 22.89, 18.14, 14.52" ); }} +timing() { /* ring osc delay aon21bv0x3, path a1 to z 87.7 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.7 ; */ +/* intrinsic_fall : 95.6 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.9, 91.1, 133.9, 228.2, 395.3, 750.0", \ + " 78.3, 95.5, 138.4, 232.7, 399.8, 754.5", \ + " 81.3, 98.5, 141.3, 235.7, 402.8, 757.5", \ + " 85.0, 102.1, 144.9, 239.3, 406.4, 761.1", \ + " 89.6, 107.0, 149.9, 244.2, 411.3, 766.0", \ + " 93.7, 111.2, 154.4, 248.7, 415.8, 770.5", \ + " 97.0, 114.7, 157.9, 252.5, 419.5, 774.1", \ + " 97.9, 116.2, 159.8, 254.3, 421.5, 776.1", \ + " 94.5, 113.5, 158.2, 253.4, 420.6, 775.2", \ + " 82.9, 103.1, 149.4, 246.6, 414.7, 769.5" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.7, 111.6, 223.6, 479.8, 938.2, 1913.3", \ + " 70.7, 111.7, 223.6, 479.8, 938.2, 1913.3", \ + " 71.0, 111.9, 223.7, 479.8, 938.2, 1913.3", \ + " 72.2, 112.7, 224.0, 479.9, 938.2, 1913.3", \ + " 74.8, 114.8, 225.3, 480.4, 938.3, 1913.3", \ + " 77.7, 117.7, 227.2, 481.3, 938.7, 1913.3", \ + " 82.2, 121.6, 230.2, 482.8, 939.4, 1913.6", \ + " 88.9, 127.8, 234.8, 485.6, 940.6, 1914.2", \ + " 98.4, 137.4, 243.1, 491.3, 943.9, 1915.5", \ + " 111.4, 150.9, 256.5, 502.2, 951.7, 1919.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 88.0, 121.3, 192.5, 318.2, 585.1", \ + " 81.3, 95.6, 128.9, 200.1, 325.8, 592.7", \ + " 87.6, 101.9, 135.2, 206.4, 332.2, 599.0", \ + " 96.2, 110.5, 143.8, 215.1, 340.8, 607.7", \ + " 110.5, 124.9, 158.3, 229.6, 355.4, 622.3", \ + " 127.7, 142.8, 176.7, 248.0, 373.8, 640.7", \ + " 149.9, 165.8, 200.3, 272.0, 397.7, 664.6", \ + " 178.6, 195.4, 230.9, 302.8, 428.6, 695.5", \ + " 217.2, 235.3, 272.1, 344.5, 470.4, 737.2", \ + " 270.2, 289.9, 328.8, 402.3, 528.1, 795.1" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.3, 74.5, 152.7, 333.9, 659.9, 1355.5", \ + " 46.3, 74.6, 152.7, 333.9, 659.9, 1355.5", \ + " 46.4, 74.6, 152.7, 333.9, 659.9, 1355.5", \ + " 46.9, 75.0, 152.8, 333.9, 659.9, 1355.5", \ + " 49.4, 76.7, 153.6, 334.1, 660.0, 1355.5", \ + " 53.8, 80.5, 155.8, 335.0, 660.2, 1355.5", \ + " 59.4, 85.6, 159.3, 336.7, 660.9, 1355.6", \ + " 66.3, 92.1, 164.0, 339.1, 661.9, 1356.0", \ + " 75.7, 101.2, 171.0, 342.9, 663.6, 1356.5", \ + " 88.8, 113.9, 181.7, 349.2, 666.7, 1357.5" ); }} +timing() { /* ring osc delay aon21bv0x3, path a2 to z 83.2 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.1 ; */ +/* intrinsic_fall : 87.5 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.8, 87.0, 129.8, 224.1, 391.2, 745.9", \ + " 75.8, 93.0, 135.8, 230.2, 397.3, 751.9", \ + " 80.3, 97.4, 140.3, 234.7, 401.8, 756.5", \ + " 85.4, 102.5, 145.3, 239.7, 406.8, 761.5", \ + " 91.5, 108.9, 151.7, 246.0, 413.1, 767.8", \ + " 97.2, 114.5, 157.7, 252.0, 419.0, 773.7", \ + " 102.4, 119.9, 162.9, 257.6, 424.5, 779.2", \ + " 106.3, 124.1, 167.2, 261.7, 429.0, 783.5", \ + " 107.0, 125.5, 169.3, 264.0, 431.3, 786.0", \ + " 101.6, 121.1, 166.3, 262.3, 430.1, 785.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.7, 111.7, 223.6, 479.8, 938.2, 1913.3", \ + " 70.8, 111.7, 223.6, 479.8, 938.2, 1913.3", \ + " 71.2, 112.0, 223.7, 479.8, 938.2, 1913.3", \ + " 72.6, 113.0, 224.1, 479.9, 938.2, 1913.3", \ + " 75.3, 115.3, 225.6, 480.5, 938.3, 1913.3", \ + " 78.2, 118.2, 227.6, 481.7, 938.8, 1913.3", \ + " 82.6, 121.7, 230.4, 483.1, 939.8, 1913.7", \ + " 89.4, 127.7, 234.5, 485.7, 941.1, 1914.6", \ + " 99.2, 137.2, 242.1, 490.7, 943.9, 1915.9", \ + " 112.9, 151.0, 255.1, 500.3, 950.7, 1919.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.4, 80.4, 113.5, 184.6, 310.2, 577.0", \ + " 73.9, 87.9, 120.9, 192.1, 317.7, 584.6", \ + " 80.2, 94.1, 127.2, 198.4, 324.0, 590.9", \ + " 88.5, 102.4, 135.6, 206.8, 332.5, 599.3", \ + " 101.5, 115.8, 149.1, 220.3, 346.0, 612.8", \ + " 117.0, 131.8, 165.6, 236.9, 362.6, 629.5", \ + " 137.0, 152.5, 186.8, 258.5, 384.1, 651.0", \ + " 162.8, 179.1, 214.4, 286.2, 412.0, 678.8", \ + " 197.0, 214.7, 251.2, 323.7, 449.5, 716.3", \ + " 243.2, 262.5, 301.2, 375.0, 500.9, 767.8" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.0, 72.7, 151.6, 333.3, 659.6, 1355.4", \ + " 44.1, 72.8, 151.6, 333.3, 659.6, 1355.4", \ + " 44.2, 72.9, 151.6, 333.3, 659.6, 1355.4", \ + " 45.0, 73.3, 151.8, 333.4, 659.6, 1355.4", \ + " 48.0, 75.5, 152.9, 333.7, 659.7, 1355.4", \ + " 52.0, 79.2, 155.1, 334.7, 660.0, 1355.4", \ + " 57.3, 83.8, 158.3, 336.3, 660.7, 1355.5", \ + " 64.3, 90.2, 162.8, 338.7, 661.7, 1355.8", \ + " 74.1, 99.5, 170.0, 342.6, 663.5, 1356.3", \ + " 87.8, 112.9, 181.6, 349.7, 667.3, 1357.7" ); }} +timing() { /* ring osc delay aon21bv0x3, path b to z 35.2 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.7 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 41.3, 83.8, 178.0, 345.0, 699.7", \ + " 32.6, 48.7, 91.2, 185.7, 352.9, 707.7", \ + " 38.3, 54.9, 97.1, 191.6, 358.8, 713.6", \ + " 44.6, 63.7, 105.4, 199.6, 366.8, 721.6", \ + " 54.0, 76.5, 120.5, 214.0, 381.0, 735.7", \ + " 65.8, 91.7, 142.6, 235.3, 401.6, 756.0", \ + " 81.7, 111.4, 170.9, 268.3, 433.3, 786.9", \ + " 102.7, 137.1, 205.4, 317.6, 481.1, 833.0", \ + " 131.3, 171.4, 250.1, 381.0, 554.8, 903.7", \ + " 170.9, 218.1, 309.6, 460.9, 664.4, 1013.4" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.6, 88.6, 203.2, 461.3, 920.0, 1894.9", \ + " 51.6, 91.0, 203.2, 461.3, 920.0, 1894.9", \ + " 58.6, 96.2, 204.9, 461.3, 920.0, 1894.9", \ + " 69.0, 104.6, 209.7, 461.4, 920.0, 1894.9", \ + " 86.3, 121.4, 221.7, 466.0, 920.0, 1894.9", \ + " 106.0, 147.8, 242.7, 478.8, 922.6, 1894.9", \ + " 133.3, 181.0, 278.5, 504.9, 936.4, 1894.9", \ + " 171.0, 224.4, 335.0, 550.9, 968.2, 1904.5", \ + " 224.5, 284.1, 410.4, 629.1, 1029.2, 1939.4", \ + " 301.5, 368.9, 511.1, 755.9, 1138.2, 2016.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 32.3, 64.0, 134.8, 260.4, 527.2", \ + " 25.8, 38.5, 70.3, 141.2, 266.8, 533.6", \ + " 28.0, 43.3, 75.1, 146.0, 271.7, 538.5", \ + " 29.8, 47.7, 81.7, 152.5, 278.2, 545.0", \ + " 31.2, 52.6, 93.1, 164.1, 289.6, 556.4", \ + " 31.3, 56.5, 104.8, 180.8, 306.1, 572.7", \ + " 29.2, 58.9, 116.0, 205.4, 331.2, 597.5", \ + " 23.3, 58.3, 125.5, 231.9, 368.6, 634.1", \ + " 11.5, 52.7, 131.8, 257.7, 420.1, 689.8", \ + " -10.1, 38.3, 131.7, 280.5, 474.2, 775.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.0, 64.8, 146.8, 331.1, 658.7, 1354.8", \ + " 41.8, 69.2, 147.5, 331.1, 658.7, 1354.8", \ + " 50.1, 75.8, 151.1, 331.1, 658.7, 1354.8", \ + " 59.1, 85.9, 158.1, 333.4, 658.7, 1354.8", \ + " 72.4, 104.4, 173.8, 342.3, 659.9, 1354.8", \ + " 90.9, 125.7, 199.3, 360.9, 668.7, 1354.8", \ + " 117.4, 155.7, 238.2, 395.3, 691.5, 1360.2", \ + " 154.3, 197.3, 287.9, 451.4, 736.2, 1383.7", \ + " 206.3, 256.0, 356.3, 536.9, 815.5, 1438.2", \ + " 281.2, 339.1, 453.1, 652.5, 944.8, 1544.8" ); }} +timing() { /* ring osc delay aon21bv0x3, path b to z 35.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.7 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 41.2, 83.7, 178.0, 345.0, 699.7", \ + " 32.6, 48.6, 91.2, 185.7, 352.9, 707.7", \ + " 38.3, 54.9, 97.1, 191.6, 358.8, 713.6", \ + " 44.5, 63.7, 105.4, 199.6, 366.8, 721.6", \ + " 53.9, 76.4, 120.5, 214.0, 381.0, 735.7", \ + " 65.8, 91.7, 142.6, 235.3, 401.6, 756.0", \ + " 81.7, 111.4, 170.9, 268.3, 433.3, 786.9", \ + " 102.7, 137.1, 205.4, 317.6, 481.1, 833.0", \ + " 131.2, 171.4, 250.1, 381.0, 554.8, 903.7", \ + " 170.9, 218.1, 309.6, 460.9, 664.4, 1013.4" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 88.5, 203.2, 461.3, 920.0, 1894.9", \ + " 51.5, 91.0, 203.2, 461.3, 920.0, 1894.9", \ + " 58.5, 96.2, 204.9, 461.3, 920.0, 1894.9", \ + " 69.0, 104.6, 209.7, 461.4, 920.0, 1894.9", \ + " 86.3, 121.4, 221.7, 466.0, 920.0, 1894.9", \ + " 106.0, 147.8, 242.7, 478.9, 922.6, 1894.9", \ + " 133.3, 181.0, 278.5, 504.9, 936.4, 1894.9", \ + " 171.0, 224.4, 335.0, 550.9, 968.2, 1904.5", \ + " 224.5, 284.1, 410.4, 629.1, 1029.2, 1939.4", \ + " 301.5, 368.9, 511.1, 755.9, 1138.2, 2016.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 32.2, 63.9, 134.7, 260.3, 527.2", \ + " 25.7, 38.4, 70.2, 141.1, 266.8, 533.7", \ + " 28.0, 43.2, 75.1, 146.0, 271.7, 538.5", \ + " 29.7, 47.7, 81.7, 152.5, 278.2, 545.0", \ + " 31.2, 52.6, 93.1, 164.1, 289.7, 556.5", \ + " 31.3, 56.5, 104.8, 180.8, 306.2, 572.8", \ + " 29.1, 58.9, 116.0, 205.5, 331.3, 597.6", \ + " 23.3, 58.3, 125.5, 232.0, 368.6, 634.2", \ + " 11.5, 52.7, 131.8, 257.8, 420.1, 689.9", \ + " -10.1, 38.3, 131.7, 280.5, 474.2, 775.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 64.9, 147.1, 331.5, 659.2, 1355.3", \ + " 41.7, 69.3, 147.8, 331.5, 659.2, 1355.3", \ + " 50.1, 75.9, 151.3, 331.4, 659.2, 1355.3", \ + " 59.2, 86.0, 158.4, 333.8, 659.1, 1355.3", \ + " 72.5, 104.5, 174.1, 342.7, 660.3, 1355.3", \ + " 90.9, 125.8, 199.5, 361.2, 669.2, 1355.3", \ + " 117.5, 155.8, 238.4, 395.6, 691.9, 1360.7", \ + " 154.3, 197.4, 288.0, 451.6, 736.5, 1384.1", \ + " 206.4, 256.1, 356.5, 537.1, 815.7, 1438.6", \ + " 281.3, 339.2, 453.2, 652.6, 945.0, 1545.1" ); }} +timing() { /* ring osc delay aon21bv0x3, path b to z 35.2 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.7 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 41.2, 83.7, 178.0, 345.0, 699.7", \ + " 32.6, 48.6, 91.2, 185.7, 352.9, 707.7", \ + " 38.3, 54.9, 97.1, 191.6, 358.8, 713.6", \ + " 44.5, 63.7, 105.4, 199.6, 366.8, 721.6", \ + " 53.9, 76.4, 120.5, 214.0, 381.0, 735.7", \ + " 65.8, 91.7, 142.6, 235.3, 401.6, 756.0", \ + " 81.7, 111.4, 170.9, 268.3, 433.3, 786.9", \ + " 102.7, 137.1, 205.4, 317.6, 481.1, 833.0", \ + " 131.2, 171.4, 250.1, 381.0, 554.8, 903.7", \ + " 170.9, 218.1, 309.6, 460.9, 664.4, 1013.4" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 88.5, 203.2, 461.3, 920.0, 1894.9", \ + " 51.5, 91.0, 203.2, 461.3, 920.0, 1894.9", \ + " 58.5, 96.2, 204.9, 461.3, 920.0, 1894.9", \ + " 69.0, 104.6, 209.7, 461.4, 920.0, 1894.9", \ + " 86.3, 121.4, 221.7, 466.0, 920.0, 1894.9", \ + " 106.0, 147.8, 242.7, 478.9, 922.6, 1894.9", \ + " 133.3, 181.0, 278.5, 504.9, 936.4, 1894.9", \ + " 171.0, 224.4, 335.0, 550.9, 968.2, 1904.5", \ + " 224.5, 284.1, 410.4, 629.1, 1029.2, 1939.4", \ + " 301.5, 368.9, 511.1, 755.9, 1138.2, 2016.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 32.2, 63.9, 134.7, 260.3, 527.2", \ + " 25.7, 38.4, 70.2, 141.1, 266.8, 533.7", \ + " 28.0, 43.2, 75.1, 146.0, 271.7, 538.5", \ + " 29.7, 47.7, 81.7, 152.5, 278.2, 545.0", \ + " 31.2, 52.6, 93.1, 164.1, 289.7, 556.5", \ + " 31.3, 56.5, 104.8, 180.8, 306.2, 572.8", \ + " 29.1, 58.9, 116.0, 205.5, 331.3, 597.6", \ + " 23.3, 58.3, 125.5, 232.0, 368.6, 634.2", \ + " 11.5, 52.7, 131.8, 257.8, 420.1, 689.9", \ + " -10.1, 38.3, 131.7, 280.5, 474.2, 775.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 64.9, 147.1, 331.5, 659.2, 1355.3", \ + " 41.7, 69.3, 147.8, 331.5, 659.2, 1355.3", \ + " 50.1, 75.9, 151.4, 331.4, 659.2, 1355.3", \ + " 59.2, 86.0, 158.4, 333.8, 659.1, 1355.3", \ + " 72.5, 104.5, 174.1, 342.7, 660.3, 1355.3", \ + " 90.9, 125.8, 199.5, 361.2, 669.2, 1355.3", \ + " 117.5, 155.8, 238.4, 395.6, 691.9, 1360.7", \ + " 154.3, 197.4, 288.0, 451.6, 736.5, 1384.1", \ + " 206.4, 256.1, 356.5, 537.1, 815.7, 1438.6", \ + " 281.3, 339.2, 453.2, 652.6, 945.0, 1545.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 42.7 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 41.2, 83.7, 178.0, 345.0, 699.7", \ + " 32.6, 48.6, 91.2, 185.7, 352.9, 707.7", \ + " 38.3, 54.9, 97.1, 191.6, 358.8, 713.6", \ + " 44.5, 63.7, 105.4, 199.6, 366.8, 721.6", \ + " 53.9, 76.4, 120.5, 214.0, 381.0, 735.7", \ + " 65.8, 91.7, 142.6, 235.3, 401.6, 756.0", \ + " 81.7, 111.4, 170.9, 268.3, 433.3, 786.9", \ + " 102.7, 137.1, 205.4, 317.6, 481.1, 833.0", \ + " 131.2, 171.4, 250.1, 381.0, 554.8, 903.7", \ + " 170.9, 218.1, 309.6, 460.9, 664.4, 1013.4" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 88.5, 203.2, 461.3, 920.0, 1894.9", \ + " 51.5, 91.0, 203.2, 461.3, 920.0, 1894.9", \ + " 58.5, 96.2, 204.9, 461.3, 920.0, 1894.9", \ + " 69.0, 104.6, 209.7, 461.4, 920.0, 1894.9", \ + " 86.3, 121.4, 221.7, 466.0, 920.0, 1894.9", \ + " 106.0, 147.8, 242.7, 478.9, 922.6, 1894.9", \ + " 133.3, 181.0, 278.5, 504.9, 936.4, 1894.9", \ + " 171.0, 224.4, 335.0, 550.9, 968.2, 1904.5", \ + " 224.5, 284.1, 410.4, 629.1, 1029.2, 1939.4", \ + " 301.5, 368.9, 511.1, 755.9, 1138.2, 2016.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 32.2, 63.9, 134.7, 260.3, 527.2", \ + " 25.7, 38.4, 70.2, 141.1, 266.8, 533.7", \ + " 28.0, 43.2, 75.1, 146.0, 271.7, 538.5", \ + " 29.7, 47.7, 81.7, 152.5, 278.2, 545.0", \ + " 31.2, 52.6, 93.1, 164.1, 289.7, 556.5", \ + " 31.3, 56.5, 104.8, 180.8, 306.2, 572.8", \ + " 29.1, 58.9, 116.0, 205.5, 331.3, 597.6", \ + " 23.3, 58.3, 125.5, 232.0, 368.6, 634.2", \ + " 11.5, 52.7, 131.8, 257.8, 420.1, 689.9", \ + " -10.1, 38.3, 131.7, 280.5, 474.2, 775.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 33.8, 64.9, 147.1, 331.5, 659.2, 1355.3", \ + " 41.7, 69.3, 147.8, 331.5, 659.2, 1355.3", \ + " 50.1, 75.9, 151.3, 331.4, 659.2, 1355.3", \ + " 59.2, 86.0, 158.4, 333.8, 659.1, 1355.3", \ + " 72.5, 104.5, 174.1, 342.7, 660.3, 1355.3", \ + " 90.9, 125.8, 199.5, 361.2, 669.2, 1355.3", \ + " 117.5, 155.8, 238.4, 395.6, 691.9, 1360.7", \ + " 154.3, 197.4, 288.0, 451.6, 736.5, 1384.1", \ + " 206.4, 256.1, 356.5, 537.1, 815.7, 1438.6", \ + " 281.3, 339.2, 453.2, 652.6, 945.0, 1545.1" ); }} +} +} +cell(aon21bv0x4) { /* 2008-01-06:07h29 characteristic delay 10.2 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 2610 ; /* aon21bv0x4 */ +cell_footprint : aon21b ; +pin(a1) { /* aon21bv0x4 FO4 effort 1.73 */ +direction : input ; +capacitance : 7.28 ; +rise_capacitance : 7.54 ; +fall_capacitance : 7.02 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of aon21bv0x4 */ +} +pin(a2) { /* aon21bv0x4 FO4 effort 1.66 */ +direction : input ; +capacitance : 7.05 ; +rise_capacitance : 7.15 ; +fall_capacitance : 6.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of aon21bv0x4 */ +} +pin(b) { /* aon21bv0x4 FO4 effort 1.12 logical effort 1.19 */ +direction : input ; +capacitance : 9.48 ; +rise_capacitance : 9.44 ; +fall_capacitance : 9.51 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of aon21bv0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 381 ; +max_fanout : 10 ; +function : "((a1*a2)+b')" ; +internal_power(a1_z_p) { /* aon21bv0x4 53.49 nW/MHz */ +related_pin : "a1" ; +power(pwr_x4_520_5x10) { +values( " 26.51, 26.77, 27.06, 27.21, 27.22", \ + " 26.16, 26.41, 26.70, 26.87, 26.89", \ + " 26.13, 26.35, 26.65, 26.83, 26.86", \ + " 26.27, 26.45, 26.74, 26.94, 26.99", \ + " 26.82, 26.94, 27.18, 27.39, 27.45", \ + " 28.02, 28.02, 28.19, 28.38, 28.46", \ + " 30.25, 30.11, 30.14, 30.28, 30.36", \ + " 34.05, 33.71, 33.55, 33.59, 33.63", \ + " 40.33, 39.72, 39.29, 39.15, 39.12", \ + " 50.46, 49.48, 48.65, 48.21, 48.05" ); }} +internal_power(a2_z_p) { /* aon21bv0x4 49.28 nW/MHz */ +related_pin : "a2" ; +power(pwr_x4_520_5x10) { +values( " 24.30, 24.58, 24.86, 25.00, 25.00", \ + " 23.96, 24.22, 24.52, 24.67, 24.70", \ + " 23.96, 24.20, 24.49, 24.67, 24.71", \ + " 24.17, 24.36, 24.64, 24.83, 24.89", \ + " 24.84, 24.95, 25.18, 25.38, 25.45", \ + " 26.14, 26.13, 26.28, 26.46, 26.54", \ + " 28.43, 28.28, 28.30, 28.42, 28.50", \ + " 32.22, 31.88, 31.71, 31.73, 31.77", \ + " 38.41, 37.79, 37.35, 37.18, 37.15", \ + " 48.34, 47.34, 46.49, 46.04, 45.86" ); }} +internal_power(b_z_n) { /* aon21bv0x4 16.22 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 7.73, 8.15, 8.48, 8.61, 8.63", \ + " 7.39, 7.71, 8.14, 8.42, 8.52", \ + " 7.59, 7.72, 8.06, 8.35, 8.48", \ + " 8.08, 7.98, 8.11, 8.33, 8.45", \ + " 9.26, 8.80, 8.50, 8.48, 8.51", \ + " 11.31, 10.38, 9.49, 8.99, 8.78", \ + " 14.80, 13.26, 11.51, 10.21, 9.50", \ + " 20.32, 18.10, 15.17, 12.69, 11.09", \ + " 29.03, 26.06, 21.62, 17.38, 14.37", \ + " 42.64, 38.90, 32.66, 25.91, 20.71" ); }} +timing() { /* ring osc delay aon21bv0x4, path a1 to z 87.5 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.5 ; */ +/* intrinsic_fall : 96.1 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.3, 89.7, 130.2, 219.4, 377.2, 712.1", \ + " 77.8, 94.1, 134.7, 223.9, 381.7, 716.7", \ + " 80.8, 97.1, 137.7, 226.9, 384.7, 719.7", \ + " 84.5, 100.8, 141.3, 230.5, 388.3, 723.4", \ + " 89.2, 105.7, 146.3, 235.5, 393.3, 728.3", \ + " 93.4, 110.0, 150.9, 240.1, 397.9, 732.9", \ + " 96.7, 113.6, 154.5, 243.9, 401.6, 736.5", \ + " 97.6, 115.0, 156.4, 245.7, 403.6, 738.4", \ + " 94.1, 112.3, 154.7, 244.6, 402.5, 737.4", \ + " 82.4, 101.6, 145.6, 237.5, 396.4, 731.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.9, 107.5, 213.1, 454.8, 887.7, 1808.5", \ + " 69.0, 107.6, 213.1, 454.8, 887.7, 1808.5", \ + " 69.3, 107.8, 213.2, 454.9, 887.7, 1808.5", \ + " 70.4, 108.6, 213.5, 454.9, 887.7, 1808.5", \ + " 73.0, 110.8, 214.9, 455.4, 887.7, 1808.5", \ + " 76.0, 113.7, 216.8, 456.4, 888.2, 1808.6", \ + " 80.5, 117.6, 219.9, 458.0, 888.9, 1808.9", \ + " 87.3, 124.0, 224.6, 460.9, 890.2, 1809.5", \ + " 96.8, 133.6, 233.1, 466.7, 893.7, 1810.9", \ + " 109.8, 147.1, 246.6, 477.9, 901.7, 1815.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 87.4, 118.8, 185.4, 302.7, 551.8", \ + " 81.3, 94.9, 126.3, 193.0, 310.3, 559.4", \ + " 87.6, 101.2, 132.6, 199.3, 316.7, 565.8", \ + " 96.3, 109.9, 141.3, 208.0, 325.4, 574.5", \ + " 110.7, 124.4, 155.9, 222.7, 340.1, 589.2", \ + " 128.2, 142.6, 174.6, 241.3, 358.7, 607.9", \ + " 150.6, 165.9, 198.6, 265.7, 383.0, 632.1", \ + " 179.7, 195.9, 229.6, 297.0, 414.4, 663.5", \ + " 218.9, 236.3, 271.4, 339.3, 456.8, 705.9", \ + " 272.6, 291.6, 328.8, 397.9, 515.5, 764.6" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.2, 71.5, 144.0, 312.6, 616.6, 1265.6", \ + " 45.2, 71.5, 144.0, 312.6, 616.6, 1265.6", \ + " 45.4, 71.6, 144.0, 312.6, 616.6, 1265.6", \ + " 45.8, 71.9, 144.1, 312.7, 616.6, 1265.6", \ + " 48.2, 73.6, 144.9, 312.9, 616.7, 1265.6", \ + " 52.9, 77.7, 147.3, 313.9, 616.9, 1265.7", \ + " 58.6, 83.0, 151.2, 315.7, 617.7, 1265.8", \ + " 65.7, 89.7, 156.2, 318.4, 618.9, 1266.2", \ + " 75.2, 98.9, 163.5, 322.5, 620.7, 1266.8", \ + " 88.5, 111.9, 174.7, 329.3, 624.2, 1267.9" ); }} +timing() { /* ring osc delay aon21bv0x4, path a2 to z 82.9 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.9 ; */ +/* intrinsic_fall : 87.9 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.92 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.2, 85.6, 126.2, 215.3, 373.1, 708.1", \ + " 75.2, 91.6, 132.1, 221.3, 379.2, 714.2", \ + " 79.8, 96.1, 136.6, 225.8, 383.7, 718.7", \ + " 85.0, 101.2, 141.7, 230.9, 388.7, 723.8", \ + " 91.2, 107.7, 148.2, 237.3, 395.1, 730.2", \ + " 96.9, 113.4, 154.2, 243.4, 401.1, 736.1", \ + " 102.2, 118.8, 159.5, 249.0, 406.6, 741.6", \ + " 106.1, 123.0, 163.8, 253.0, 411.1, 745.9", \ + " 106.7, 124.3, 165.8, 255.2, 413.2, 748.2", \ + " 101.1, 119.8, 162.6, 253.3, 411.8, 747.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.9, 107.5, 213.1, 454.8, 887.7, 1808.5", \ + " 69.0, 107.6, 213.1, 454.8, 887.7, 1808.5", \ + " 69.4, 107.9, 213.2, 454.9, 887.7, 1808.5", \ + " 70.8, 108.9, 213.6, 455.0, 887.7, 1808.5", \ + " 73.6, 111.3, 215.2, 455.6, 887.7, 1808.5", \ + " 76.5, 114.3, 217.3, 456.8, 888.4, 1808.6", \ + " 81.0, 117.8, 220.2, 458.4, 889.3, 1809.1", \ + " 87.8, 123.9, 224.3, 461.0, 890.7, 1810.0", \ + " 97.7, 133.5, 232.2, 466.1, 893.7, 1811.3", \ + " 111.6, 147.5, 245.2, 476.0, 900.7, 1815.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.4, 79.7, 110.8, 177.3, 294.6, 543.6", \ + " 73.9, 87.1, 118.3, 184.8, 302.1, 551.1", \ + " 80.1, 93.4, 124.5, 191.0, 308.4, 557.4", \ + " 88.5, 101.8, 132.9, 199.5, 316.8, 565.9", \ + " 101.7, 115.3, 146.6, 213.2, 330.6, 579.6", \ + " 117.4, 131.6, 163.4, 230.1, 347.5, 596.5", \ + " 137.7, 152.5, 185.0, 252.1, 369.4, 618.4", \ + " 163.9, 179.6, 213.0, 280.3, 397.8, 646.8", \ + " 198.6, 215.6, 250.4, 318.4, 435.8, 684.9", \ + " 245.6, 264.1, 301.1, 370.6, 488.2, 737.3" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.8, 69.5, 142.7, 312.0, 616.3, 1265.5", \ + " 42.8, 69.5, 142.7, 312.0, 616.3, 1265.5", \ + " 43.1, 69.6, 142.8, 312.0, 616.3, 1265.5", \ + " 43.7, 70.1, 143.0, 312.0, 616.3, 1265.5", \ + " 46.9, 72.4, 144.1, 312.4, 616.4, 1265.5", \ + " 51.1, 76.2, 146.5, 313.5, 616.7, 1265.5", \ + " 56.5, 81.1, 150.0, 315.3, 617.5, 1265.6", \ + " 63.6, 87.7, 154.8, 317.9, 618.6, 1266.0", \ + " 73.6, 97.2, 162.4, 322.1, 620.7, 1266.6", \ + " 87.6, 110.7, 174.4, 329.8, 624.8, 1268.1" ); }} +timing() { /* ring osc delay aon21bv0x4, path b to z 34.1 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 39.5, 79.7, 168.8, 326.5, 661.5", \ + " 31.7, 46.9, 87.1, 176.4, 334.4, 669.5", \ + " 37.3, 53.2, 93.1, 182.3, 340.3, 675.4", \ + " 43.4, 61.9, 101.3, 190.4, 348.3, 683.4", \ + " 52.7, 74.3, 116.5, 204.8, 362.5, 697.5", \ + " 64.4, 89.3, 138.4, 226.2, 383.2, 717.8", \ + " 80.2, 108.7, 166.0, 259.3, 415.0, 748.8", \ + " 101.0, 134.1, 199.8, 308.1, 463.0, 795.0", \ + " 129.4, 168.1, 243.8, 369.9, 536.9, 865.9", \ + " 169.0, 214.5, 302.6, 448.1, 644.7, 976.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.4, 84.0, 192.3, 436.0, 869.2, 1789.9", \ + " 49.6, 86.7, 192.3, 436.0, 869.2, 1789.9", \ + " 56.8, 92.0, 194.2, 436.0, 869.2, 1789.9", \ + " 67.3, 100.6, 199.4, 436.3, 869.2, 1789.9", \ + " 84.0, 117.6, 211.7, 441.5, 869.2, 1789.9", \ + " 103.4, 143.7, 233.1, 455.0, 872.6, 1789.9", \ + " 130.3, 176.2, 269.3, 481.8, 887.7, 1790.2", \ + " 167.5, 218.7, 325.4, 528.7, 920.8, 1801.9", \ + " 220.5, 277.7, 399.0, 607.7, 983.3, 1839.2", \ + " 296.9, 361.4, 497.9, 734.0, 1094.1, 1919.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.3, 30.7, 60.3, 126.4, 243.6, 492.6", \ + " 24.7, 36.9, 66.6, 132.7, 250.0, 499.1", \ + " 26.8, 41.5, 71.4, 137.6, 254.9, 503.9", \ + " 28.4, 45.6, 78.0, 144.1, 261.4, 510.4", \ + " 29.5, 50.1, 89.0, 155.7, 272.8, 521.8", \ + " 29.3, 53.5, 99.9, 172.5, 289.4, 538.2", \ + " 26.7, 55.3, 110.2, 196.3, 314.5, 563.0", \ + " 20.4, 54.0, 118.6, 221.0, 351.9, 599.7", \ + " 7.9, 47.4, 123.5, 244.6, 400.9, 655.4", \ + " -14.6, 31.9, 121.8, 264.8, 451.2, 740.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 32.0, 60.9, 137.4, 309.4, 615.2, 1264.9", \ + " 40.2, 65.6, 138.3, 309.4, 615.2, 1264.9", \ + " 48.7, 72.4, 142.2, 309.5, 615.2, 1264.9", \ + " 57.1, 82.6, 149.6, 312.3, 615.1, 1264.9", \ + " 70.2, 100.6, 165.7, 321.9, 617.0, 1264.9", \ + " 88.5, 121.6, 191.5, 341.3, 627.0, 1264.9", \ + " 114.8, 151.3, 229.6, 376.6, 651.1, 1272.2", \ + " 151.2, 192.4, 278.5, 433.3, 697.4, 1298.0", \ + " 202.8, 250.4, 346.1, 517.6, 778.2, 1355.5", \ + " 277.0, 332.6, 441.7, 631.2, 908.6, 1465.6" ); }} +timing() { /* ring osc delay aon21bv0x4, path b to z 34.1 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 39.5, 79.7, 168.8, 326.5, 661.5", \ + " 31.7, 46.9, 87.1, 176.4, 334.4, 669.5", \ + " 37.3, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.4, 61.8, 101.3, 190.3, 348.3, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.5, 697.5", \ + " 64.4, 89.2, 138.4, 226.2, 383.2, 717.8", \ + " 80.1, 108.7, 166.0, 259.3, 415.0, 748.8", \ + " 101.0, 134.0, 199.8, 308.1, 462.9, 795.0", \ + " 129.4, 168.1, 243.8, 369.9, 536.9, 865.9", \ + " 168.9, 214.4, 302.6, 448.1, 644.7, 976.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 83.9, 192.3, 436.0, 869.2, 1789.9", \ + " 49.6, 86.7, 192.3, 436.0, 869.2, 1789.9", \ + " 56.7, 92.0, 194.2, 436.0, 869.2, 1789.9", \ + " 67.3, 100.6, 199.4, 436.3, 869.2, 1789.9", \ + " 84.0, 117.6, 211.7, 441.5, 869.2, 1789.9", \ + " 103.3, 143.7, 233.1, 455.0, 872.7, 1789.9", \ + " 130.2, 176.2, 269.3, 481.8, 887.7, 1790.2", \ + " 167.5, 218.7, 325.4, 528.7, 920.8, 1801.9", \ + " 220.5, 277.7, 399.0, 607.7, 983.3, 1839.2", \ + " 296.9, 361.5, 497.9, 734.0, 1094.1, 1919.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.3, 30.6, 60.2, 126.3, 243.6, 492.6", \ + " 24.7, 36.8, 66.5, 132.7, 250.1, 499.1", \ + " 26.7, 41.4, 71.4, 137.6, 254.9, 504.0", \ + " 28.3, 45.6, 78.0, 144.1, 261.4, 510.5", \ + " 29.5, 50.1, 89.0, 155.7, 272.9, 521.9", \ + " 29.2, 53.5, 99.9, 172.5, 289.4, 538.3", \ + " 26.7, 55.3, 110.2, 196.3, 314.6, 563.0", \ + " 20.4, 54.0, 118.6, 221.0, 351.9, 599.7", \ + " 7.9, 47.5, 123.6, 244.7, 400.9, 655.5", \ + " -14.6, 31.9, 121.8, 264.8, 451.2, 740.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.9, 60.9, 137.7, 309.8, 615.7, 1265.4", \ + " 40.1, 65.7, 138.6, 309.8, 615.7, 1265.4", \ + " 48.8, 72.4, 142.5, 309.9, 615.7, 1265.4", \ + " 57.1, 82.7, 149.9, 312.7, 615.6, 1265.4", \ + " 70.3, 100.7, 166.0, 322.3, 617.4, 1265.4", \ + " 88.6, 121.7, 191.7, 341.6, 627.4, 1265.4", \ + " 114.8, 151.4, 229.8, 376.9, 651.6, 1272.7", \ + " 151.3, 192.5, 278.6, 433.5, 697.8, 1298.5", \ + " 202.9, 250.5, 346.2, 517.8, 778.5, 1355.9", \ + " 277.2, 332.7, 441.9, 631.4, 908.8, 1466.0" ); }} +timing() { /* ring osc delay aon21bv0x4, path b to z 34.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 39.5, 79.7, 168.8, 326.5, 661.5", \ + " 31.7, 46.9, 87.1, 176.4, 334.4, 669.5", \ + " 37.3, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.4, 61.8, 101.3, 190.3, 348.3, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.5, 697.5", \ + " 64.4, 89.2, 138.4, 226.2, 383.2, 717.8", \ + " 80.1, 108.7, 166.0, 259.3, 415.0, 748.8", \ + " 101.0, 134.0, 199.8, 308.1, 462.9, 795.0", \ + " 129.4, 168.1, 243.8, 369.9, 536.9, 865.9", \ + " 168.9, 214.4, 302.6, 448.1, 644.7, 976.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 83.9, 192.3, 436.0, 869.2, 1789.9", \ + " 49.6, 86.7, 192.3, 436.0, 869.2, 1789.9", \ + " 56.7, 92.0, 194.2, 436.0, 869.2, 1789.9", \ + " 67.3, 100.6, 199.4, 436.3, 869.2, 1789.9", \ + " 84.0, 117.6, 211.7, 441.5, 869.2, 1789.9", \ + " 103.3, 143.7, 233.1, 455.0, 872.7, 1789.9", \ + " 130.2, 176.2, 269.3, 481.8, 887.7, 1790.2", \ + " 167.5, 218.7, 325.4, 528.7, 920.8, 1801.9", \ + " 220.5, 277.7, 399.0, 607.7, 983.3, 1839.2", \ + " 296.9, 361.5, 497.9, 734.0, 1094.1, 1919.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.3, 30.6, 60.2, 126.3, 243.6, 492.6", \ + " 24.7, 36.8, 66.5, 132.7, 250.1, 499.1", \ + " 26.7, 41.4, 71.4, 137.6, 254.9, 504.0", \ + " 28.3, 45.6, 78.0, 144.1, 261.4, 510.5", \ + " 29.5, 50.1, 89.0, 155.7, 272.9, 521.9", \ + " 29.2, 53.5, 99.9, 172.5, 289.4, 538.3", \ + " 26.7, 55.3, 110.2, 196.3, 314.6, 563.0", \ + " 20.4, 54.0, 118.6, 221.0, 351.9, 599.7", \ + " 7.9, 47.5, 123.6, 244.7, 400.9, 655.5", \ + " -14.6, 31.9, 121.8, 264.8, 451.2, 740.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.9, 60.9, 137.7, 309.8, 615.7, 1265.4", \ + " 40.1, 65.7, 138.6, 309.8, 615.7, 1265.4", \ + " 48.8, 72.4, 142.5, 309.9, 615.7, 1265.4", \ + " 57.1, 82.7, 149.9, 312.7, 615.6, 1265.4", \ + " 70.3, 100.7, 166.0, 322.3, 617.4, 1265.4", \ + " 88.6, 121.7, 191.7, 341.6, 627.4, 1265.4", \ + " 114.8, 151.4, 229.8, 376.9, 651.6, 1272.7", \ + " 151.3, 192.5, 278.6, 433.5, 697.8, 1298.5", \ + " 202.9, 250.5, 346.2, 517.8, 778.5, 1355.9", \ + " 277.2, 332.7, 441.9, 631.4, 908.8, 1466.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 39.5, 79.7, 168.8, 326.5, 661.5", \ + " 31.7, 46.9, 87.1, 176.4, 334.4, 669.5", \ + " 37.3, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.4, 61.8, 101.3, 190.3, 348.3, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.5, 697.5", \ + " 64.4, 89.2, 138.4, 226.2, 383.2, 717.8", \ + " 80.1, 108.7, 166.0, 259.3, 415.0, 748.8", \ + " 101.0, 134.0, 199.8, 308.1, 462.9, 795.0", \ + " 129.4, 168.1, 243.8, 369.9, 536.9, 865.9", \ + " 168.9, 214.4, 302.6, 448.1, 644.7, 976.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 83.9, 192.3, 436.0, 869.2, 1789.9", \ + " 49.6, 86.7, 192.3, 436.0, 869.2, 1789.9", \ + " 56.7, 92.0, 194.2, 436.0, 869.2, 1789.9", \ + " 67.3, 100.6, 199.4, 436.3, 869.2, 1789.9", \ + " 84.0, 117.6, 211.7, 441.5, 869.2, 1789.9", \ + " 103.3, 143.7, 233.1, 455.0, 872.7, 1789.9", \ + " 130.2, 176.2, 269.3, 481.8, 887.7, 1790.2", \ + " 167.5, 218.7, 325.4, 528.7, 920.8, 1801.9", \ + " 220.5, 277.7, 399.0, 607.7, 983.3, 1839.2", \ + " 296.9, 361.5, 497.9, 734.0, 1094.1, 1919.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.3, 30.6, 60.2, 126.3, 243.6, 492.6", \ + " 24.7, 36.8, 66.5, 132.7, 250.1, 499.1", \ + " 26.7, 41.4, 71.4, 137.6, 254.9, 504.0", \ + " 28.3, 45.6, 78.0, 144.1, 261.4, 510.5", \ + " 29.5, 50.1, 89.0, 155.7, 272.9, 521.9", \ + " 29.2, 53.5, 99.9, 172.5, 289.4, 538.3", \ + " 26.7, 55.3, 110.2, 196.3, 314.6, 563.0", \ + " 20.4, 54.0, 118.6, 221.0, 351.9, 599.7", \ + " 7.9, 47.5, 123.6, 244.7, 400.9, 655.5", \ + " -14.6, 31.9, 121.8, 264.8, 451.2, 740.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.9, 60.9, 137.7, 309.8, 615.7, 1265.4", \ + " 40.1, 65.7, 138.6, 309.8, 615.7, 1265.4", \ + " 48.8, 72.4, 142.5, 309.9, 615.7, 1265.4", \ + " 57.1, 82.7, 149.9, 312.7, 615.6, 1265.4", \ + " 70.3, 100.7, 166.0, 322.3, 617.4, 1265.4", \ + " 88.6, 121.7, 191.7, 341.6, 627.4, 1265.4", \ + " 114.8, 151.4, 229.8, 376.9, 651.6, 1272.7", \ + " 151.3, 192.5, 278.6, 433.5, 697.8, 1298.5", \ + " 202.9, 250.5, 346.2, 517.8, 778.5, 1355.9", \ + " 277.2, 332.7, 441.9, 631.4, 908.8, 1466.0" ); }} +} +} +cell(bf1v0x05) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 404 ; /* bf1v0x05 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x05 FO4 effort 1.49 */ +direction : input ; +capacitance : 2.24 ; +rise_capacitance : 2.29 ; +fall_capacitance : 2.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x05 9.69 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 4.71, 4.79, 4.85, 4.87, 4.87", \ + " 4.61, 4.68, 4.75, 4.78, 4.79", \ + " 4.63, 4.69, 4.77, 4.81, 4.82", \ + " 4.73, 4.77, 4.85, 4.89, 4.91", \ + " 4.98, 5.00, 5.06, 5.11, 5.13", \ + " 5.43, 5.42, 5.46, 5.51, 5.53", \ + " 6.19, 6.15, 6.16, 6.20, 6.22", \ + " 7.41, 7.34, 7.31, 7.32, 7.34", \ + " 9.37, 9.23, 9.14, 9.13, 9.13", \ + " 12.45, 12.23, 12.07, 11.99, 11.97" ); }} +timing() { /* ring osc delay bf1v0x05, path a to z 60.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 76.7 ; */ +/* rise_resistance : 4.94 ; */ +/* fall_resistance : 3.83 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 55.0, 90.0, 167.8, 305.7, 598.8", \ + " 48.0, 61.7, 96.8, 174.6, 312.6, 605.7", \ + " 52.1, 65.7, 100.8, 178.7, 316.7, 609.8", \ + " 55.7, 69.6, 104.6, 182.5, 320.6, 613.7", \ + " 59.8, 73.8, 109.0, 186.8, 324.9, 618.0", \ + " 63.0, 77.3, 112.3, 190.3, 328.3, 621.4", \ + " 64.7, 79.6, 114.7, 192.6, 330.7, 623.8", \ + " 63.5, 79.2, 115.0, 192.9, 331.1, 624.1", \ + " 57.2, 74.2, 111.1, 189.8, 328.1, 621.2", \ + " 42.2, 60.9, 99.8, 180.0, 319.6, 612.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.2, 76.3, 169.9, 382.7, 761.8, 1567.4", \ + " 42.7, 76.5, 169.9, 382.7, 761.8, 1567.4", \ + " 44.1, 77.3, 170.1, 382.7, 761.8, 1567.4", \ + " 46.0, 78.7, 170.8, 382.8, 761.8, 1567.4", \ + " 48.7, 80.9, 171.9, 383.3, 761.9, 1567.4", \ + " 52.4, 83.7, 173.6, 384.0, 762.3, 1567.4", \ + " 57.8, 88.3, 176.3, 385.2, 762.8, 1567.8", \ + " 65.1, 95.1, 181.3, 387.8, 764.1, 1568.3", \ + " 74.9, 104.8, 189.7, 393.2, 767.3, 1569.8", \ + " 88.1, 118.6, 202.5, 403.6, 774.5, 1574.2" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 66.6, 95.0, 155.2, 261.3, 486.7", \ + " 61.6, 74.4, 102.8, 163.0, 269.2, 494.6", \ + " 68.0, 80.8, 109.3, 169.5, 275.7, 501.1", \ + " 76.2, 89.1, 117.6, 177.9, 284.1, 509.5", \ + " 88.4, 101.9, 130.9, 191.1, 297.3, 522.8", \ + " 103.0, 117.1, 147.0, 207.5, 313.7, 539.1", \ + " 122.2, 137.2, 167.8, 229.0, 335.2, 560.5", \ + " 147.5, 163.6, 195.4, 256.8, 363.5, 588.7", \ + " 181.6, 199.1, 232.9, 295.4, 402.1, 627.8", \ + " 228.3, 247.7, 284.6, 349.7, 457.1, 683.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.3, 59.4, 121.2, 264.1, 521.8, 1072.1", \ + " 36.5, 59.5, 121.3, 264.1, 521.8, 1072.1", \ + " 37.0, 59.9, 121.4, 264.1, 521.8, 1072.1", \ + " 38.8, 61.1, 121.9, 264.2, 521.8, 1072.1", \ + " 42.6, 64.5, 124.1, 265.0, 522.0, 1072.1", \ + " 46.4, 68.6, 127.3, 266.9, 522.7, 1072.1", \ + " 51.5, 73.6, 131.4, 269.4, 524.0, 1072.6", \ + " 58.2, 80.4, 137.0, 273.0, 525.9, 1073.6", \ + " 67.4, 90.3, 146.0, 278.9, 529.3, 1074.9", \ + " 80.0, 104.0, 160.0, 289.9, 536.4, 1078.4" ); }} +} +} +cell(bf1v0x1) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 554 ; /* bf1v0x1 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x1 FO4 effort 1.39 */ +direction : input ; +capacitance : 2.66 ; +rise_capacitance : 2.72 ; +fall_capacitance : 2.60 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x1 13.05 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 6.33, 6.45, 6.55, 6.58, 6.57", \ + " 6.20, 6.31, 6.42, 6.47, 6.47", \ + " 6.23, 6.32, 6.44, 6.50, 6.51", \ + " 6.35, 6.42, 6.52, 6.59, 6.61", \ + " 6.66, 6.69, 6.78, 6.85, 6.87", \ + " 7.21, 7.20, 7.26, 7.32, 7.35", \ + " 8.17, 8.09, 8.10, 8.16, 8.19", \ + " 9.70, 9.55, 9.50, 9.52, 9.54", \ + " 12.15, 11.90, 11.75, 11.72, 11.72", \ + " 16.03, 15.63, 15.35, 15.23, 15.20" ); }} +timing() { /* ring osc delay bf1v0x1, path a to z 57.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 55.8 ; */ +/* intrinsic_fall : 76.3 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 59.5, 99.5, 188.3, 346.0, 680.9", \ + " 50.6, 66.3, 106.4, 195.3, 353.0, 688.0", \ + " 55.1, 70.8, 110.8, 199.8, 357.5, 692.5", \ + " 59.5, 75.4, 115.3, 204.3, 362.0, 697.0", \ + " 64.5, 80.6, 120.6, 209.5, 367.3, 702.3", \ + " 69.0, 85.4, 125.3, 214.3, 372.0, 706.9", \ + " 72.4, 89.5, 129.5, 218.4, 376.1, 711.0", \ + " 73.7, 91.7, 132.2, 221.1, 378.8, 713.6", \ + " 71.0, 90.4, 132.1, 221.5, 379.2, 714.1", \ + " 61.2, 82.4, 126.1, 217.2, 375.8, 710.7" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 82.0, 188.8, 432.0, 865.2, 1785.9", \ + " 43.6, 82.2, 188.8, 432.0, 865.2, 1785.9", \ + " 44.9, 82.8, 189.0, 432.0, 865.2, 1785.9", \ + " 47.0, 84.2, 189.5, 432.0, 865.2, 1785.9", \ + " 50.0, 86.6, 190.6, 432.5, 865.2, 1785.9", \ + " 53.9, 89.5, 192.3, 433.1, 865.6, 1785.9", \ + " 59.5, 94.2, 195.1, 434.2, 866.1, 1786.2", \ + " 67.0, 101.2, 199.8, 436.6, 867.1, 1786.7", \ + " 77.3, 111.3, 208.2, 441.6, 869.9, 1787.8", \ + " 90.9, 125.6, 221.5, 451.7, 876.6, 1791.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 68.3, 100.4, 169.1, 290.4, 548.0", \ + " 61.7, 76.1, 108.3, 177.0, 298.3, 555.9", \ + " 68.0, 82.4, 114.7, 183.4, 304.7, 562.3", \ + " 76.2, 90.7, 122.9, 191.7, 313.0, 570.6", \ + " 88.1, 103.4, 136.0, 204.7, 326.1, 583.7", \ + " 102.4, 118.4, 151.9, 220.7, 342.0, 599.6", \ + " 121.1, 137.9, 172.2, 241.6, 362.8, 620.3", \ + " 145.1, 163.3, 198.7, 268.4, 390.0, 647.4", \ + " 177.2, 197.0, 234.6, 305.1, 426.8, 684.4", \ + " 220.8, 242.6, 283.5, 356.3, 478.5, 736.5" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.6, 63.0, 133.8, 297.5, 592.4, 1221.5", \ + " 36.8, 63.1, 133.8, 297.5, 592.4, 1221.5", \ + " 37.3, 63.4, 133.9, 297.5, 592.4, 1221.5", \ + " 39.1, 64.6, 134.4, 297.6, 592.5, 1221.5", \ + " 43.0, 68.0, 136.4, 298.2, 592.6, 1221.5", \ + " 47.1, 72.3, 139.5, 299.9, 593.1, 1221.5", \ + " 52.6, 77.6, 143.7, 302.3, 594.3, 1221.8", \ + " 59.8, 84.8, 149.3, 305.7, 595.9, 1222.7", \ + " 69.6, 95.3, 158.5, 311.4, 599.0, 1223.9", \ + " 82.9, 109.8, 173.0, 322.2, 605.7, 1226.9" ); }} +} +} +cell(bf1v0x2) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 797 ; /* bf1v0x2 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x2 FO4 effort 1.38 */ +direction : input ; +capacitance : 3.43 ; +rise_capacitance : 3.51 ; +fall_capacitance : 3.34 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x2 19.44 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.45, 9.65, 9.84, 9.93, 9.94", \ + " 9.27, 9.44, 9.64, 9.76, 9.79", \ + " 9.30, 9.44, 9.63, 9.77, 9.81", \ + " 9.46, 9.54, 9.72, 9.86, 9.91", \ + " 9.86, 9.88, 10.02, 10.16, 10.22", \ + " 10.59, 10.53, 10.60, 10.72, 10.79", \ + " 11.84, 11.68, 11.67, 11.75, 11.82", \ + " 13.87, 13.57, 13.44, 13.46, 13.51", \ + " 17.11, 16.64, 16.34, 16.26, 16.26", \ + " 22.26, 21.53, 21.00, 20.76, 20.69" ); }} +timing() { /* ring osc delay bf1v0x2, path a to z 59.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 60.8 ; */ +/* intrinsic_fall : 80.4 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.65 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.4, 60.5, 95.0, 171.4, 306.6, 593.7", \ + " 53.4, 67.4, 102.0, 178.4, 313.7, 600.8", \ + " 58.4, 72.2, 106.8, 183.2, 318.5, 605.7", \ + " 63.5, 77.5, 111.9, 188.3, 323.6, 610.8", \ + " 69.5, 83.7, 118.3, 194.6, 329.9, 617.1", \ + " 75.0, 89.6, 124.2, 200.5, 335.7, 622.8", \ + " 79.8, 95.0, 129.7, 206.0, 341.1, 628.2", \ + " 82.8, 99.0, 134.3, 210.3, 345.6, 632.5", \ + " 82.5, 99.8, 136.4, 213.0, 348.1, 635.1", \ + " 75.9, 94.8, 133.5, 211.4, 347.5, 634.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.0, 75.6, 166.4, 374.3, 745.5, 1534.6", \ + " 43.2, 75.8, 166.4, 374.3, 745.5, 1534.6", \ + " 44.2, 76.3, 166.6, 374.3, 745.5, 1534.6", \ + " 46.5, 77.9, 167.2, 374.4, 745.5, 1534.6", \ + " 49.9, 80.8, 168.7, 375.0, 745.6, 1534.6", \ + " 54.3, 84.3, 171.0, 375.9, 746.0, 1534.7", \ + " 60.2, 89.5, 174.3, 377.4, 746.7, 1535.1", \ + " 68.3, 97.1, 179.8, 380.3, 747.9, 1535.7", \ + " 79.0, 108.0, 189.0, 386.0, 751.1, 1537.0", \ + " 93.5, 123.2, 203.1, 397.1, 758.4, 1541.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 70.0, 98.5, 157.7, 261.8, 482.6", \ + " 64.5, 77.6, 106.2, 165.4, 269.5, 490.4", \ + " 70.8, 84.0, 112.5, 171.8, 275.9, 496.8", \ + " 79.2, 92.3, 120.9, 180.2, 284.3, 505.2", \ + " 91.7, 105.5, 134.4, 193.7, 297.8, 518.7", \ + " 106.4, 121.0, 150.8, 210.3, 314.3, 535.1", \ + " 125.4, 140.8, 171.5, 231.7, 335.7, 556.4", \ + " 149.8, 166.3, 198.3, 258.8, 363.3, 583.8", \ + " 182.2, 200.1, 234.2, 295.8, 400.2, 621.1", \ + " 225.8, 245.4, 282.7, 346.8, 451.8, 672.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.1, 59.9, 120.1, 259.5, 511.5, 1050.4", \ + " 37.3, 60.1, 120.2, 259.5, 511.5, 1050.4", \ + " 37.7, 60.3, 120.3, 259.5, 511.5, 1050.4", \ + " 39.3, 61.4, 120.7, 259.7, 511.6, 1050.4", \ + " 43.5, 65.0, 122.9, 260.4, 511.7, 1050.4", \ + " 48.2, 69.8, 126.7, 262.4, 512.5, 1050.4", \ + " 54.1, 75.8, 131.6, 265.5, 514.0, 1051.0", \ + " 61.9, 83.7, 138.2, 269.8, 516.2, 1052.0", \ + " 72.4, 94.7, 148.3, 276.4, 520.1, 1053.5", \ + " 86.8, 110.1, 163.8, 288.5, 527.7, 1057.2" ); }} +} +} +cell(bf1v0x3) { /* 2008-01-06:07h30 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1086 ; /* bf1v0x3 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x3 FO4 effort 1.35 */ +direction : input ; +capacitance : 4.31 ; +rise_capacitance : 4.41 ; +fall_capacitance : 4.21 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 362 ; +max_fanout : 8 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x3 24.91 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 12.10, 12.36, 12.62, 12.74, 12.75", \ + " 11.88, 12.10, 12.37, 12.52, 12.55", \ + " 11.93, 12.09, 12.36, 12.53, 12.57", \ + " 12.13, 12.23, 12.46, 12.64, 12.70", \ + " 12.68, 12.65, 12.82, 12.99, 13.06", \ + " 13.63, 13.47, 13.54, 13.69, 13.77", \ + " 15.25, 14.93, 14.86, 14.95, 15.02", \ + " 17.87, 17.33, 17.08, 17.06, 17.11", \ + " 22.07, 21.22, 20.72, 20.55, 20.53", \ + " 28.71, 27.45, 26.59, 26.19, 26.05" ); }} +timing() { /* ring osc delay bf1v0x3, path a to z 57.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 79.9 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.2, 62.3, 98.6, 178.8, 320.7, 622.2", \ + " 54.3, 69.3, 105.6, 185.8, 327.8, 629.3", \ + " 59.5, 74.3, 110.6, 190.8, 332.9, 634.4", \ + " 65.1, 80.0, 116.2, 196.4, 338.4, 639.9", \ + " 71.8, 87.1, 123.4, 203.4, 345.5, 647.0", \ + " 78.2, 93.9, 130.3, 210.3, 352.2, 653.7", \ + " 84.1, 100.5, 137.1, 217.0, 358.9, 660.2", \ + " 88.6, 106.1, 143.3, 223.0, 365.0, 666.2", \ + " 90.5, 109.2, 147.8, 228.0, 369.7, 671.0", \ + " 87.1, 107.4, 148.3, 229.9, 372.4, 673.6" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.0, 75.0, 169.9, 388.0, 777.7, 1606.3", \ + " 41.2, 75.1, 170.0, 388.0, 777.7, 1606.3", \ + " 42.0, 75.6, 170.1, 388.0, 777.7, 1606.3", \ + " 44.3, 77.1, 170.7, 388.1, 777.7, 1606.3", \ + " 48.0, 80.2, 172.3, 388.6, 777.8, 1606.3", \ + " 52.5, 83.9, 174.6, 389.5, 778.2, 1606.3", \ + " 58.5, 89.3, 178.1, 391.1, 778.8, 1606.7", \ + " 66.5, 97.0, 183.6, 394.0, 780.0, 1607.2", \ + " 77.2, 108.1, 192.8, 399.6, 783.0, 1608.4", \ + " 91.7, 123.5, 207.2, 410.6, 790.0, 1612.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.8, 70.0, 100.0, 162.1, 271.4, 503.3", \ + " 63.5, 77.7, 107.7, 169.9, 279.2, 511.1", \ + " 69.8, 84.0, 114.1, 176.3, 285.6, 517.4", \ + " 78.1, 92.3, 122.4, 184.6, 293.9, 525.8", \ + " 90.6, 105.5, 135.9, 198.1, 307.4, 539.3", \ + " 105.2, 120.9, 152.3, 214.6, 323.9, 555.7", \ + " 123.8, 140.5, 172.9, 235.9, 345.0, 576.8", \ + " 147.6, 165.5, 199.2, 262.6, 372.2, 603.7", \ + " 178.8, 198.2, 234.2, 298.7, 408.2, 640.0", \ + " 220.5, 241.7, 281.2, 348.1, 458.2, 690.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 35.5, 59.5, 122.6, 268.8, 533.5, 1099.4", \ + " 35.6, 59.6, 122.6, 268.8, 533.5, 1099.4", \ + " 36.0, 59.8, 122.7, 268.9, 533.5, 1099.4", \ + " 37.6, 60.9, 123.2, 269.0, 533.6, 1099.4", \ + " 41.9, 64.6, 125.3, 269.7, 533.7, 1099.4", \ + " 46.7, 69.6, 129.1, 271.7, 534.3, 1099.4", \ + " 52.9, 75.7, 134.3, 274.7, 535.9, 1099.8", \ + " 60.8, 83.9, 141.0, 279.0, 538.0, 1100.8", \ + " 71.6, 95.3, 151.4, 285.8, 541.8, 1102.3", \ + " 86.3, 110.9, 167.2, 297.9, 549.4, 1105.8" ); }} +} +} +cell(bf1v0x4) { /* 2008-01-06:07h30 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1455 ; /* bf1v0x4 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x4 FO4 effort 1.33 */ +direction : input ; +capacitance : 5.19 ; +rise_capacitance : 5.32 ; +fall_capacitance : 5.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x4 32.92 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 15.99, 16.32, 16.71, 16.90, 16.93", \ + " 15.73, 15.99, 16.39, 16.62, 16.68", \ + " 15.80, 15.98, 16.35, 16.61, 16.69", \ + " 16.07, 16.15, 16.46, 16.73, 16.82", \ + " 16.79, 16.69, 16.89, 17.14, 17.25", \ + " 18.04, 17.73, 17.77, 17.97, 18.09", \ + " 20.16, 19.57, 19.40, 19.51, 19.61", \ + " 23.54, 22.60, 22.16, 22.10, 22.15", \ + " 28.93, 27.53, 26.71, 26.41, 26.36", \ + " 37.45, 35.45, 34.06, 33.40, 33.18" ); }} +timing() { /* ring osc delay bf1v0x4, path a to z 57.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 64.0 ; */ +/* intrinsic_fall : 82.8 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.83 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.7, 62.4, 97.3, 173.6, 308.9, 596.0", \ + " 54.9, 69.5, 104.3, 180.7, 316.0, 603.1", \ + " 60.2, 74.7, 109.4, 185.8, 321.1, 608.3", \ + " 66.0, 80.6, 115.2, 191.6, 327.0, 614.1", \ + " 73.2, 88.2, 122.9, 199.1, 334.4, 621.6", \ + " 79.9, 95.4, 130.3, 206.5, 341.6, 628.7", \ + " 86.3, 102.5, 137.6, 213.7, 348.7, 635.8", \ + " 91.3, 108.5, 144.3, 220.2, 355.3, 642.1", \ + " 93.6, 112.0, 149.3, 225.6, 360.5, 647.3", \ + " 90.6, 110.6, 150.3, 227.9, 363.5, 650.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.0, 72.0, 161.9, 369.3, 740.3, 1529.4", \ + " 40.1, 72.2, 162.0, 369.3, 740.3, 1529.4", \ + " 40.8, 72.6, 162.1, 369.3, 740.3, 1529.4", \ + " 43.1, 74.2, 162.7, 369.4, 740.3, 1529.4", \ + " 47.0, 77.4, 164.5, 370.0, 740.4, 1529.4", \ + " 51.7, 81.5, 167.1, 371.0, 740.8, 1529.5", \ + " 58.1, 87.3, 170.9, 372.7, 741.5, 1529.8", \ + " 66.3, 95.4, 176.8, 375.9, 742.8, 1530.4", \ + " 77.4, 106.8, 186.5, 381.9, 745.9, 1531.6", \ + " 92.3, 122.7, 201.3, 393.3, 753.1, 1535.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.7, 72.0, 101.3, 160.7, 264.9, 485.7", \ + " 65.4, 79.7, 109.0, 168.5, 272.6, 493.5", \ + " 71.8, 86.0, 115.3, 174.8, 279.0, 499.8", \ + " 80.2, 94.4, 123.7, 183.3, 287.4, 508.3", \ + " 93.2, 108.1, 137.6, 197.1, 301.3, 522.2", \ + " 108.4, 124.1, 154.7, 214.4, 318.4, 539.3", \ + " 127.8, 144.4, 176.1, 236.5, 340.4, 561.2", \ + " 152.4, 170.2, 203.3, 264.2, 368.6, 589.1", \ + " 184.8, 204.0, 239.4, 301.5, 405.8, 626.6", \ + " 228.1, 249.0, 287.8, 352.4, 457.3, 678.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 35.5, 58.6, 118.4, 257.1, 508.6, 1047.2", \ + " 35.7, 58.7, 118.5, 257.1, 508.6, 1047.2", \ + " 36.0, 59.0, 118.6, 257.1, 508.6, 1047.2", \ + " 37.2, 59.8, 119.0, 257.2, 508.7, 1047.2", \ + " 41.6, 63.5, 121.0, 257.9, 508.8, 1047.3", \ + " 46.7, 68.8, 125.1, 260.0, 509.5, 1047.3", \ + " 53.2, 75.4, 130.8, 263.4, 511.2, 1047.8", \ + " 61.4, 83.8, 138.0, 268.2, 513.6, 1048.8", \ + " 72.6, 95.4, 148.8, 275.4, 517.8, 1050.4", \ + " 87.8, 111.3, 165.1, 288.1, 525.7, 1054.1" ); }} +} +} +cell(bf1v0x6) { /* 2008-01-06:07h30 */ +area : 8 ; /* tracks */ +cell_leakage_power : 2033 ; /* bf1v0x6 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x6 FO4 effort 1.30 */ +direction : input ; +capacitance : 6.52 ; +rise_capacitance : 6.67 ; +fall_capacitance : 6.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 734 ; +max_fanout : 15 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x6 45.01 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 21.80, 22.27, 22.81, 23.06, 23.10", \ + " 21.48, 21.85, 22.41, 22.73, 22.80", \ + " 21.58, 21.84, 22.36, 22.71, 22.82", \ + " 21.97, 22.06, 22.50, 22.87, 23.00", \ + " 22.95, 22.79, 23.06, 23.41, 23.56", \ + " 24.64, 24.17, 24.22, 24.50, 24.66", \ + " 27.49, 26.61, 26.36, 26.49, 26.63", \ + " 32.03, 30.62, 29.97, 29.87, 29.94", \ + " 39.28, 37.16, 35.94, 35.50, 35.42", \ + " 50.69, 47.65, 45.61, 44.64, 44.31" ); }} +timing() { /* ring osc delay bf1v0x6, path a to z 57.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 82.4 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.58 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 63.9, 100.0, 179.2, 319.4, 617.1", \ + " 55.8, 70.9, 107.0, 186.2, 326.5, 624.3", \ + " 61.1, 76.2, 112.2, 191.4, 331.7, 629.5", \ + " 67.2, 82.3, 118.2, 197.4, 337.7, 635.5", \ + " 74.7, 90.2, 126.1, 205.2, 345.4, 643.2", \ + " 81.9, 97.9, 134.0, 212.9, 353.1, 650.8", \ + " 88.9, 105.6, 141.9, 220.8, 360.8, 658.4", \ + " 94.9, 112.6, 149.6, 228.1, 368.2, 665.6", \ + " 98.6, 117.5, 156.0, 234.9, 374.6, 672.0", \ + " 97.7, 118.2, 158.9, 239.2, 379.5, 676.8" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.4, 74.6, 167.8, 382.8, 767.5, 1585.9", \ + " 41.5, 74.8, 167.8, 382.8, 767.5, 1585.9", \ + " 42.1, 75.2, 168.0, 382.8, 767.5, 1585.9", \ + " 44.4, 76.6, 168.5, 382.9, 767.5, 1585.9", \ + " 48.5, 80.0, 170.2, 383.4, 767.6, 1585.9", \ + " 53.3, 84.2, 172.8, 384.4, 768.0, 1585.9", \ + " 59.8, 90.0, 176.7, 386.1, 768.6, 1586.2", \ + " 68.3, 98.3, 182.7, 389.2, 769.9, 1586.7", \ + " 79.7, 110.0, 192.5, 395.2, 772.9, 1587.9", \ + " 95.3, 126.3, 207.5, 406.6, 780.0, 1591.5" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.6, 72.3, 102.6, 164.9, 274.2, 506.1", \ + " 65.4, 80.0, 110.4, 172.7, 282.0, 513.9", \ + " 71.7, 86.3, 116.7, 179.0, 288.4, 520.3", \ + " 80.2, 94.7, 125.1, 187.5, 296.8, 528.7", \ + " 93.2, 108.3, 138.9, 201.2, 310.5, 542.5", \ + " 108.3, 124.3, 155.8, 218.2, 327.5, 559.3", \ + " 127.4, 144.4, 177.0, 240.0, 349.1, 580.8", \ + " 151.6, 169.8, 203.8, 267.2, 376.6, 608.1", \ + " 183.3, 203.0, 239.3, 303.7, 413.0, 644.7", \ + " 225.4, 246.8, 286.5, 353.3, 463.2, 694.9" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.2, 60.3, 123.1, 269.1, 533.6, 1099.3", \ + " 36.4, 60.4, 123.2, 269.1, 533.6, 1099.3", \ + " 36.6, 60.6, 123.3, 269.1, 533.6, 1099.3", \ + " 37.9, 61.5, 123.7, 269.2, 533.6, 1099.3", \ + " 42.4, 65.0, 125.6, 269.8, 533.7, 1099.3", \ + " 47.7, 70.5, 129.6, 271.8, 534.3, 1099.3", \ + " 54.2, 77.1, 135.2, 275.0, 535.8, 1099.7", \ + " 62.7, 85.8, 142.4, 279.6, 538.1, 1100.7", \ + " 74.2, 97.7, 153.3, 286.7, 542.0, 1102.1", \ + " 89.9, 114.1, 169.7, 299.2, 549.7, 1105.6" ); }} +} +} +cell(bf1v0x8) { /* 2008-01-06:07h30 */ +area : 9 ; /* tracks */ +cell_leakage_power : 2564 ; /* bf1v0x8 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x8 FO4 effort 1.31 */ +direction : input ; +capacitance : 7.79 ; +rise_capacitance : 7.98 ; +fall_capacitance : 7.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 942 ; +max_fanout : 20 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x8 56.64 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 27.43, 28.01, 28.71, 29.05, 29.11", \ + " 27.05, 27.51, 28.24, 28.65, 28.74", \ + " 27.17, 27.48, 28.16, 28.62, 28.76", \ + " 27.65, 27.74, 28.32, 28.80, 28.96", \ + " 28.88, 28.62, 28.98, 29.42, 29.62", \ + " 31.00, 30.30, 30.35, 30.71, 30.91", \ + " 34.54, 33.26, 32.90, 33.08, 33.25", \ + " 40.17, 38.15, 37.24, 37.11, 37.20", \ + " 49.11, 46.11, 44.44, 43.85, 43.75", \ + " 63.18, 58.92, 56.13, 54.85, 54.41" ); }} +timing() { /* ring osc delay bf1v0x8, path a to z 57.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 84.3 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.45 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.6, 65.5, 103.0, 185.2, 330.8, 640.0", \ + " 56.8, 72.6, 110.0, 192.3, 338.0, 647.2", \ + " 62.2, 77.8, 115.2, 197.5, 343.2, 652.5", \ + " 68.4, 84.1, 121.3, 203.6, 349.3, 658.5", \ + " 76.2, 92.3, 129.5, 211.6, 357.3, 666.5", \ + " 83.6, 100.2, 137.6, 219.6, 365.1, 674.3", \ + " 91.0, 108.2, 145.8, 227.7, 373.0, 682.1", \ + " 97.2, 115.5, 153.7, 235.3, 380.6, 689.5", \ + " 101.3, 120.8, 160.4, 242.2, 387.3, 696.0", \ + " 100.7, 121.7, 163.7, 246.7, 392.3, 700.9" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.9, 76.4, 173.1, 396.4, 796.0, 1645.8", \ + " 42.1, 76.5, 173.2, 396.4, 796.0, 1645.8", \ + " 42.6, 76.9, 173.3, 396.4, 796.0, 1645.8", \ + " 44.8, 78.3, 173.8, 396.5, 796.0, 1645.8", \ + " 49.0, 81.6, 175.4, 397.0, 796.0, 1645.8", \ + " 54.0, 85.9, 178.0, 398.0, 796.4, 1645.8", \ + " 60.5, 91.9, 182.1, 399.6, 797.0, 1646.1", \ + " 69.2, 100.3, 188.0, 402.7, 798.1, 1646.6", \ + " 80.9, 112.2, 197.8, 408.6, 801.1, 1647.7", \ + " 96.9, 128.8, 212.9, 419.8, 808.0, 1651.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.0, 74.4, 105.7, 169.6, 281.7, 519.6", \ + " 66.8, 82.1, 113.4, 177.4, 289.5, 527.4", \ + " 73.2, 88.4, 119.7, 183.7, 295.9, 533.7", \ + " 81.7, 96.9, 128.2, 192.2, 304.3, 542.2", \ + " 95.0, 110.7, 142.2, 206.1, 318.3, 556.1", \ + " 110.5, 127.1, 159.5, 223.5, 335.5, 573.4", \ + " 130.1, 147.7, 181.2, 245.8, 357.6, 595.3", \ + " 154.9, 173.7, 208.7, 273.7, 385.8, 623.2", \ + " 187.4, 207.6, 244.9, 310.9, 423.0, 660.5", \ + " 230.6, 252.6, 293.2, 361.6, 474.1, 711.7" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.2, 61.9, 126.3, 275.8, 547.0, 1127.2", \ + " 37.4, 62.0, 126.4, 275.8, 547.0, 1127.2", \ + " 37.7, 62.3, 126.4, 275.9, 547.0, 1127.2", \ + " 38.7, 63.1, 126.8, 276.0, 547.0, 1127.2", \ + " 43.2, 66.5, 128.6, 276.5, 547.1, 1127.2", \ + " 48.8, 72.2, 132.6, 278.4, 547.6, 1127.2", \ + " 55.7, 79.1, 138.4, 281.7, 549.2, 1127.5", \ + " 64.4, 88.0, 145.8, 286.4, 551.4, 1128.5", \ + " 76.3, 100.2, 156.9, 293.6, 555.4, 1129.9", \ + " 92.6, 117.0, 173.7, 306.1, 563.0, 1133.2" ); }} +} +} +cell(bf1v0x12) { /* 2008-01-06:07h30 */ +area : 13 ; /* tracks */ +cell_leakage_power : 3835 ; /* bf1v0x12 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v0x12 FO4 effort 1.34 */ +direction : input ; +capacitance : 10.32 ; +rise_capacitance : 10.61 ; +fall_capacitance : 10.03 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v0x12 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 12 ; +max_capacitance : 1477 ; +max_fanout : 30 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v0x12 85.89 nW/MHz */ +related_pin : "a" ; +power(pwr_x12_1560_5x10) { +values( " 41.87, 42.49, 43.55, 44.12, 44.24", \ + " 41.39, 41.84, 42.91, 43.58, 43.75", \ + " 41.53, 41.78, 42.77, 43.50, 43.74", \ + " 42.21, 42.14, 42.95, 43.69, 43.97", \ + " 44.03, 43.39, 43.81, 44.48, 44.79", \ + " 47.16, 45.76, 45.66, 46.15, 46.47", \ + " 52.31, 49.93, 49.13, 49.29, 49.54", \ + " 60.40, 56.79, 55.07, 54.71, 54.78", \ + " 73.17, 67.98, 64.96, 63.82, 63.56", \ + " 93.16, 86.00, 81.11, 78.81, 77.97" ); }} +timing() { /* ring osc delay bf1v0x12, path a to z 60.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.0 ; */ +/* intrinsic_fall : 90.4 ; */ +/* rise_resistance : 0.36 ; */ +/* fall_resistance : 0.29 ; */ +cell_rise(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.4, 66.9, 103.0, 181.8, 321.2, 617.1", \ + " 58.6, 74.1, 110.1, 188.9, 328.4, 624.3", \ + " 64.1, 79.5, 115.5, 194.3, 333.7, 629.7", \ + " 70.7, 86.0, 121.8, 200.6, 340.0, 636.0", \ + " 79.0, 94.7, 130.6, 209.1, 348.5, 644.5", \ + " 87.0, 103.3, 139.3, 217.7, 356.9, 652.9", \ + " 94.8, 111.8, 148.1, 226.4, 365.4, 661.2", \ + " 101.5, 119.4, 156.5, 234.4, 373.4, 668.9", \ + " 105.8, 124.9, 163.5, 241.6, 380.3, 675.7", \ + " 105.3, 125.8, 166.8, 246.1, 385.2, 680.4" ); } +rise_transition(x12_1560_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.7, 75.5, 167.4, 380.6, 762.8, 1576.1", \ + " 42.7, 75.5, 167.5, 380.6, 762.8, 1576.1", \ + " 43.2, 75.9, 167.6, 380.6, 762.8, 1576.1", \ + " 45.2, 77.2, 168.1, 380.7, 762.8, 1576.1", \ + " 49.5, 80.7, 169.9, 381.2, 762.9, 1576.1", \ + " 54.8, 85.4, 172.8, 382.4, 763.3, 1576.1", \ + " 61.7, 91.7, 177.2, 384.2, 763.9, 1576.4", \ + " 70.7, 100.5, 183.6, 387.6, 765.2, 1576.9", \ + " 83.0, 113.0, 193.9, 393.9, 768.3, 1578.0", \ + " 99.7, 130.2, 209.7, 405.6, 775.4, 1581.4" ); } +cell_fall(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 79.7, 111.1, 173.9, 283.3, 515.2", \ + " 71.8, 87.4, 118.8, 181.6, 291.0, 522.9", \ + " 78.2, 93.7, 125.1, 187.9, 297.3, 529.3", \ + " 86.8, 102.3, 133.7, 196.5, 305.9, 537.9", \ + " 101.0, 116.8, 148.2, 211.0, 320.4, 552.3", \ + " 117.7, 134.4, 166.7, 229.4, 338.7, 570.6", \ + " 138.6, 156.4, 189.9, 253.2, 362.3, 594.1", \ + " 165.1, 184.0, 219.0, 283.0, 392.3, 623.8", \ + " 199.9, 220.1, 257.4, 322.5, 431.8, 663.3", \ + " 246.3, 268.1, 308.7, 376.2, 485.8, 717.4" ); } +fall_transition(x12_1560_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.0, 64.3, 126.7, 271.6, 535.2, 1100.4", \ + " 40.1, 64.4, 126.8, 271.6, 535.2, 1100.4", \ + " 40.3, 64.6, 126.8, 271.6, 535.2, 1100.4", \ + " 41.0, 65.2, 127.1, 271.7, 535.2, 1100.4", \ + " 44.9, 68.2, 128.6, 272.2, 535.3, 1100.4", \ + " 51.0, 74.1, 132.8, 274.1, 535.8, 1100.5", \ + " 58.4, 81.5, 139.1, 277.7, 537.5, 1100.8", \ + " 67.6, 90.8, 147.2, 282.9, 540.1, 1101.8", \ + " 79.9, 103.3, 158.7, 290.7, 544.4, 1103.4", \ + " 96.6, 120.4, 175.9, 303.7, 552.4, 1106.8" ); }} +} +} +cell(bf1v1x2) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 866 ; /* bf1v1x2 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v1x2 FO4 effort 1.36 */ +direction : input ; +capacitance : 3.53 ; +rise_capacitance : 3.63 ; +fall_capacitance : 3.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v1x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v1x2 21.05 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 10.25, 10.44, 10.66, 10.77, 10.80", \ + " 10.08, 10.23, 10.45, 10.59, 10.64", \ + " 10.12, 10.23, 10.44, 10.60, 10.66", \ + " 10.29, 10.35, 10.53, 10.69, 10.76", \ + " 10.75, 10.72, 10.84, 10.99, 11.07", \ + " 11.54, 11.42, 11.46, 11.58, 11.67", \ + " 12.90, 12.66, 12.58, 12.65, 12.72", \ + " 15.08, 14.68, 14.46, 14.44, 14.48", \ + " 18.55, 17.95, 17.53, 17.37, 17.36", \ + " 24.04, 23.17, 22.46, 22.11, 21.98" ); }} +timing() { /* ring osc delay bf1v1x2, path a to z 58.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 61.4 ; */ +/* intrinsic_fall : 80.4 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 61.1, 95.8, 172.3, 307.6, 594.8", \ + " 54.2, 68.0, 102.7, 179.3, 314.7, 601.9", \ + " 59.1, 72.8, 107.4, 184.1, 319.5, 606.7", \ + " 64.2, 78.0, 112.5, 189.0, 324.5, 611.7", \ + " 70.2, 84.2, 118.7, 195.1, 330.5, 617.8", \ + " 75.5, 89.8, 124.3, 200.6, 336.0, 623.2", \ + " 80.0, 94.8, 129.3, 205.5, 340.8, 627.9", \ + " 82.4, 98.0, 133.0, 208.9, 344.2, 631.2", \ + " 81.0, 97.7, 133.7, 210.0, 345.1, 632.1", \ + " 72.7, 90.7, 128.5, 206.0, 341.8, 628.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.2, 76.9, 167.9, 375.9, 747.1, 1536.3", \ + " 44.4, 77.1, 167.9, 375.9, 747.1, 1536.3", \ + " 45.3, 77.6, 168.1, 375.9, 747.1, 1536.3", \ + " 47.5, 79.1, 168.7, 376.0, 747.1, 1536.3", \ + " 50.7, 81.9, 170.1, 376.5, 747.2, 1536.3", \ + " 54.7, 85.2, 172.2, 377.4, 747.6, 1536.3", \ + " 60.3, 90.1, 175.5, 378.8, 748.2, 1536.7", \ + " 67.9, 97.2, 180.7, 381.7, 749.5, 1537.2", \ + " 77.9, 107.4, 189.4, 387.2, 752.6, 1538.6", \ + " 91.5, 121.6, 202.8, 397.8, 759.6, 1542.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.1, 66.0, 88.5, 132.9, 209.8, 372.5", \ + " 62.8, 73.7, 96.2, 140.7, 217.5, 380.3", \ + " 69.2, 80.1, 102.6, 147.1, 223.9, 386.7", \ + " 77.6, 88.6, 111.2, 155.6, 232.5, 395.3", \ + " 90.5, 102.1, 125.1, 169.6, 246.4, 409.2", \ + " 105.6, 118.0, 142.0, 187.1, 263.8, 426.5", \ + " 125.2, 138.4, 163.6, 209.4, 286.4, 449.0", \ + " 150.6, 164.8, 191.5, 238.1, 315.4, 478.0", \ + " 184.5, 199.8, 228.8, 277.1, 354.6, 517.6", \ + " 230.5, 247.3, 279.3, 330.6, 409.4, 572.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.3, 51.6, 95.6, 196.8, 381.1, 777.2", \ + " 34.5, 51.7, 95.7, 196.8, 381.1, 777.2", \ + " 34.7, 52.0, 95.8, 196.9, 381.1, 777.2", \ + " 36.4, 53.1, 96.4, 197.1, 381.1, 777.2", \ + " 40.9, 57.1, 99.0, 198.2, 381.5, 777.2", \ + " 45.9, 62.4, 103.6, 201.0, 382.8, 777.4", \ + " 52.1, 68.7, 109.4, 205.1, 385.0, 778.4", \ + " 60.1, 77.0, 116.9, 210.5, 388.3, 779.8", \ + " 71.0, 88.2, 128.0, 218.8, 393.6, 782.2", \ + " 85.8, 103.7, 144.3, 232.8, 403.2, 787.4" ); }} +} +} +cell(bf1v2x1) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 554 ; /* bf1v2x1 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x1 FO4 effort 1.39 */ +direction : input ; +capacitance : 2.54 ; +rise_capacitance : 2.58 ; +fall_capacitance : 2.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x1 12.89 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 6.27, 6.39, 6.48, 6.52, 6.51", \ + " 6.14, 6.25, 6.36, 6.41, 6.42", \ + " 6.17, 6.25, 6.37, 6.43, 6.44", \ + " 6.28, 6.34, 6.44, 6.51, 6.53", \ + " 6.57, 6.59, 6.68, 6.75, 6.77", \ + " 7.08, 7.06, 7.11, 7.18, 7.21", \ + " 7.98, 7.90, 7.90, 7.95, 7.98", \ + " 9.43, 9.27, 9.21, 9.22, 9.24", \ + " 11.75, 11.48, 11.33, 11.28, 11.29", \ + " 15.43, 15.01, 14.72, 14.59, 14.55" ); }} +timing() { /* ring osc delay bf1v2x1, path a to z 58.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 59.7 ; */ +/* intrinsic_fall : 75.5 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.3, 62.4, 102.4, 191.3, 349.0, 683.9", \ + " 53.3, 69.2, 109.3, 198.3, 356.0, 690.9", \ + " 58.2, 74.0, 114.1, 203.1, 360.8, 695.8", \ + " 63.2, 79.2, 119.1, 208.1, 365.9, 700.8", \ + " 69.1, 85.4, 125.4, 214.3, 372.0, 707.0", \ + " 74.7, 91.3, 131.3, 220.2, 377.9, 712.9", \ + " 79.8, 97.0, 137.0, 226.0, 383.6, 718.5", \ + " 83.3, 101.5, 142.1, 230.9, 388.6, 723.4", \ + " 83.9, 103.5, 145.4, 234.7, 392.3, 727.1", \ + " 79.2, 100.5, 144.5, 235.4, 393.8, 728.7" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.4, 82.9, 189.3, 432.3, 865.5, 1786.1", \ + " 44.7, 83.0, 189.3, 432.3, 865.5, 1786.1", \ + " 45.8, 83.5, 189.5, 432.3, 865.5, 1786.1", \ + " 47.9, 85.0, 190.0, 432.3, 865.5, 1786.1", \ + " 51.1, 87.5, 191.2, 432.8, 865.5, 1786.1", \ + " 55.2, 90.7, 193.1, 433.5, 865.9, 1786.1", \ + " 60.8, 95.4, 196.0, 434.6, 866.4, 1786.4", \ + " 68.4, 102.6, 200.8, 437.1, 867.4, 1786.9", \ + " 78.7, 112.9, 209.3, 442.1, 870.1, 1788.0", \ + " 92.6, 127.5, 222.9, 452.3, 876.8, 1791.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 67.7, 99.8, 168.4, 289.8, 547.4", \ + " 61.2, 75.5, 107.7, 176.3, 297.7, 555.3", \ + " 67.4, 81.8, 114.0, 182.7, 304.0, 561.6", \ + " 75.4, 89.9, 122.1, 190.8, 312.2, 569.8", \ + " 87.0, 102.3, 134.9, 203.5, 324.9, 582.5", \ + " 100.8, 116.7, 150.2, 219.0, 340.3, 597.9", \ + " 118.4, 135.4, 169.6, 239.0, 360.2, 617.7", \ + " 141.0, 159.2, 194.7, 264.3, 385.9, 643.3", \ + " 170.7, 190.6, 228.2, 298.7, 420.4, 678.0", \ + " 210.3, 232.3, 273.3, 346.2, 468.5, 726.4" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.5, 62.8, 133.7, 297.4, 592.4, 1221.5", \ + " 36.7, 63.0, 133.7, 297.4, 592.4, 1221.5", \ + " 37.2, 63.3, 133.8, 297.5, 592.4, 1221.5", \ + " 39.1, 64.5, 134.3, 297.6, 592.5, 1221.5", \ + " 43.0, 68.0, 136.3, 298.2, 592.5, 1221.5", \ + " 47.3, 72.3, 139.6, 299.9, 593.1, 1221.5", \ + " 52.9, 77.8, 143.9, 302.3, 594.3, 1221.9", \ + " 60.4, 85.4, 149.7, 305.9, 596.0, 1222.7", \ + " 70.5, 96.2, 159.2, 311.8, 599.2, 1223.9", \ + " 84.3, 111.3, 174.2, 322.9, 606.0, 1227.0" ); }} +} +} +cell(bf1v2x2) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 797 ; /* bf1v2x2 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x2 FO4 effort 1.39 */ +direction : input ; +capacitance : 3.42 ; +rise_capacitance : 3.49 ; +fall_capacitance : 3.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x2 19.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.46, 9.65, 9.84, 9.93, 9.95", \ + " 9.27, 9.44, 9.64, 9.76, 9.79", \ + " 9.30, 9.43, 9.63, 9.76, 9.80", \ + " 9.45, 9.53, 9.71, 9.85, 9.90", \ + " 9.85, 9.86, 9.99, 10.13, 10.19", \ + " 10.56, 10.49, 10.56, 10.68, 10.75", \ + " 11.78, 11.62, 11.60, 11.68, 11.74", \ + " 13.77, 13.47, 13.33, 13.34, 13.39", \ + " 16.97, 16.49, 16.18, 16.09, 16.09", \ + " 22.05, 21.31, 20.76, 20.50, 20.43" ); }} +timing() { /* ring osc delay bf1v2x2, path a to z 60.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 64.6 ; */ +/* intrinsic_fall : 77.9 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.65 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 63.5, 98.1, 174.5, 309.7, 596.9", \ + " 56.2, 70.4, 105.1, 181.5, 316.8, 603.9", \ + " 61.4, 75.5, 110.1, 186.5, 321.8, 609.0", \ + " 67.1, 81.2, 115.7, 192.1, 327.5, 614.6", \ + " 74.0, 88.4, 123.0, 199.3, 334.6, 621.8", \ + " 80.6, 95.4, 130.1, 206.4, 341.6, 628.8", \ + " 87.1, 102.5, 137.4, 213.6, 348.8, 635.8", \ + " 92.6, 109.0, 144.4, 220.5, 355.7, 642.6", \ + " 96.0, 113.5, 150.3, 226.8, 361.9, 648.9", \ + " 95.1, 114.1, 153.0, 231.0, 366.9, 653.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.2, 76.6, 167.0, 374.7, 745.8, 1534.9", \ + " 44.3, 76.7, 167.0, 374.7, 745.8, 1534.9", \ + " 45.1, 77.1, 167.2, 374.7, 745.8, 1534.9", \ + " 47.3, 78.7, 167.8, 374.8, 745.8, 1534.9", \ + " 50.9, 81.7, 169.4, 375.3, 745.8, 1534.9", \ + " 55.3, 85.4, 171.7, 376.3, 746.3, 1534.9", \ + " 61.3, 90.7, 175.3, 377.9, 747.0, 1535.3", \ + " 69.3, 98.3, 180.8, 380.9, 748.2, 1535.9", \ + " 80.1, 109.3, 190.1, 386.7, 751.4, 1537.2", \ + " 94.6, 124.6, 204.4, 397.9, 758.7, 1541.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.8, 67.8, 96.1, 155.3, 259.4, 480.2", \ + " 62.6, 75.6, 103.9, 163.1, 267.2, 488.0", \ + " 68.8, 81.8, 110.2, 169.4, 273.5, 494.4", \ + " 76.9, 89.9, 118.3, 177.6, 281.7, 502.6", \ + " 88.8, 102.5, 131.2, 190.5, 294.6, 515.4", \ + " 102.6, 117.0, 146.7, 206.2, 310.2, 531.0", \ + " 120.2, 135.5, 166.1, 226.1, 330.2, 550.9", \ + " 142.5, 159.0, 190.9, 251.3, 355.7, 576.3", \ + " 171.6, 189.5, 223.6, 285.1, 389.5, 610.4", \ + " 210.0, 229.7, 267.1, 331.1, 436.3, 657.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.3, 59.0, 119.3, 258.9, 511.2, 1050.1", \ + " 36.5, 59.1, 119.3, 258.9, 511.2, 1050.1", \ + " 36.9, 59.4, 119.5, 259.0, 511.2, 1050.1", \ + " 38.7, 60.6, 120.0, 259.1, 511.2, 1050.1", \ + " 43.0, 64.4, 122.3, 259.9, 511.4, 1050.1", \ + " 47.6, 69.2, 126.1, 262.0, 512.2, 1050.2", \ + " 53.7, 75.3, 131.0, 265.0, 513.7, 1050.7", \ + " 61.7, 83.4, 137.7, 269.3, 515.9, 1051.7", \ + " 72.5, 94.8, 148.2, 276.2, 519.9, 1053.3", \ + " 87.3, 110.5, 164.2, 288.7, 527.8, 1057.1" ); }} +} +} +cell(bf1v2x3) { /* 2008-01-06:07h30 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1074 ; /* bf1v2x3 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x3 FO4 effort 1.35 */ +direction : input ; +capacitance : 4.19 ; +rise_capacitance : 4.27 ; +fall_capacitance : 4.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 362 ; +max_fanout : 8 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x3 24.75 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 12.04, 12.30, 12.56, 12.67, 12.69", \ + " 11.83, 12.04, 12.31, 12.46, 12.49", \ + " 11.86, 12.02, 12.28, 12.45, 12.50", \ + " 12.06, 12.15, 12.37, 12.55, 12.61", \ + " 12.59, 12.56, 12.71, 12.88, 12.95", \ + " 13.50, 13.34, 13.40, 13.54, 13.62", \ + " 15.08, 14.74, 14.65, 14.74, 14.81", \ + " 17.61, 17.04, 16.78, 16.76, 16.79", \ + " 21.68, 20.80, 20.28, 20.09, 20.07", \ + " 28.11, 26.82, 25.93, 25.50, 25.36" ); }} +timing() { /* ring osc delay bf1v2x3, path a to z 58.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 65.4 ; */ +/* intrinsic_fall : 79.4 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.5, 64.7, 101.1, 181.2, 323.2, 624.7", \ + " 56.5, 71.6, 108.0, 188.2, 330.2, 631.7", \ + " 61.8, 76.8, 113.2, 193.4, 335.4, 636.9", \ + " 67.8, 82.9, 119.1, 199.3, 341.3, 642.8", \ + " 75.2, 90.6, 127.0, 207.0, 349.0, 650.5", \ + " 82.3, 98.2, 134.7, 214.7, 356.6, 658.1", \ + " 89.3, 105.9, 142.6, 222.5, 364.3, 665.7", \ + " 95.4, 113.0, 150.3, 230.0, 371.9, 673.1", \ + " 99.5, 118.4, 157.1, 237.2, 378.9, 680.1", \ + " 99.4, 119.9, 160.9, 242.5, 384.8, 686.0" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.1, 75.9, 170.5, 388.4, 778.0, 1606.6", \ + " 42.2, 76.0, 170.5, 388.4, 778.0, 1606.6", \ + " 42.9, 76.4, 170.7, 388.4, 778.0, 1606.6", \ + " 45.1, 77.9, 171.2, 388.5, 778.0, 1606.6", \ + " 48.9, 81.0, 172.8, 389.0, 778.0, 1606.6", \ + " 53.4, 84.9, 175.3, 390.0, 778.5, 1606.6", \ + " 59.5, 90.4, 179.0, 391.5, 779.1, 1606.9", \ + " 67.6, 98.2, 184.5, 394.5, 780.3, 1607.5", \ + " 78.4, 109.4, 193.9, 400.2, 783.3, 1608.6", \ + " 93.1, 124.9, 208.4, 411.2, 790.3, 1612.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.4, 69.6, 99.6, 161.8, 271.0, 502.9", \ + " 63.2, 77.4, 107.4, 169.5, 278.8, 510.7", \ + " 69.5, 83.6, 113.6, 175.8, 285.1, 517.0", \ + " 77.7, 91.9, 121.9, 184.1, 293.4, 525.3", \ + " 90.0, 104.9, 135.2, 197.4, 306.7, 538.6", \ + " 104.2, 119.9, 151.3, 213.6, 322.8, 554.7", \ + " 122.3, 139.0, 171.3, 234.3, 343.4, 575.2", \ + " 145.1, 163.0, 196.8, 260.2, 369.7, 601.3", \ + " 174.7, 194.2, 230.3, 294.8, 404.3, 636.1", \ + " 214.0, 235.3, 274.8, 341.8, 451.9, 683.9" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 35.4, 59.4, 122.5, 268.8, 533.5, 1099.4", \ + " 35.6, 59.5, 122.5, 268.8, 533.5, 1099.4", \ + " 36.0, 59.8, 122.6, 268.8, 533.5, 1099.4", \ + " 37.6, 60.8, 123.1, 269.0, 533.5, 1099.4", \ + " 41.9, 64.6, 125.2, 269.6, 533.7, 1099.4", \ + " 46.9, 69.7, 129.1, 271.7, 534.4, 1099.4", \ + " 53.1, 76.0, 134.4, 274.8, 535.9, 1099.9", \ + " 61.2, 84.3, 141.3, 279.2, 538.1, 1100.8", \ + " 72.3, 96.0, 151.9, 286.1, 542.0, 1102.3", \ + " 87.4, 111.9, 168.1, 298.5, 549.7, 1105.9" ); }} +} +} +cell(bf1v2x4) { /* 2008-01-06:07h30 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1455 ; /* bf1v2x4 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x4 FO4 effort 1.34 */ +direction : input ; +capacitance : 5.16 ; +rise_capacitance : 5.28 ; +fall_capacitance : 5.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x4 32.86 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 15.98, 16.31, 16.69, 16.88, 16.91", \ + " 15.71, 15.98, 16.37, 16.60, 16.66", \ + " 15.77, 15.96, 16.33, 16.58, 16.66", \ + " 16.05, 16.12, 16.43, 16.69, 16.79", \ + " 16.76, 16.66, 16.85, 17.10, 17.21", \ + " 17.99, 17.68, 17.72, 17.91, 18.03", \ + " 20.08, 19.49, 19.32, 19.42, 19.52", \ + " 23.43, 22.49, 22.03, 21.97, 22.02", \ + " 28.78, 27.37, 26.52, 26.22, 26.16", \ + " 37.23, 35.20, 33.80, 33.12, 32.89" ); }} +timing() { /* ring osc delay bf1v2x4, path a to z 58.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 81.0 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.83 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 64.6, 99.5, 175.9, 311.1, 598.3", \ + " 56.8, 71.6, 106.5, 182.9, 318.2, 605.3", \ + " 62.2, 76.9, 111.7, 188.2, 323.5, 610.6", \ + " 68.5, 83.1, 117.8, 194.3, 329.6, 616.7", \ + " 76.2, 91.3, 126.1, 202.3, 337.6, 624.8", \ + " 83.7, 99.3, 134.3, 210.5, 345.6, 632.7", \ + " 91.1, 107.5, 142.7, 218.8, 353.8, 640.9", \ + " 97.7, 115.0, 151.0, 226.9, 362.0, 648.8", \ + " 102.5, 121.0, 158.5, 234.7, 369.6, 656.4", \ + " 103.2, 123.2, 163.1, 240.7, 376.2, 662.9" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.9, 72.9, 162.5, 369.6, 740.6, 1529.7", \ + " 41.0, 73.0, 162.5, 369.6, 740.6, 1529.7", \ + " 41.6, 73.4, 162.7, 369.6, 740.6, 1529.7", \ + " 43.8, 74.8, 163.2, 369.7, 740.6, 1529.7", \ + " 47.8, 78.2, 165.0, 370.3, 740.6, 1529.7", \ + " 52.5, 82.4, 167.7, 371.4, 741.1, 1529.7", \ + " 58.8, 88.1, 171.6, 373.2, 741.8, 1530.0", \ + " 67.1, 96.3, 177.6, 376.4, 743.0, 1530.6", \ + " 78.1, 107.7, 187.3, 382.4, 746.2, 1531.8", \ + " 93.2, 123.7, 202.3, 393.9, 753.4, 1535.5" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 70.4, 99.5, 158.9, 263.0, 483.9", \ + " 64.0, 78.1, 107.2, 166.7, 270.8, 491.7", \ + " 70.3, 84.4, 113.5, 173.0, 277.1, 498.0", \ + " 78.7, 92.7, 121.9, 181.3, 285.5, 506.4", \ + " 91.2, 106.0, 135.4, 194.9, 299.0, 519.9", \ + " 105.8, 121.4, 151.9, 211.5, 315.6, 536.4", \ + " 124.3, 140.8, 172.4, 232.7, 336.6, 557.4", \ + " 147.5, 165.3, 198.3, 259.1, 363.5, 584.0", \ + " 177.8, 197.0, 232.3, 294.3, 398.6, 619.4", \ + " 217.7, 238.6, 277.4, 342.0, 447.0, 667.8" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.9, 57.9, 117.8, 256.6, 508.3, 1047.0", \ + " 34.9, 58.0, 117.8, 256.6, 508.3, 1047.0", \ + " 35.4, 58.3, 118.0, 256.7, 508.3, 1047.0", \ + " 36.8, 59.2, 118.4, 256.8, 508.4, 1047.0", \ + " 41.2, 63.0, 120.5, 257.5, 508.5, 1047.0", \ + " 46.4, 68.4, 124.6, 259.7, 509.2, 1047.1", \ + " 52.8, 74.9, 130.2, 263.0, 510.9, 1047.6", \ + " 61.2, 83.5, 137.6, 267.8, 513.3, 1048.6", \ + " 72.5, 95.4, 148.6, 275.2, 517.5, 1050.2", \ + " 88.1, 111.6, 165.2, 288.1, 525.6, 1054.0" ); }} +} +} +cell(bf1v2x6) { /* 2008-01-06:07h30 */ +area : 8 ; /* tracks */ +cell_leakage_power : 2021 ; /* bf1v2x6 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x6 FO4 effort 1.31 */ +direction : input ; +capacitance : 6.39 ; +rise_capacitance : 6.54 ; +fall_capacitance : 6.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 733 ; +max_fanout : 15 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x6 44.84 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 21.75, 22.20, 22.73, 22.99, 23.04", \ + " 21.43, 21.79, 22.34, 22.66, 22.74", \ + " 21.52, 21.77, 22.29, 22.64, 22.75", \ + " 21.90, 21.99, 22.42, 22.78, 22.92", \ + " 22.87, 22.70, 22.96, 23.30, 23.45", \ + " 24.53, 24.04, 24.08, 24.35, 24.51", \ + " 27.33, 26.43, 26.16, 26.28, 26.41", \ + " 31.80, 30.35, 29.67, 29.57, 29.62", \ + " 38.92, 36.75, 35.50, 35.04, 34.95", \ + " 50.13, 47.04, 44.95, 43.96, 43.61" ); }} +timing() { /* ring osc delay bf1v2x6, path a to z 57.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.0 ; */ +/* intrinsic_fall : 82.1 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.58 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.1, 65.5, 101.6, 180.8, 321.1, 618.8", \ + " 57.2, 72.5, 108.6, 187.9, 328.2, 625.9", \ + " 62.6, 77.8, 113.9, 193.1, 333.4, 631.2", \ + " 69.0, 84.1, 120.1, 199.3, 339.6, 637.4", \ + " 76.9, 92.5, 128.4, 207.5, 347.7, 645.5", \ + " 84.6, 100.7, 136.8, 215.7, 355.9, 653.6", \ + " 92.3, 109.1, 145.5, 224.3, 364.3, 661.9", \ + " 99.2, 117.0, 154.1, 232.7, 372.7, 670.1", \ + " 104.3, 123.3, 161.9, 240.8, 380.5, 677.9", \ + " 105.6, 126.1, 167.0, 247.2, 387.5, 684.7" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.1, 75.3, 168.2, 383.1, 767.8, 1586.1", \ + " 42.3, 75.4, 168.3, 383.1, 767.8, 1586.1", \ + " 42.8, 75.8, 168.4, 383.1, 767.8, 1586.1", \ + " 44.9, 77.2, 168.9, 383.2, 767.8, 1586.1", \ + " 49.1, 80.6, 170.7, 383.7, 767.8, 1586.1", \ + " 54.0, 84.9, 173.3, 384.7, 768.2, 1586.1", \ + " 60.5, 90.8, 177.4, 386.5, 768.9, 1586.4", \ + " 69.0, 99.1, 183.4, 389.7, 770.1, 1586.9", \ + " 80.5, 110.9, 193.2, 395.7, 773.1, 1588.1", \ + " 96.2, 127.3, 208.4, 407.1, 780.3, 1591.6" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.4, 72.1, 102.4, 164.7, 274.0, 505.9", \ + " 65.2, 79.8, 110.2, 172.5, 281.8, 513.7", \ + " 71.5, 86.1, 116.5, 178.8, 288.1, 520.0", \ + " 79.9, 94.5, 124.8, 187.2, 296.5, 528.4", \ + " 92.8, 107.9, 138.5, 200.8, 310.1, 542.0", \ + " 107.7, 123.7, 155.2, 217.6, 326.8, 558.7", \ + " 126.5, 143.5, 176.0, 239.0, 348.1, 579.9", \ + " 150.1, 168.3, 202.3, 265.7, 375.1, 606.6", \ + " 180.9, 200.6, 236.9, 301.3, 410.6, 642.3", \ + " 221.4, 242.9, 282.7, 349.5, 459.3, 691.1" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.2, 60.3, 123.1, 269.1, 533.5, 1099.3", \ + " 36.3, 60.4, 123.2, 269.1, 533.5, 1099.3", \ + " 36.6, 60.6, 123.2, 269.1, 533.6, 1099.3", \ + " 37.9, 61.5, 123.6, 269.2, 533.6, 1099.3", \ + " 42.4, 65.1, 125.6, 269.8, 533.7, 1099.3", \ + " 47.8, 70.5, 129.6, 271.8, 534.3, 1099.3", \ + " 54.4, 77.3, 135.2, 275.0, 535.8, 1099.7", \ + " 63.0, 86.1, 142.6, 279.7, 538.1, 1100.7", \ + " 74.7, 98.2, 153.6, 286.9, 542.1, 1102.2", \ + " 90.6, 114.8, 170.3, 299.5, 549.9, 1105.6" ); }} +} +} +cell(bf1v2x8) { /* 2008-01-06:07h30 */ +area : 9 ; /* tracks */ +cell_leakage_power : 2564 ; /* bf1v2x8 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v2x8 FO4 effort 1.31 */ +direction : input ; +capacitance : 7.77 ; +rise_capacitance : 7.94 ; +fall_capacitance : 7.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v2x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 942 ; +max_fanout : 20 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v2x8 56.47 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 27.36, 27.93, 28.64, 28.98, 29.04", \ + " 26.98, 27.44, 28.16, 28.58, 28.67", \ + " 27.09, 27.40, 28.08, 28.54, 28.68", \ + " 27.57, 27.66, 28.24, 28.71, 28.88", \ + " 28.80, 28.53, 28.88, 29.33, 29.52", \ + " 30.90, 30.19, 30.24, 30.60, 30.80", \ + " 34.43, 33.13, 32.77, 32.94, 33.11", \ + " 40.02, 37.99, 37.07, 36.93, 37.01", \ + " 48.92, 45.90, 44.21, 43.61, 43.50", \ + " 62.93, 58.63, 55.82, 54.52, 54.07" ); }} +timing() { /* ring osc delay bf1v2x8, path a to z 57.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.8 ; */ +/* intrinsic_fall : 83.0 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.44 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.9, 66.9, 104.4, 186.6, 332.3, 641.5", \ + " 58.0, 73.9, 111.4, 193.7, 339.4, 648.6", \ + " 63.5, 79.2, 116.7, 199.0, 344.7, 653.9", \ + " 69.9, 85.6, 122.9, 205.2, 350.9, 660.2", \ + " 78.0, 94.2, 131.5, 213.6, 359.2, 668.5", \ + " 86.0, 102.6, 140.1, 222.0, 367.6, 676.8", \ + " 94.0, 111.3, 149.0, 230.9, 376.2, 685.3", \ + " 101.2, 119.6, 157.9, 239.4, 384.8, 693.6", \ + " 106.8, 126.4, 166.1, 247.9, 392.9, 701.7", \ + " 108.6, 129.6, 171.7, 254.7, 400.2, 708.8" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.6, 76.9, 173.5, 396.6, 796.1, 1645.9", \ + " 42.6, 77.1, 173.5, 396.6, 796.1, 1645.9", \ + " 43.1, 77.4, 173.6, 396.6, 796.1, 1645.9", \ + " 45.3, 78.7, 174.1, 396.7, 796.1, 1645.9", \ + " 49.3, 82.1, 175.8, 397.2, 796.2, 1645.9", \ + " 54.5, 86.5, 178.5, 398.2, 796.5, 1645.9", \ + " 61.1, 92.5, 182.5, 399.9, 797.2, 1646.2", \ + " 69.7, 100.9, 188.5, 403.0, 798.3, 1646.7", \ + " 81.5, 112.8, 198.3, 408.9, 801.2, 1647.8", \ + " 97.5, 129.5, 213.6, 420.2, 808.2, 1651.2" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 73.2, 104.4, 168.2, 280.4, 518.2", \ + " 65.8, 80.9, 112.1, 176.0, 288.2, 526.0", \ + " 72.1, 87.2, 118.4, 182.4, 294.5, 532.4", \ + " 80.6, 95.6, 126.8, 190.8, 302.9, 540.8", \ + " 93.6, 109.2, 140.6, 204.5, 316.6, 554.5", \ + " 108.7, 125.2, 157.6, 221.5, 333.5, 571.3", \ + " 127.7, 145.3, 178.6, 243.2, 355.0, 592.7", \ + " 151.6, 170.4, 205.2, 270.2, 382.3, 619.7", \ + " 182.7, 202.9, 240.1, 306.0, 418.1, 655.6", \ + " 223.7, 245.7, 286.4, 354.7, 467.1, 704.8" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 36.8, 61.4, 125.8, 275.5, 546.7, 1127.0", \ + " 36.9, 61.5, 125.9, 275.5, 546.7, 1127.0", \ + " 37.1, 61.7, 126.0, 275.5, 546.7, 1127.0", \ + " 38.4, 62.6, 126.3, 275.6, 546.8, 1127.0", \ + " 42.9, 66.2, 128.2, 276.2, 546.9, 1127.0", \ + " 48.4, 71.8, 132.2, 278.1, 547.4, 1127.0", \ + " 55.3, 78.7, 138.0, 281.3, 549.0, 1127.4", \ + " 64.3, 87.7, 145.5, 286.0, 551.2, 1128.3", \ + " 76.3, 100.1, 156.7, 293.3, 555.2, 1129.8", \ + " 92.7, 117.1, 173.7, 306.0, 562.9, 1133.1" ); }} +} +} +cell(bf1v4x1) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 450 ; /* bf1v4x1 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v4x1 FO4 effort 1.45 */ +direction : input ; +capacitance : 1.58 ; +rise_capacitance : 1.65 ; +fall_capacitance : 1.51 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v4x1 11.71 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 5.75, 5.83, 5.92, 5.96, 5.96", \ + " 5.67, 5.73, 5.83, 5.89, 5.89", \ + " 5.68, 5.73, 5.82, 5.89, 5.90", \ + " 5.74, 5.76, 5.85, 5.92, 5.94", \ + " 5.93, 5.91, 5.97, 6.04, 6.06", \ + " 6.28, 6.20, 6.21, 6.27, 6.29", \ + " 6.88, 6.72, 6.67, 6.70, 6.72", \ + " 7.84, 7.57, 7.44, 7.42, 7.43", \ + " 9.36, 8.96, 8.71, 8.63, 8.61", \ + " 11.76, 11.18, 10.78, 10.59, 10.52" ); }} +timing() { /* ring osc delay bf1v4x1, path a to z 67.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 59.0 ; */ +/* intrinsic_fall : 106.1 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.7, 61.7, 101.8, 190.7, 348.4, 683.3", \ + " 52.8, 68.8, 108.9, 197.9, 355.6, 690.6", \ + " 57.7, 73.6, 113.6, 202.6, 360.4, 695.3", \ + " 62.5, 78.5, 118.4, 207.4, 365.2, 700.1", \ + " 67.8, 84.1, 124.0, 212.9, 370.7, 705.6", \ + " 71.9, 88.5, 128.5, 217.3, 375.0, 709.9", \ + " 74.0, 91.3, 131.2, 220.0, 377.5, 712.4", \ + " 72.3, 90.6, 131.0, 219.4, 377.0, 711.7", \ + " 63.9, 83.6, 125.1, 213.7, 371.1, 705.7", \ + " 44.1, 65.5, 109.1, 198.6, 356.5, 691.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.2, 83.5, 189.8, 432.7, 865.9, 1786.6", \ + " 45.5, 83.7, 189.9, 432.7, 865.9, 1786.6", \ + " 46.6, 84.2, 190.0, 432.7, 865.9, 1786.6", \ + " 48.7, 85.7, 190.5, 432.8, 865.9, 1786.6", \ + " 52.3, 88.4, 191.8, 433.2, 865.9, 1786.6", \ + " 56.9, 92.1, 193.8, 433.9, 866.2, 1786.6", \ + " 63.3, 97.4, 197.1, 435.1, 866.7, 1786.8", \ + " 71.8, 105.3, 202.4, 437.6, 867.6, 1787.3", \ + " 83.3, 116.6, 211.3, 442.6, 870.1, 1788.2", \ + " 98.5, 132.5, 225.1, 452.4, 876.0, 1791.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.2, 96.5, 131.8, 201.7, 323.3, 580.9", \ + " 86.6, 103.8, 139.1, 209.1, 330.6, 588.3", \ + " 93.0, 110.2, 145.5, 215.5, 337.0, 594.7", \ + " 102.0, 119.2, 154.5, 224.4, 346.0, 603.7", \ + " 117.7, 134.9, 170.2, 240.2, 361.8, 619.5", \ + " 137.9, 155.9, 191.5, 261.5, 383.0, 640.7", \ + " 163.8, 182.8, 219.9, 290.2, 411.6, 669.2", \ + " 197.4, 217.6, 256.1, 327.4, 448.8, 706.2", \ + " 243.2, 264.6, 305.2, 377.5, 499.3, 756.5", \ + " 306.8, 329.9, 373.4, 447.7, 569.6, 827.2" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.0, 77.2, 146.4, 306.4, 598.4, 1225.9", \ + " 50.0, 77.3, 146.4, 306.4, 598.4, 1225.9", \ + " 50.2, 77.4, 146.4, 306.4, 598.4, 1225.9", \ + " 50.4, 77.7, 146.6, 306.5, 598.4, 1225.9", \ + " 52.7, 79.2, 147.3, 306.7, 598.5, 1225.9", \ + " 58.3, 84.1, 150.4, 307.9, 598.8, 1225.9", \ + " 65.2, 91.3, 156.4, 311.3, 600.1, 1226.1", \ + " 73.4, 99.8, 164.0, 316.2, 602.7, 1226.9", \ + " 83.8, 110.5, 173.9, 323.2, 606.5, 1228.4", \ + " 98.0, 125.3, 188.4, 333.8, 613.0, 1231.1" ); }} +} +} +cell(bf1v5x05) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 416 ; /* bf1v5x05 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v5x05 FO4 effort 1.50 */ +direction : input ; +capacitance : 2.32 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v5x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v5x05 9.78 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 4.76, 4.84, 4.90, 4.92, 4.92", \ + " 4.65, 4.72, 4.79, 4.83, 4.83", \ + " 4.68, 4.74, 4.81, 4.85, 4.86", \ + " 4.78, 4.82, 4.89, 4.94, 4.95", \ + " 5.03, 5.05, 5.11, 5.16, 5.18", \ + " 5.48, 5.48, 5.52, 5.57, 5.59", \ + " 6.27, 6.23, 6.24, 6.28, 6.30", \ + " 7.52, 7.45, 7.42, 7.43, 7.45", \ + " 9.54, 9.40, 9.31, 9.29, 9.30", \ + " 12.72, 12.50, 12.33, 12.25, 12.23" ); }} +timing() { /* ring osc delay bf1v5x05, path a to z 60.4 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 58.0 ; */ +/* intrinsic_fall : 70.2 ; */ +/* rise_resistance : 4.94 ; */ +/* fall_resistance : 3.82 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.9, 58.9, 93.9, 171.7, 309.7, 602.7", \ + " 51.8, 65.6, 100.7, 178.6, 316.7, 609.7", \ + " 56.4, 70.2, 105.3, 183.2, 321.3, 614.4", \ + " 61.0, 75.0, 110.0, 187.9, 326.0, 619.1", \ + " 66.5, 80.7, 115.9, 193.7, 331.8, 624.9", \ + " 71.7, 86.2, 121.3, 199.3, 337.3, 630.4", \ + " 76.5, 91.6, 126.9, 204.8, 342.8, 635.9", \ + " 80.0, 96.0, 131.9, 209.8, 348.0, 640.9", \ + " 80.8, 98.1, 135.2, 213.9, 352.1, 645.2", \ + " 76.8, 95.6, 134.9, 215.3, 354.7, 647.9" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 77.3, 170.5, 383.1, 762.1, 1567.7", \ + " 43.8, 77.5, 170.6, 383.1, 762.1, 1567.7", \ + " 45.1, 78.1, 170.7, 383.1, 762.1, 1567.7", \ + " 47.0, 79.6, 171.3, 383.2, 762.1, 1567.7", \ + " 50.0, 82.0, 172.6, 383.7, 762.2, 1567.7", \ + " 53.7, 84.8, 174.4, 384.4, 762.6, 1567.7", \ + " 59.0, 89.5, 177.3, 385.7, 763.2, 1568.1", \ + " 66.2, 96.3, 182.2, 388.4, 764.4, 1568.7", \ + " 76.0, 106.2, 190.8, 393.9, 767.6, 1570.0", \ + " 89.2, 120.1, 204.1, 404.7, 775.0, 1574.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.9, 61.2, 89.1, 149.1, 255.3, 480.6", \ + " 56.8, 69.0, 97.0, 157.1, 263.2, 488.6", \ + " 62.9, 75.1, 103.2, 163.3, 269.5, 494.9", \ + " 70.3, 82.8, 110.9, 171.0, 277.2, 502.7", \ + " 80.7, 93.9, 122.7, 182.8, 289.0, 514.4", \ + " 93.3, 107.1, 136.5, 197.0, 303.1, 528.5", \ + " 109.4, 124.0, 154.2, 215.1, 321.4, 546.7", \ + " 129.9, 145.7, 177.1, 238.4, 345.1, 570.3", \ + " 156.6, 174.0, 207.6, 270.1, 376.8, 602.5", \ + " 192.1, 211.5, 248.4, 313.5, 421.2, 647.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.2, 57.3, 119.5, 263.0, 521.2, 1071.6", \ + " 34.5, 57.4, 119.6, 263.0, 521.2, 1071.6", \ + " 35.2, 58.0, 119.8, 263.1, 521.2, 1071.6", \ + " 37.4, 59.6, 120.5, 263.2, 521.2, 1071.6", \ + " 40.9, 63.0, 122.8, 264.2, 521.4, 1071.6", \ + " 44.8, 66.8, 125.8, 265.9, 522.2, 1071.7", \ + " 50.2, 72.0, 129.7, 268.3, 523.4, 1072.3", \ + " 57.3, 79.2, 135.6, 272.0, 525.2, 1073.1", \ + " 67.0, 89.6, 145.2, 278.2, 528.9, 1074.6", \ + " 80.1, 104.0, 159.9, 290.0, 536.5, 1078.5" ); }} +} +} +cell(bf1v5x1) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 624 ; /* bf1v5x1 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v5x1 FO4 effort 1.51 */ +direction : input ; +capacitance : 3.48 ; +rise_capacitance : 3.53 ; +fall_capacitance : 3.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v5x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v5x1 14.84 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 7.20, 7.35, 7.46, 7.50, 7.50", \ + " 7.02, 7.15, 7.28, 7.35, 7.36", \ + " 7.05, 7.17, 7.30, 7.38, 7.39", \ + " 7.20, 7.29, 7.42, 7.50, 7.53", \ + " 7.58, 7.63, 7.74, 7.83, 7.86", \ + " 8.25, 8.27, 8.35, 8.44, 8.48", \ + " 9.42, 9.38, 9.42, 9.50, 9.54", \ + " 11.31, 11.20, 11.18, 11.22, 11.26", \ + " 14.33, 14.12, 14.01, 14.00, 14.03", \ + " 19.10, 18.75, 18.51, 18.42, 18.41" ); }} +timing() { /* ring osc delay bf1v5x1, path a to z 60.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 58.5 ; */ +/* intrinsic_fall : 70.9 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.0, 61.9, 102.0, 190.9, 348.6, 683.5", \ + " 52.8, 68.6, 108.8, 197.8, 355.6, 690.5", \ + " 57.4, 73.2, 113.3, 202.4, 360.2, 695.1", \ + " 62.0, 78.0, 118.0, 207.1, 364.9, 699.8", \ + " 67.5, 83.6, 123.8, 212.8, 370.6, 705.6", \ + " 72.7, 89.1, 129.2, 218.3, 376.1, 711.1", \ + " 77.6, 94.5, 134.6, 223.8, 381.5, 716.5", \ + " 81.1, 99.0, 139.6, 228.7, 386.6, 721.4", \ + " 82.1, 101.3, 143.1, 232.8, 390.7, 725.6", \ + " 78.2, 99.2, 143.0, 234.5, 393.3, 728.4" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.9, 83.6, 190.5, 433.7, 866.9, 1787.6", \ + " 45.2, 83.8, 190.5, 433.7, 866.9, 1787.6", \ + " 46.4, 84.4, 190.7, 433.7, 866.9, 1787.6", \ + " 48.5, 85.8, 191.2, 433.8, 866.9, 1787.6", \ + " 51.4, 88.2, 192.4, 434.2, 866.9, 1787.6", \ + " 55.2, 91.1, 194.1, 434.9, 867.4, 1787.6", \ + " 60.6, 95.5, 196.9, 436.1, 867.9, 1788.0", \ + " 68.0, 102.4, 201.4, 438.5, 869.0, 1788.5", \ + " 78.1, 112.3, 209.7, 443.6, 871.9, 1789.7", \ + " 91.6, 126.4, 223.0, 453.7, 878.9, 1793.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.0, 63.8, 95.5, 164.0, 285.4, 542.9", \ + " 57.8, 71.6, 103.4, 172.0, 293.3, 550.9", \ + " 63.9, 77.7, 109.5, 178.2, 299.5, 557.1", \ + " 71.4, 85.4, 117.2, 185.9, 307.3, 564.9", \ + " 81.8, 96.6, 129.0, 197.6, 319.0, 576.6", \ + " 94.4, 109.8, 142.9, 211.8, 333.1, 590.7", \ + " 110.6, 126.9, 160.6, 230.0, 351.3, 608.9", \ + " 131.2, 148.7, 183.6, 253.2, 375.0, 632.4", \ + " 158.1, 177.3, 214.4, 284.9, 406.7, 664.5", \ + " 193.8, 215.2, 255.6, 328.6, 451.1, 709.2" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 35.2, 61.5, 133.0, 297.5, 592.9, 1222.0", \ + " 35.5, 61.7, 133.0, 297.5, 592.9, 1222.0", \ + " 36.1, 62.1, 133.2, 297.5, 592.9, 1222.0", \ + " 38.4, 63.7, 133.8, 297.6, 592.9, 1222.0", \ + " 42.0, 67.1, 135.9, 298.4, 593.0, 1222.0", \ + " 46.0, 70.9, 138.9, 300.0, 593.7, 1222.1", \ + " 51.5, 76.1, 142.7, 302.2, 594.8, 1222.5", \ + " 58.7, 83.5, 148.2, 305.6, 596.4, 1223.4", \ + " 68.7, 94.1, 157.6, 311.3, 599.6, 1224.7", \ + " 82.2, 108.8, 172.3, 322.5, 606.7, 1228.1" ); }} +} +} +cell(bf1v5x2) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 970 ; /* bf1v5x2 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v5x2 FO4 effort 1.46 */ +direction : input ; +capacitance : 5.25 ; +rise_capacitance : 5.33 ; +fall_capacitance : 5.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v5x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v5x2 21.86 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 10.57, 10.78, 10.96, 11.03, 11.04", \ + " 10.31, 10.50, 10.70, 10.81, 10.83", \ + " 10.38, 10.54, 10.74, 10.87, 10.90", \ + " 10.62, 10.74, 10.93, 11.07, 11.12", \ + " 11.23, 11.30, 11.45, 11.60, 11.66", \ + " 12.31, 12.31, 12.42, 12.55, 12.62", \ + " 14.16, 14.08, 14.12, 14.22, 14.29", \ + " 17.13, 16.94, 16.88, 16.93, 16.99", \ + " 21.86, 21.52, 21.32, 21.29, 21.32", \ + " 29.33, 28.77, 28.38, 28.20, 28.17" ); }} +timing() { /* ring osc delay bf1v5x2, path a to z 57.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 68.4 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.64 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 57.0, 91.4, 167.7, 302.9, 590.0", \ + " 50.0, 63.7, 98.2, 174.6, 309.8, 596.9", \ + " 54.5, 68.2, 102.6, 179.0, 314.3, 601.5", \ + " 58.9, 72.8, 107.1, 183.5, 318.8, 606.0", \ + " 64.1, 78.2, 112.8, 189.1, 324.4, 611.5", \ + " 69.1, 83.4, 117.9, 194.4, 329.6, 616.8", \ + " 73.6, 88.5, 123.1, 199.5, 334.8, 621.9", \ + " 76.7, 92.5, 127.8, 204.2, 339.7, 626.6", \ + " 77.1, 94.2, 130.7, 207.9, 343.4, 630.6", \ + " 72.6, 91.3, 129.9, 208.9, 345.6, 632.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.8, 73.8, 165.2, 373.5, 744.8, 1533.9", \ + " 41.1, 74.0, 165.2, 373.5, 744.8, 1533.9", \ + " 42.4, 74.7, 165.4, 373.5, 744.8, 1533.9", \ + " 44.6, 76.2, 166.1, 373.6, 744.8, 1533.9", \ + " 47.5, 78.8, 167.4, 374.2, 744.9, 1533.9", \ + " 51.3, 81.7, 169.3, 374.9, 745.4, 1534.0", \ + " 56.7, 86.3, 172.2, 376.3, 746.0, 1534.4", \ + " 64.0, 93.3, 177.2, 379.1, 747.3, 1535.0", \ + " 73.8, 103.2, 185.9, 384.7, 750.7, 1536.5", \ + " 87.0, 117.2, 199.3, 395.7, 758.3, 1541.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 59.3, 86.7, 145.6, 249.6, 470.4", \ + " 55.0, 67.1, 94.6, 153.5, 257.5, 478.4", \ + " 61.0, 73.2, 100.7, 159.7, 263.8, 484.6", \ + " 68.3, 80.7, 108.4, 167.3, 271.4, 492.2", \ + " 78.5, 91.6, 119.9, 178.9, 282.9, 503.7", \ + " 90.8, 104.5, 133.4, 192.8, 296.8, 517.6", \ + " 106.6, 121.2, 150.8, 210.6, 314.8, 535.5", \ + " 126.8, 142.6, 173.5, 233.6, 338.2, 558.8", \ + " 153.2, 170.5, 203.6, 264.9, 369.5, 590.7", \ + " 188.3, 207.5, 243.9, 307.8, 413.5, 634.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 32.1, 54.7, 115.7, 256.4, 509.4, 1048.6", \ + " 32.4, 54.9, 115.8, 256.4, 509.4, 1048.6", \ + " 33.2, 55.4, 116.0, 256.5, 509.4, 1048.6", \ + " 35.6, 57.1, 116.8, 256.7, 509.4, 1048.6", \ + " 39.0, 60.6, 119.1, 257.7, 509.6, 1048.6", \ + " 43.0, 64.4, 122.2, 259.4, 510.5, 1048.7", \ + " 48.3, 69.6, 126.1, 261.8, 511.7, 1049.4", \ + " 55.4, 76.9, 132.0, 265.5, 513.6, 1050.3", \ + " 65.1, 87.3, 141.6, 271.8, 517.3, 1051.8", \ + " 78.3, 101.8, 156.4, 283.7, 525.1, 1055.9" ); }} +} +} +cell(bf1v5x4) { /* 2008-01-06:07h30 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1940 ; /* bf1v5x4 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v5x4 FO4 effort 1.35 */ +direction : input ; +capacitance : 10.19 ; +rise_capacitance : 10.31 ; +fall_capacitance : 10.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v5x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v5x4 37.31 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 17.71, 18.20, 18.55, 18.66, 18.66", \ + " 17.27, 17.66, 18.07, 18.27, 18.31", \ + " 17.49, 17.81, 18.21, 18.44, 18.50", \ + " 18.04, 18.28, 18.65, 18.91, 18.99", \ + " 19.36, 19.48, 19.79, 20.06, 20.16", \ + " 21.64, 21.61, 21.82, 22.08, 22.20", \ + " 25.49, 25.27, 25.33, 25.54, 25.67", \ + " 31.59, 31.12, 30.99, 31.09, 31.20", \ + " 41.25, 40.42, 40.00, 39.94, 39.99", \ + " 56.39, 55.07, 54.23, 53.89, 53.83" ); }} +timing() { /* ring osc delay bf1v5x4, path a to z 50.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 62.1 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.82 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 51.4, 85.9, 162.1, 297.3, 584.4", \ + " 44.3, 58.0, 92.5, 168.8, 304.1, 591.2", \ + " 48.4, 62.1, 96.5, 172.9, 308.2, 595.3", \ + " 52.1, 66.1, 100.5, 176.9, 312.1, 599.3", \ + " 56.6, 70.8, 105.3, 181.6, 316.9, 604.1", \ + " 60.7, 75.3, 109.7, 186.2, 321.4, 608.6", \ + " 64.2, 79.5, 114.1, 190.5, 325.8, 612.9", \ + " 66.2, 82.4, 117.8, 194.3, 329.7, 616.7", \ + " 65.3, 82.9, 119.6, 197.0, 332.5, 619.7", \ + " 59.2, 78.5, 117.3, 196.6, 333.7, 621.0" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.2, 67.3, 158.8, 367.3, 738.6, 1527.8", \ + " 34.8, 67.5, 158.9, 367.3, 738.6, 1527.8", \ + " 36.4, 68.4, 159.1, 367.3, 738.6, 1527.8", \ + " 38.5, 69.9, 159.8, 367.4, 738.6, 1527.8", \ + " 41.2, 72.3, 161.0, 368.0, 738.8, 1527.8", \ + " 45.0, 75.1, 162.8, 368.7, 739.2, 1527.8", \ + " 50.3, 79.8, 165.6, 370.0, 739.8, 1528.3", \ + " 57.4, 86.6, 170.7, 372.9, 741.3, 1528.9", \ + " 66.8, 96.4, 179.4, 378.6, 744.9, 1530.5", \ + " 79.6, 110.1, 192.5, 389.7, 752.8, 1535.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 53.4, 80.6, 139.4, 243.4, 464.2", \ + " 49.1, 61.3, 88.6, 147.5, 251.5, 472.3", \ + " 55.0, 67.3, 94.7, 153.5, 257.6, 478.4", \ + " 61.7, 74.4, 101.9, 160.8, 264.9, 485.7", \ + " 71.2, 84.5, 112.8, 171.7, 275.7, 496.5", \ + " 82.8, 96.8, 125.5, 184.9, 288.8, 509.6", \ + " 97.8, 112.7, 142.2, 201.8, 306.0, 526.7", \ + " 117.0, 133.2, 164.0, 223.9, 328.5, 549.2", \ + " 142.2, 160.0, 193.1, 254.2, 358.8, 580.0", \ + " 175.8, 195.6, 232.1, 295.9, 401.8, 623.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 26.8, 49.4, 110.7, 251.7, 505.0, 1044.3", \ + " 27.2, 49.7, 110.8, 251.8, 505.0, 1044.3", \ + " 28.5, 50.4, 111.0, 251.8, 505.0, 1044.3", \ + " 30.9, 52.4, 112.0, 252.0, 505.0, 1044.3", \ + " 33.9, 55.6, 114.2, 253.1, 505.2, 1044.3", \ + " 37.7, 59.0, 117.0, 254.6, 506.1, 1044.3", \ + " 42.7, 64.1, 120.5, 256.8, 507.2, 1045.1", \ + " 49.7, 71.3, 126.3, 260.3, 509.0, 1045.9", \ + " 59.0, 81.5, 135.8, 266.5, 512.7, 1047.5", \ + " 71.7, 95.5, 150.2, 278.3, 520.6, 1051.8" ); }} +} +} +cell(bf1v6x2) { /* 2008-01-06:07h30 */ +area : 4 ; /* tracks */ +cell_leakage_power : 751 ; /* bf1v6x2 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v6x2 FO4 effort 1.41 */ +direction : input ; +capacitance : 3.18 ; +rise_capacitance : 3.24 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v6x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 243 ; +max_fanout : 6 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v6x2 18.12 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 8.83, 9.02, 9.19, 9.27, 9.28", \ + " 8.65, 8.81, 9.00, 9.11, 9.13", \ + " 8.67, 8.80, 8.99, 9.10, 9.14", \ + " 8.80, 8.89, 9.06, 9.18, 9.23", \ + " 9.16, 9.18, 9.31, 9.44, 9.49", \ + " 9.80, 9.75, 9.82, 9.94, 10.00", \ + " 10.91, 10.77, 10.76, 10.84, 10.90", \ + " 12.72, 12.45, 12.34, 12.36, 12.40", \ + " 15.63, 15.19, 14.93, 14.86, 14.87", \ + " 20.27, 19.58, 19.11, 18.89, 18.83" ); }} +timing() { /* ring osc delay bf1v6x2, path a to z 61.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 65.9 ; */ +/* intrinsic_fall : 78.8 ; */ +/* rise_resistance : 2.20 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.3, 65.2, 101.1, 180.2, 320.5, 618.2", \ + " 57.3, 72.1, 108.0, 187.2, 327.5, 625.2", \ + " 62.6, 77.2, 113.1, 192.3, 332.6, 630.4", \ + " 68.5, 83.2, 118.9, 198.2, 338.4, 636.2", \ + " 75.7, 90.8, 126.7, 205.7, 346.0, 643.8", \ + " 82.8, 98.3, 134.3, 213.4, 353.5, 651.3", \ + " 89.9, 106.0, 142.2, 221.3, 361.4, 659.0", \ + " 96.2, 113.4, 150.2, 229.1, 369.3, 666.8", \ + " 100.9, 119.3, 157.6, 236.9, 377.0, 674.5", \ + " 101.9, 121.9, 162.5, 243.3, 384.1, 681.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.6, 78.1, 171.8, 387.1, 771.9, 1590.3", \ + " 44.8, 78.3, 171.8, 387.1, 771.9, 1590.3", \ + " 45.5, 78.7, 172.0, 387.1, 771.9, 1590.3", \ + " 47.8, 80.2, 172.5, 387.1, 771.9, 1590.3", \ + " 51.6, 83.3, 174.2, 387.7, 771.9, 1590.3", \ + " 56.1, 87.1, 176.6, 388.7, 772.4, 1590.3", \ + " 62.3, 92.5, 180.2, 390.3, 773.1, 1590.6", \ + " 70.6, 100.4, 185.7, 393.2, 774.3, 1591.2", \ + " 81.7, 111.7, 195.1, 399.0, 777.3, 1592.4", \ + " 96.7, 127.5, 209.8, 410.2, 784.6, 1596.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.5, 71.0, 103.4, 172.2, 293.6, 551.2", \ + " 64.2, 78.8, 111.2, 180.0, 301.4, 559.0", \ + " 70.4, 85.0, 117.4, 186.3, 307.7, 565.4", \ + " 78.5, 93.0, 125.5, 194.4, 315.8, 573.5", \ + " 90.3, 105.5, 138.3, 207.1, 328.6, 586.2", \ + " 104.0, 120.0, 153.6, 222.5, 343.9, 601.5", \ + " 121.4, 138.2, 172.6, 242.1, 363.4, 620.9", \ + " 143.2, 161.3, 196.9, 266.6, 388.3, 645.6", \ + " 171.5, 191.2, 228.8, 299.4, 421.0, 678.6", \ + " 208.6, 230.2, 271.2, 344.0, 466.1, 723.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.2, 64.6, 135.4, 299.0, 594.0, 1223.0", \ + " 38.4, 64.8, 135.4, 299.1, 594.0, 1223.0", \ + " 38.9, 65.0, 135.5, 299.1, 594.0, 1223.0", \ + " 40.6, 66.1, 136.0, 299.2, 594.0, 1223.0", \ + " 44.8, 69.7, 138.0, 299.8, 594.1, 1223.0", \ + " 49.5, 74.4, 141.4, 301.6, 594.6, 1223.0", \ + " 55.5, 80.3, 146.2, 304.2, 595.9, 1223.3", \ + " 63.4, 88.4, 152.4, 308.0, 597.7, 1224.3", \ + " 74.3, 99.8, 162.4, 314.3, 601.1, 1225.6", \ + " 89.1, 115.6, 178.1, 325.8, 608.2, 1228.7" ); }} +} +} +cell(bf1v8x4) { /* 2008-01-06:07h30 */ +area : 5 ; /* tracks */ +cell_leakage_power : 1247 ; /* bf1v8x4 */ +cell_footprint : bf1 ; +pin(a) { /* bf1v8x4 FO4 effort 1.50 */ +direction : input ; +capacitance : 3.00 ; +rise_capacitance : 3.09 ; +fall_capacitance : 2.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of bf1v8x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "a" ; +internal_power(a_z_p) { /* bf1v8x4 29.97 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 15.03, 14.96, 15.23, 15.43, 15.49", \ + " 14.88, 14.79, 15.05, 15.27, 15.34", \ + " 14.88, 14.75, 14.98, 15.22, 15.30", \ + " 15.03, 14.81, 14.99, 15.22, 15.32", \ + " 15.58, 15.17, 15.19, 15.38, 15.48", \ + " 16.57, 15.88, 15.68, 15.77, 15.86", \ + " 18.18, 17.11, 16.62, 16.56, 16.61", \ + " 20.65, 19.11, 18.24, 17.96, 17.91", \ + " 24.46, 22.36, 20.98, 20.37, 20.17", \ + " 30.39, 27.61, 25.49, 24.42, 23.97" ); }} +timing() { /* ring osc delay bf1v8x4, path a to z 72.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.5 ; */ +/* intrinsic_fall : 102.5 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.4, 80.6, 116.5, 193.1, 328.4, 615.5", \ + " 71.5, 87.7, 123.6, 200.2, 335.5, 622.7", \ + " 77.1, 93.2, 129.1, 205.7, 341.0, 628.2", \ + " 84.6, 100.6, 136.3, 212.8, 348.2, 635.4", \ + " 95.7, 111.9, 147.4, 223.8, 359.1, 646.3", \ + " 107.0, 123.7, 159.7, 235.8, 370.9, 658.0", \ + " 118.8, 136.2, 172.7, 248.7, 383.5, 670.5", \ + " 130.2, 148.5, 185.9, 261.8, 396.4, 683.1", \ + " 140.8, 160.1, 199.2, 275.2, 409.5, 695.9", \ + " 148.6, 169.3, 210.8, 288.1, 422.3, 708.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.2, 81.1, 168.6, 373.5, 743.2, 1532.1", \ + " 49.2, 81.2, 168.7, 373.5, 743.3, 1532.1", \ + " 49.3, 81.3, 168.8, 373.5, 743.3, 1532.1", \ + " 49.9, 81.9, 169.0, 373.6, 743.3, 1532.1", \ + " 54.1, 84.9, 170.6, 374.0, 743.3, 1532.1", \ + " 60.1, 90.5, 174.2, 375.5, 743.7, 1532.1", \ + " 67.6, 97.6, 179.6, 378.1, 744.7, 1532.3", \ + " 77.3, 107.2, 187.0, 382.3, 746.4, 1532.9", \ + " 90.3, 120.3, 198.2, 389.5, 749.8, 1534.0", \ + " 108.2, 138.4, 215.2, 401.9, 757.2, 1537.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.6, 90.9, 122.6, 183.4, 287.8, 508.7", \ + " 82.2, 98.5, 130.2, 191.0, 295.4, 516.3", \ + " 88.4, 104.6, 136.4, 197.2, 301.6, 522.5", \ + " 97.0, 113.1, 144.8, 205.7, 310.1, 531.1", \ + " 111.8, 127.9, 159.6, 220.5, 324.9, 545.8", \ + " 130.0, 147.0, 179.3, 240.1, 344.4, 565.3", \ + " 152.3, 170.3, 204.2, 265.7, 369.8, 590.6", \ + " 179.8, 199.0, 234.7, 297.2, 401.5, 622.0", \ + " 215.2, 235.6, 273.8, 337.8, 442.4, 662.7", \ + " 261.7, 283.6, 325.0, 391.9, 496.9, 717.3" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.4, 69.4, 128.6, 264.7, 513.9, 1051.0", \ + " 45.5, 69.5, 128.6, 264.7, 513.9, 1051.0", \ + " 45.6, 69.6, 128.7, 264.7, 513.9, 1051.0", \ + " 45.9, 69.9, 128.9, 264.8, 514.0, 1051.0", \ + " 48.7, 71.9, 129.9, 265.2, 514.1, 1051.0", \ + " 55.4, 78.0, 134.0, 267.0, 514.6, 1051.1", \ + " 64.0, 86.7, 141.5, 271.4, 516.6, 1051.4", \ + " 74.3, 97.1, 151.3, 278.1, 520.2, 1052.8", \ + " 87.8, 110.8, 164.4, 287.8, 525.7, 1054.9", \ + " 106.1, 129.2, 183.1, 302.6, 535.2, 1059.0" ); }} +} +} +cell(bsi2v2x1) { /* 2008-01-06:07h31 characteristic delay 18.6 18.4 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1120 ; /* bsi2v2x1 */ +cell_footprint : bsi2 ; +pin(a0) { /* bsi2v2x1 FO4 effort 1.65 logical effort 1.39 */ +direction : input ; +capacitance : 3.61 ; +rise_capacitance : 3.52 ; +fall_capacitance : 3.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of bsi2v2x1 */ +} +pin(a1) { /* bsi2v2x1 FO4 effort 1.68 logical effort 1.48 */ +direction : input ; +capacitance : 3.89 ; +rise_capacitance : 3.79 ; +fall_capacitance : 3.98 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of bsi2v2x1 */ +} +pin(s) { /* bsi2v2x1 FO4 effort 2.43 logical effort 3.58 */ +direction : input ; +capacitance : 9.80 ; +rise_capacitance : 9.77 ; +fall_capacitance : 9.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of bsi2v2x1 */ +} +pin(z0) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 95 ; +max_fanout : 4 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z0_n) { /* bsi2v2x1 18.15 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_130_5x10) { +values( " 9.03, 9.04, 9.04, 9.04, 9.02", \ + " 8.92, 8.94, 8.97, 8.98, 8.98", \ + " 8.94, 8.96, 8.99, 9.01, 9.02", \ + " 9.04, 9.05, 9.08, 9.10, 9.11", \ + " 9.31, 9.30, 9.30, 9.31, 9.31", \ + " 9.84, 9.78, 9.72, 9.68, 9.65", \ + " 10.80, 10.68, 10.50, 10.34, 10.25", \ + " 12.44, 12.23, 11.86, 11.50, 11.25", \ + " 15.20, 14.85, 14.21, 13.50, 12.96", \ + " 19.74, 19.21, 18.21, 16.95, 15.91" ); }} +internal_power(a1_z0_n) { /* bsi2v2x1 18.33 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 9.13, 9.14, 9.14, 9.14, 9.13", \ + " 9.01, 9.03, 9.06, 9.07, 9.07", \ + " 9.02, 9.05, 9.08, 9.11, 9.11", \ + " 9.12, 9.13, 9.16, 9.19, 9.20", \ + " 9.39, 9.38, 9.39, 9.40, 9.41", \ + " 9.93, 9.88, 9.82, 9.78, 9.77", \ + " 10.93, 10.81, 10.63, 10.47, 10.38", \ + " 12.61, 12.39, 12.03, 11.66, 11.41", \ + " 15.44, 15.08, 14.44, 13.72, 13.17", \ + " 20.10, 19.57, 18.55, 17.27, 16.22" ); }} +internal_power(s_z0_n) { /* bsi2v2x1 29.27 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 14.03, 14.20, 14.38, 14.47, 14.50", \ + " 13.87, 14.04, 14.26, 14.43, 14.51", \ + " 14.06, 14.18, 14.37, 14.55, 14.64", \ + " 14.44, 14.50, 14.63, 14.79, 14.88", \ + " 15.29, 15.26, 15.27, 15.35, 15.41", \ + " 16.71, 16.58, 16.44, 16.36, 16.33", \ + " 19.08, 18.84, 18.49, 18.17, 17.98", \ + " 22.86, 22.49, 21.89, 21.25, 20.77", \ + " 29.15, 28.59, 27.63, 26.52, 25.61", \ + " 39.58, 38.82, 37.41, 35.64, 34.06" ); }} +internal_power(s_z0_p) { /* bsi2v2x1 27.85 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 13.86, 13.73, 13.46, 13.15, 12.93", \ + " 13.74, 13.62, 13.39, 13.10, 12.87", \ + " 13.94, 13.82, 13.58, 13.27, 13.03", \ + " 14.35, 14.20, 13.93, 13.59, 13.32", \ + " 15.23, 15.04, 14.70, 14.30, 13.96", \ + " 16.68, 16.45, 16.03, 15.51, 15.06", \ + " 19.09, 18.80, 18.27, 17.58, 16.98", \ + " 22.91, 22.53, 21.85, 20.95, 20.11", \ + " 29.21, 28.63, 27.67, 26.46, 25.29", \ + " 39.66, 38.79, 37.24, 35.48, 33.84" ); }} +timing() { /* ring osc delay bsi2v2x1, path a0 to z0 114.0 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.2 ; */ +/* intrinsic_fall : 64.9 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.0, 61.0, 91.6, 158.3, 275.9, 525.6", \ + " 56.9, 68.9, 99.4, 166.1, 283.7, 533.4", \ + " 63.2, 75.1, 105.5, 172.2, 289.7, 539.4", \ + " 71.7, 83.5, 113.8, 180.3, 297.8, 547.4", \ + " 84.7, 97.8, 128.4, 194.6, 311.9, 561.4", \ + " 99.6, 114.5, 148.7, 215.2, 332.2, 581.6", \ + " 118.2, 135.1, 174.1, 245.9, 362.7, 611.8", \ + " 141.3, 160.4, 204.7, 286.4, 407.2, 656.1", \ + " 171.2, 192.9, 243.3, 336.4, 471.5, 722.4", \ + " 210.4, 235.4, 293.2, 399.5, 553.7, 821.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.7, 151.6, 248.7, 473.8, 878.6, 1742.2", \ + " 119.9, 153.7, 249.7, 474.2, 878.7, 1742.2", \ + " 125.0, 158.1, 252.1, 474.8, 878.8, 1742.3", \ + " 133.5, 165.5, 257.4, 476.9, 879.2, 1742.3", \ + " 150.1, 180.7, 269.6, 484.4, 881.4, 1742.5", \ + " 175.6, 204.5, 290.0, 499.4, 889.8, 1743.4", \ + " 212.1, 242.0, 323.4, 526.6, 909.0, 1750.6", \ + " 258.2, 290.7, 374.7, 570.9, 944.5, 1772.0", \ + " 321.1, 356.2, 447.2, 641.6, 1005.4, 1816.9", \ + " 409.8, 447.8, 545.4, 752.0, 1105.8, 1899.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 56.5, 80.7, 133.5, 226.8, 424.9", \ + " 53.9, 63.6, 87.9, 140.7, 234.0, 432.1", \ + " 59.2, 69.1, 93.4, 146.2, 239.5, 437.6", \ + " 66.0, 76.1, 100.6, 153.5, 246.9, 445.0", \ + " 74.7, 86.4, 112.7, 166.2, 259.8, 458.0", \ + " 83.1, 96.8, 127.1, 183.4, 277.7, 476.3", \ + " 91.3, 107.3, 142.8, 206.4, 303.4, 503.4", \ + " 98.4, 117.0, 158.6, 232.3, 338.6, 541.6", \ + " 103.2, 124.9, 173.9, 260.4, 382.0, 595.9", \ + " 103.6, 129.0, 186.8, 289.1, 430.8, 671.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.7, 101.3, 168.7, 324.4, 605.8, 1208.2", \ + " 79.7, 103.6, 170.1, 325.0, 606.0, 1208.3", \ + " 85.0, 108.0, 172.8, 326.0, 606.3, 1208.3", \ + " 93.8, 115.9, 178.9, 329.0, 606.9, 1208.3", \ + " 111.1, 131.7, 192.1, 338.2, 610.5, 1208.5", \ + " 135.3, 156.1, 213.4, 355.4, 621.5, 1210.5", \ + " 167.2, 189.4, 247.8, 384.5, 644.5, 1221.6", \ + " 210.1, 233.9, 296.1, 431.0, 684.0, 1248.9", \ + " 270.0, 295.9, 362.2, 504.2, 749.6, 1301.5", \ + " 354.7, 383.7, 455.8, 606.7, 857.0, 1393.0" ); }} +timing() { /* ring osc delay bsi2v2x1, path a0 to z0 114.0 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.2 ; */ +/* intrinsic_fall : 64.9 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.0, 61.0, 91.6, 158.3, 275.9, 525.6", \ + " 56.9, 68.9, 99.4, 166.1, 283.7, 533.4", \ + " 63.2, 75.1, 105.5, 172.1, 289.7, 539.3", \ + " 71.7, 83.5, 113.8, 180.3, 297.7, 547.4", \ + " 84.7, 97.8, 128.4, 194.6, 311.9, 561.4", \ + " 99.6, 114.5, 148.7, 215.2, 332.2, 581.5", \ + " 118.1, 135.1, 174.1, 245.8, 362.7, 611.7", \ + " 141.3, 160.4, 204.7, 286.4, 407.2, 656.1", \ + " 171.2, 192.9, 243.3, 336.4, 471.5, 722.4", \ + " 210.4, 235.4, 293.2, 399.5, 553.7, 821.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.7, 151.6, 248.7, 473.8, 878.5, 1742.2", \ + " 119.9, 153.7, 249.7, 474.1, 878.6, 1742.2", \ + " 125.0, 158.0, 252.1, 474.8, 878.8, 1742.2", \ + " 133.5, 165.5, 257.4, 476.9, 879.2, 1742.3", \ + " 150.1, 180.7, 269.6, 484.4, 881.4, 1742.5", \ + " 175.6, 204.5, 290.0, 499.4, 889.7, 1743.4", \ + " 212.1, 242.0, 323.4, 526.6, 909.0, 1750.6", \ + " 258.2, 290.7, 374.7, 570.8, 944.5, 1772.0", \ + " 321.1, 356.2, 447.1, 641.6, 1005.4, 1816.9", \ + " 409.8, 447.8, 545.4, 752.0, 1105.7, 1899.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 56.5, 80.8, 133.5, 226.8, 424.9", \ + " 53.9, 63.7, 87.9, 140.7, 234.0, 432.1", \ + " 59.2, 69.1, 93.4, 146.2, 239.5, 437.6", \ + " 66.0, 76.1, 100.6, 153.6, 246.9, 445.0", \ + " 74.7, 86.4, 112.7, 166.2, 259.8, 458.0", \ + " 83.1, 96.8, 127.1, 183.4, 277.7, 476.4", \ + " 91.3, 107.3, 142.8, 206.4, 303.4, 503.4", \ + " 98.4, 117.0, 158.6, 232.3, 338.6, 541.6", \ + " 103.2, 124.9, 173.9, 260.4, 382.0, 595.9", \ + " 103.6, 129.0, 186.8, 289.1, 430.8, 671.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.7, 101.3, 168.7, 324.4, 605.9, 1208.3", \ + " 79.7, 103.6, 170.1, 325.0, 606.0, 1208.3", \ + " 85.0, 108.0, 172.9, 326.1, 606.3, 1208.3", \ + " 93.8, 115.9, 178.9, 329.0, 607.0, 1208.3", \ + " 111.1, 131.7, 192.2, 338.2, 610.5, 1208.6", \ + " 135.3, 156.1, 213.4, 355.4, 621.6, 1210.5", \ + " 167.2, 189.4, 247.8, 384.5, 644.5, 1221.7", \ + " 210.1, 233.9, 296.1, 431.1, 684.1, 1249.0", \ + " 270.0, 295.9, 362.2, 504.2, 749.6, 1301.5", \ + " 354.7, 383.7, 455.8, 606.7, 857.0, 1393.0" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 69.2 ; */ +/* intrinsic_fall : 64.9 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.0, 61.0, 91.6, 158.3, 275.9, 525.6", \ + " 56.9, 68.9, 99.4, 166.1, 283.7, 533.4", \ + " 63.2, 75.1, 105.5, 172.2, 289.7, 539.4", \ + " 71.7, 83.5, 113.8, 180.3, 297.8, 547.4", \ + " 84.7, 97.8, 128.4, 194.6, 311.9, 561.4", \ + " 99.6, 114.5, 148.7, 215.2, 332.2, 581.6", \ + " 118.2, 135.1, 174.1, 245.9, 362.7, 611.8", \ + " 141.3, 160.4, 204.7, 286.4, 407.2, 656.1", \ + " 171.2, 192.9, 243.3, 336.4, 471.5, 722.4", \ + " 210.4, 235.4, 293.2, 399.5, 553.7, 821.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.7, 151.6, 248.7, 473.8, 878.6, 1742.2", \ + " 119.9, 153.7, 249.7, 474.2, 878.7, 1742.2", \ + " 125.0, 158.1, 252.1, 474.8, 878.8, 1742.3", \ + " 133.5, 165.5, 257.4, 476.9, 879.2, 1742.3", \ + " 150.1, 180.7, 269.6, 484.4, 881.4, 1742.5", \ + " 175.6, 204.5, 290.0, 499.4, 889.8, 1743.4", \ + " 212.1, 242.0, 323.4, 526.6, 909.0, 1750.6", \ + " 258.2, 290.7, 374.7, 570.9, 944.5, 1772.0", \ + " 321.1, 356.2, 447.2, 641.6, 1005.4, 1816.9", \ + " 409.8, 447.8, 545.4, 752.0, 1105.8, 1899.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 56.5, 80.7, 133.5, 226.8, 424.9", \ + " 53.9, 63.6, 87.9, 140.7, 234.0, 432.1", \ + " 59.2, 69.1, 93.4, 146.2, 239.5, 437.6", \ + " 66.0, 76.1, 100.6, 153.5, 246.9, 445.0", \ + " 74.7, 86.4, 112.7, 166.2, 259.8, 458.0", \ + " 83.1, 96.8, 127.1, 183.4, 277.7, 476.3", \ + " 91.3, 107.3, 142.8, 206.4, 303.4, 503.4", \ + " 98.4, 117.0, 158.6, 232.3, 338.6, 541.6", \ + " 103.2, 124.9, 173.9, 260.4, 382.0, 595.9", \ + " 103.6, 129.0, 186.8, 289.1, 430.8, 671.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.7, 101.3, 168.7, 324.4, 605.8, 1208.2", \ + " 79.7, 103.6, 170.1, 325.0, 606.0, 1208.3", \ + " 85.0, 108.0, 172.8, 326.0, 606.3, 1208.3", \ + " 93.8, 115.9, 178.9, 329.0, 606.9, 1208.3", \ + " 111.1, 131.7, 192.1, 338.2, 610.5, 1208.5", \ + " 135.3, 156.1, 213.4, 355.4, 621.5, 1210.5", \ + " 167.2, 189.4, 247.8, 384.5, 644.5, 1221.6", \ + " 210.1, 233.9, 296.1, 431.0, 684.0, 1248.9", \ + " 270.0, 295.9, 362.2, 504.2, 749.6, 1301.5", \ + " 354.7, 383.7, 455.8, 606.7, 857.0, 1393.0" ); }} +timing() { /* ring osc delay bsi2v2x1, path a1 to z0 112.7 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 63.8 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 61.3, 91.1, 155.8, 270.0, 512.4", \ + " 57.1, 69.0, 98.9, 163.6, 277.7, 520.1", \ + " 63.3, 75.1, 104.9, 169.6, 283.7, 526.1", \ + " 71.5, 83.4, 113.1, 177.6, 291.6, 534.0", \ + " 83.9, 97.1, 127.5, 191.8, 305.7, 547.9", \ + " 98.2, 113.1, 147.1, 212.1, 325.7, 567.8", \ + " 116.0, 132.8, 171.4, 242.1, 355.8, 597.7", \ + " 138.1, 157.1, 200.8, 281.2, 399.6, 641.5", \ + " 166.7, 188.2, 237.8, 329.3, 462.1, 706.8", \ + " 203.9, 228.7, 285.7, 390.1, 541.4, 804.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 114.4, 148.9, 244.4, 464.2, 859.0, 1701.2", \ + " 117.7, 151.2, 245.4, 464.6, 859.1, 1701.2", \ + " 123.0, 155.6, 248.0, 465.4, 859.3, 1701.3", \ + " 131.5, 163.2, 253.5, 467.7, 859.8, 1701.3", \ + " 148.2, 178.4, 265.8, 475.5, 862.4, 1701.5", \ + " 173.7, 202.2, 286.2, 490.8, 871.2, 1702.7", \ + " 209.7, 239.5, 319.4, 518.0, 890.9, 1710.8", \ + " 255.4, 287.6, 370.7, 562.2, 926.6, 1733.0", \ + " 318.3, 352.8, 442.2, 632.8, 987.6, 1778.6", \ + " 407.1, 444.4, 540.0, 742.7, 1088.0, 1861.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 55.2, 79.3, 132.1, 225.5, 423.6", \ + " 52.7, 62.3, 86.5, 139.3, 232.7, 430.8", \ + " 58.2, 67.8, 92.0, 144.8, 238.2, 436.3", \ + " 65.2, 75.1, 99.3, 152.2, 245.6, 443.7", \ + " 74.1, 85.7, 111.8, 165.0, 258.5, 456.7", \ + " 82.9, 96.4, 126.6, 182.4, 276.6, 475.2", \ + " 91.4, 107.3, 142.6, 205.9, 302.6, 502.4", \ + " 99.1, 117.5, 158.9, 232.4, 338.2, 540.9", \ + " 104.8, 126.2, 174.9, 261.0, 382.2, 595.6", \ + " 106.5, 131.6, 188.8, 290.6, 431.8, 671.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 100.0, 166.8, 322.4, 603.8, 1206.0", \ + " 79.1, 102.1, 168.0, 322.9, 604.0, 1206.1", \ + " 84.5, 106.6, 170.7, 323.8, 604.2, 1206.1", \ + " 93.4, 114.6, 176.7, 326.6, 604.8, 1206.1", \ + " 111.0, 130.8, 190.2, 335.8, 608.2, 1206.4", \ + " 135.3, 155.6, 211.9, 353.1, 619.2, 1208.2", \ + " 167.2, 189.0, 246.7, 382.5, 642.1, 1219.3", \ + " 210.0, 233.4, 295.0, 429.3, 681.8, 1246.5", \ + " 269.6, 295.2, 361.1, 502.5, 747.4, 1299.0", \ + " 353.9, 382.7, 454.3, 604.7, 854.7, 1390.3" ); }} +timing() { /* ring osc delay bsi2v2x1, path a1 to z0 112.7 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 63.8 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 61.3, 91.1, 155.8, 270.0, 512.4", \ + " 57.1, 69.0, 98.9, 163.6, 277.7, 520.1", \ + " 63.3, 75.2, 104.9, 169.6, 283.7, 526.1", \ + " 71.5, 83.4, 113.1, 177.6, 291.7, 534.0", \ + " 83.9, 97.1, 127.5, 191.8, 305.7, 547.9", \ + " 98.2, 113.1, 147.1, 212.1, 325.7, 567.8", \ + " 116.0, 132.8, 171.4, 242.1, 355.8, 597.7", \ + " 138.2, 157.1, 200.8, 281.2, 399.6, 641.5", \ + " 166.7, 188.2, 237.8, 329.3, 462.1, 706.8", \ + " 203.9, 228.7, 285.7, 390.1, 541.4, 804.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 114.4, 148.9, 244.4, 464.2, 859.0, 1701.3", \ + " 117.7, 151.2, 245.4, 464.6, 859.1, 1701.3", \ + " 123.0, 155.6, 248.0, 465.4, 859.3, 1701.3", \ + " 131.5, 163.2, 253.5, 467.7, 859.8, 1701.3", \ + " 148.2, 178.4, 265.8, 475.5, 862.4, 1701.6", \ + " 173.7, 202.2, 286.2, 490.8, 871.2, 1702.8", \ + " 209.7, 239.5, 319.4, 518.0, 890.9, 1710.8", \ + " 255.4, 287.6, 370.7, 562.2, 926.6, 1733.0", \ + " 318.3, 352.8, 442.2, 632.8, 987.6, 1778.6", \ + " 407.1, 444.4, 540.0, 742.7, 1088.0, 1861.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 55.2, 79.3, 132.1, 225.5, 423.6", \ + " 52.7, 62.3, 86.5, 139.3, 232.7, 430.8", \ + " 58.2, 67.8, 92.0, 144.8, 238.2, 436.3", \ + " 65.1, 75.1, 99.3, 152.2, 245.6, 443.7", \ + " 74.1, 85.7, 111.8, 165.0, 258.5, 456.7", \ + " 82.9, 96.4, 126.6, 182.4, 276.6, 475.2", \ + " 91.4, 107.3, 142.6, 205.9, 302.6, 502.4", \ + " 99.0, 117.5, 158.9, 232.4, 338.2, 540.9", \ + " 104.8, 126.2, 174.9, 261.0, 382.2, 595.6", \ + " 106.5, 131.6, 188.8, 290.6, 431.8, 671.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 100.0, 166.8, 322.4, 603.8, 1206.0", \ + " 79.1, 102.2, 168.0, 322.9, 603.9, 1206.0", \ + " 84.5, 106.7, 170.7, 323.8, 604.2, 1206.1", \ + " 93.4, 114.7, 176.7, 326.6, 604.8, 1206.1", \ + " 111.0, 130.8, 190.2, 335.8, 608.2, 1206.4", \ + " 135.3, 155.6, 211.9, 353.1, 619.2, 1208.2", \ + " 167.2, 189.0, 246.7, 382.5, 642.1, 1219.3", \ + " 210.0, 233.4, 295.0, 429.3, 681.8, 1246.5", \ + " 269.6, 295.2, 361.1, 502.6, 747.4, 1299.0", \ + " 353.9, 382.7, 454.3, 604.7, 854.7, 1390.3" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 63.8 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 61.3, 91.1, 155.8, 270.0, 512.4", \ + " 57.1, 69.0, 98.9, 163.6, 277.7, 520.1", \ + " 63.3, 75.1, 104.9, 169.6, 283.7, 526.1", \ + " 71.5, 83.4, 113.1, 177.6, 291.6, 534.0", \ + " 83.9, 97.1, 127.5, 191.8, 305.7, 547.9", \ + " 98.2, 113.1, 147.1, 212.1, 325.7, 567.8", \ + " 116.0, 132.8, 171.4, 242.1, 355.8, 597.7", \ + " 138.1, 157.1, 200.8, 281.2, 399.6, 641.5", \ + " 166.7, 188.2, 237.8, 329.3, 462.1, 706.8", \ + " 203.9, 228.7, 285.7, 390.1, 541.4, 804.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 114.4, 148.9, 244.4, 464.2, 859.0, 1701.2", \ + " 117.7, 151.2, 245.4, 464.6, 859.1, 1701.2", \ + " 123.0, 155.6, 248.0, 465.4, 859.3, 1701.3", \ + " 131.5, 163.2, 253.5, 467.7, 859.8, 1701.3", \ + " 148.2, 178.4, 265.8, 475.5, 862.4, 1701.5", \ + " 173.7, 202.2, 286.2, 490.8, 871.2, 1702.7", \ + " 209.7, 239.5, 319.4, 518.0, 890.9, 1710.8", \ + " 255.4, 287.6, 370.7, 562.2, 926.6, 1733.0", \ + " 318.3, 352.8, 442.2, 632.8, 987.6, 1778.6", \ + " 407.1, 444.4, 540.0, 742.7, 1088.0, 1861.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 55.2, 79.3, 132.1, 225.5, 423.6", \ + " 52.7, 62.3, 86.5, 139.3, 232.7, 430.8", \ + " 58.2, 67.8, 92.0, 144.8, 238.2, 436.3", \ + " 65.2, 75.1, 99.3, 152.2, 245.6, 443.7", \ + " 74.1, 85.7, 111.8, 165.0, 258.5, 456.7", \ + " 82.9, 96.4, 126.6, 182.4, 276.6, 475.2", \ + " 91.4, 107.3, 142.6, 205.9, 302.6, 502.4", \ + " 99.1, 117.5, 158.9, 232.4, 338.2, 540.9", \ + " 104.8, 126.2, 174.9, 261.0, 382.2, 595.6", \ + " 106.5, 131.6, 188.8, 290.6, 431.8, 671.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 100.0, 166.8, 322.4, 603.8, 1206.0", \ + " 79.1, 102.1, 168.0, 322.9, 604.0, 1206.1", \ + " 84.5, 106.6, 170.7, 323.8, 604.2, 1206.1", \ + " 93.4, 114.6, 176.7, 326.6, 604.8, 1206.1", \ + " 111.0, 130.8, 190.2, 335.8, 608.2, 1206.4", \ + " 135.3, 155.6, 211.9, 353.1, 619.2, 1208.2", \ + " 167.2, 189.0, 246.7, 382.5, 642.1, 1219.3", \ + " 210.0, 233.4, 295.0, 429.3, 681.8, 1246.5", \ + " 269.6, 295.2, 361.1, 502.5, 747.4, 1299.0", \ + " 353.9, 382.7, 454.3, 604.7, 854.7, 1390.3" ); }} +timing() { /* ring osc delay bsi2v2x1, path s to z0 88.3 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.2 ; */ +/* intrinsic_fall : 42.1 ; */ +/* rise_resistance : 3.74 ; */ +/* fall_resistance : 2.99 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.3, 45.3, 78.0, 144.7, 263.1, 513.5", \ + " 37.9, 51.2, 84.4, 151.5, 270.1, 520.6", \ + " 43.8, 56.7, 89.8, 156.8, 275.5, 526.1", \ + " 49.6, 64.8, 97.4, 164.1, 282.7, 533.2", \ + " 58.2, 75.8, 111.2, 176.6, 294.6, 544.9", \ + " 68.7, 88.8, 129.5, 193.9, 310.4, 559.9", \ + " 82.7, 105.5, 151.8, 219.5, 332.4, 579.6", \ + " 101.0, 126.9, 179.3, 251.5, 364.5, 605.9", \ + " 125.8, 155.3, 214.9, 292.3, 411.6, 646.0", \ + " 159.6, 193.5, 262.9, 347.5, 472.5, 714.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.3, 117.8, 228.7, 457.9, 866.9, 1737.5", \ + " 72.9, 118.0, 228.4, 457.8, 866.8, 1737.4", \ + " 79.1, 122.6, 230.3, 457.6, 866.6, 1737.3", \ + " 88.7, 130.6, 235.8, 458.5, 866.2, 1737.1", \ + " 108.0, 146.6, 248.4, 464.2, 866.5, 1736.4", \ + " 127.0, 171.7, 269.0, 476.0, 871.0, 1735.5", \ + " 151.7, 202.7, 302.5, 497.7, 881.3, 1737.6", \ + " 186.3, 240.1, 355.2, 535.8, 902.1, 1744.4", \ + " 235.1, 295.0, 419.4, 602.8, 944.9, 1762.8", \ + " 306.2, 378.3, 514.1, 708.9, 1029.3, 1810.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.3, 36.0, 61.3, 115.4, 208.9, 406.7", \ + " 32.4, 42.0, 67.5, 122.0, 215.6, 413.4", \ + " 36.2, 47.0, 72.4, 126.9, 220.6, 418.5", \ + " 39.3, 52.3, 79.0, 133.3, 226.9, 424.7", \ + " 42.2, 58.2, 90.2, 143.7, 236.6, 434.0", \ + " 43.7, 62.9, 101.1, 158.2, 249.2, 445.3", \ + " 43.3, 66.1, 111.6, 177.1, 267.8, 460.6", \ + " 40.1, 66.9, 120.5, 195.8, 295.7, 483.5", \ + " 32.2, 63.7, 126.4, 214.3, 326.6, 522.3", \ + " 16.5, 53.7, 126.9, 232.5, 358.7, 577.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.6, 73.9, 151.1, 312.4, 598.2, 1205.0", \ + " 46.2, 75.5, 151.0, 312.3, 598.2, 1205.0", \ + " 53.0, 80.9, 153.9, 312.2, 598.0, 1204.9", \ + " 64.0, 90.0, 160.5, 314.6, 597.6, 1204.8", \ + " 76.9, 108.4, 175.5, 322.6, 600.2, 1204.3", \ + " 94.8, 128.7, 200.1, 338.6, 607.9, 1205.7", \ + " 120.7, 156.3, 238.3, 369.2, 625.8, 1213.9", \ + " 156.6, 194.2, 285.7, 421.3, 662.0, 1234.5", \ + " 207.6, 247.6, 352.2, 501.2, 729.3, 1279.7", \ + " 280.6, 324.4, 448.2, 614.3, 845.5, 1369.7" ); }} +timing() { /* ring osc delay bsi2v2x1, path s to z0 100.4 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.4 ; */ +/* intrinsic_fall : 62.5 ; */ +/* rise_resistance : 3.31 ; */ +/* fall_resistance : 2.66 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 46.7, 69.3, 128.3, 239.6, 480.3", \ + " 46.7, 54.8, 77.8, 136.7, 247.6, 487.9", \ + " 52.5, 60.7, 83.7, 142.5, 252.9, 492.9", \ + " 60.5, 68.1, 90.9, 149.2, 259.2, 498.6", \ + " 72.0, 80.7, 102.5, 159.6, 268.7, 507.3", \ + " 82.0, 92.4, 117.9, 173.2, 280.8, 518.1", \ + " 90.8, 102.6, 131.8, 193.8, 298.4, 533.2", \ + " 98.1, 111.1, 142.9, 212.7, 324.9, 555.3", \ + " 103.5, 117.9, 151.8, 226.2, 354.6, 589.8", \ + " 105.5, 121.4, 157.6, 235.1, 373.0, 641.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.5, 151.3, 242.2, 461.1, 855.1, 1700.1", \ + " 123.7, 154.6, 243.5, 458.9, 853.9, 1699.9", \ + " 126.9, 157.8, 245.9, 458.5, 852.4, 1699.5", \ + " 131.3, 162.2, 249.5, 459.1, 850.1, 1698.8", \ + " 137.0, 169.4, 256.2, 462.3, 849.8, 1696.3", \ + " 141.0, 174.3, 266.1, 470.6, 854.4, 1694.4", \ + " 148.6, 181.6, 274.6, 487.5, 867.6, 1700.0", \ + " 156.6, 191.0, 284.2, 509.1, 893.9, 1717.8", \ + " 168.3, 203.9, 299.8, 523.6, 935.4, 1755.9", \ + " 187.3, 223.9, 321.4, 547.8, 966.4, 1824.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.9, 51.0, 70.2, 117.1, 206.4, 401.8", \ + " 52.0, 59.3, 78.8, 125.6, 214.6, 409.7", \ + " 58.4, 66.0, 85.5, 132.1, 220.7, 415.4", \ + " 66.6, 74.5, 94.1, 140.3, 228.5, 422.7", \ + " 80.7, 88.7, 108.1, 153.8, 241.0, 434.2", \ + " 95.5, 104.8, 126.1, 171.4, 257.4, 449.0", \ + " 112.8, 123.2, 146.6, 195.1, 280.4, 469.8", \ + " 133.6, 145.1, 170.4, 221.8, 311.6, 499.4", \ + " 160.0, 172.7, 200.1, 253.7, 347.9, 542.4", \ + " 194.2, 208.3, 238.4, 294.7, 391.0, 597.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.0, 121.1, 184.4, 335.7, 607.6, 1207.7", \ + " 103.5, 124.3, 184.3, 332.8, 607.1, 1207.7", \ + " 107.3, 128.0, 186.6, 331.8, 606.8, 1207.7", \ + " 113.2, 133.7, 190.8, 332.5, 606.0, 1207.6", \ + " 122.4, 143.7, 199.5, 336.7, 606.3, 1207.1", \ + " 133.1, 153.6, 211.2, 345.6, 610.9, 1206.1", \ + " 148.5, 169.9, 223.8, 360.0, 622.1, 1210.2", \ + " 166.9, 189.4, 243.8, 376.4, 641.2, 1223.1", \ + " 190.3, 214.2, 269.9, 399.0, 663.4, 1249.1", \ + " 220.8, 246.6, 304.9, 433.5, 689.8, 1285.5" ); }} +} +pin(z1) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 95 ; +max_fanout : 4 ; +function : "((a1*s')+(a0*s))'" ; +internal_power(a0_z1_n) { /* bsi2v2x1 18.21 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_130_5x10) { +values( " 9.06, 9.07, 9.07, 9.07, 9.06", \ + " 8.95, 8.97, 9.00, 9.01, 9.01", \ + " 8.97, 8.99, 9.02, 9.05, 9.05", \ + " 9.07, 9.08, 9.11, 9.13, 9.14", \ + " 9.34, 9.33, 9.33, 9.34, 9.34", \ + " 9.87, 9.81, 9.75, 9.71, 9.69", \ + " 10.83, 10.71, 10.53, 10.38, 10.28", \ + " 12.47, 12.25, 11.89, 11.53, 11.28", \ + " 15.22, 14.87, 14.24, 13.53, 12.99", \ + " 19.76, 19.24, 18.23, 16.98, 15.94" ); }} +internal_power(a1_z1_n) { /* bsi2v2x1 18.33 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 9.13, 9.14, 9.14, 9.14, 9.13", \ + " 9.01, 9.03, 9.06, 9.07, 9.07", \ + " 9.02, 9.05, 9.08, 9.11, 9.11", \ + " 9.12, 9.13, 9.16, 9.19, 9.20", \ + " 9.39, 9.39, 9.39, 9.40, 9.41", \ + " 9.94, 9.88, 9.82, 9.78, 9.77", \ + " 10.93, 10.81, 10.63, 10.47, 10.38", \ + " 12.61, 12.39, 12.03, 11.66, 11.41", \ + " 15.45, 15.09, 14.45, 13.72, 13.18", \ + " 20.11, 19.57, 18.55, 17.28, 16.22" ); }} +internal_power(s_z1_n) { /* bsi2v2x1 29.18 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { /* additional power to z0 power dissipation */ +values( " 0.11, 0.28, 0.45, 0.55, 0.58", \ + " 0.00, 0.12, 0.35, 0.51, 0.59", \ + " 0.16, 0.27, 0.46, 0.64, 0.73", \ + " 0.54, 0.60, 0.73, 0.88, 0.97", \ + " 1.40, 1.37, 1.38, 1.44, 1.50", \ + " 2.83, 2.70, 2.55, 2.47, 2.44", \ + " 5.22, 4.98, 4.62, 4.30, 4.10", \ + " 9.04, 8.66, 8.05, 7.39, 6.91", \ + " 15.37, 14.81, 13.84, 12.71, 11.78", \ + " 25.88, 25.11, 23.69, 21.89, 20.29" ); }} +internal_power(s_z1_p) { /* bsi2v2x1 27.85 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { /* additional power to z0 power dissipation */ +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.32, 0.17, 0.00, 0.00, 0.00", \ + " 1.20, 1.01, 0.68, 0.27, 0.00", \ + " 2.65, 2.42, 2.00, 1.48, 1.03", \ + " 5.06, 4.77, 4.24, 3.55, 2.94", \ + " 8.88, 8.50, 7.83, 6.92, 6.08", \ + " 15.18, 14.60, 13.65, 12.44, 11.26", \ + " 25.63, 24.76, 23.22, 21.47, 19.82" ); }} +timing() { /* ring osc delay bsi2v2x1, path a0 to z1 114.3 */ +related_pin : "a0" ; +when : "(a1'*s)" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.9 ; */ +/* intrinsic_fall : 63.4 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 62.8, 93.6, 160.3, 277.9, 527.6", \ + " 58.3, 70.6, 101.4, 168.1, 285.7, 535.4", \ + " 64.5, 76.7, 107.4, 174.1, 291.7, 541.3", \ + " 72.8, 85.0, 115.7, 182.2, 299.7, 549.3", \ + " 85.7, 99.1, 130.2, 196.5, 313.8, 563.4", \ + " 100.4, 115.6, 150.2, 217.0, 334.1, 583.5", \ + " 118.9, 136.0, 175.4, 247.4, 364.5, 613.6", \ + " 142.0, 161.2, 205.8, 287.8, 408.8, 657.9", \ + " 171.8, 193.7, 244.2, 337.6, 472.8, 724.1", \ + " 210.9, 236.1, 294.1, 400.5, 554.9, 823.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.2, 153.6, 251.5, 477.0, 882.0, 1745.8", \ + " 121.3, 155.8, 252.5, 477.4, 882.1, 1745.8", \ + " 126.5, 160.1, 254.9, 478.1, 882.2, 1745.8", \ + " 134.8, 167.4, 260.2, 480.2, 882.7, 1745.9", \ + " 151.2, 182.4, 272.3, 487.7, 884.9, 1746.0", \ + " 176.5, 205.9, 292.5, 502.6, 893.3, 1747.0", \ + " 212.8, 243.0, 325.4, 529.6, 912.5, 1754.2", \ + " 258.8, 291.7, 376.3, 573.5, 947.8, 1775.6", \ + " 321.7, 357.0, 448.5, 643.7, 1008.4, 1820.4", \ + " 410.3, 448.5, 546.5, 753.7, 1108.2, 1902.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 54.7, 78.9, 131.7, 225.0, 423.1", \ + " 52.3, 61.9, 86.1, 138.9, 232.3, 430.4", \ + " 57.8, 67.4, 91.6, 144.4, 237.8, 435.9", \ + " 64.7, 74.7, 99.0, 151.8, 245.2, 443.3", \ + " 73.7, 85.3, 111.4, 164.6, 258.1, 456.3", \ + " 82.3, 95.9, 126.1, 182.0, 276.2, 474.8", \ + " 90.7, 106.6, 142.0, 205.4, 302.1, 501.9", \ + " 97.9, 116.4, 158.0, 231.7, 337.6, 540.3", \ + " 102.9, 124.5, 173.5, 259.9, 381.3, 594.8", \ + " 103.4, 128.8, 186.4, 288.8, 430.4, 670.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.0, 99.8, 166.6, 322.1, 603.5, 1205.7", \ + " 79.1, 102.1, 167.9, 322.7, 603.6, 1205.7", \ + " 84.4, 106.6, 170.6, 323.6, 603.9, 1205.7", \ + " 93.5, 114.7, 176.7, 326.4, 604.5, 1205.8", \ + " 111.1, 130.8, 190.2, 335.7, 608.0, 1206.0", \ + " 135.5, 155.7, 212.0, 353.1, 619.0, 1207.9", \ + " 167.6, 189.3, 247.0, 382.7, 642.1, 1219.1", \ + " 210.6, 234.0, 295.6, 429.7, 682.0, 1246.5", \ + " 270.6, 296.2, 362.0, 503.3, 748.0, 1299.2", \ + " 355.3, 384.1, 455.8, 606.1, 855.9, 1391.1" ); }} +timing() { /* ring osc delay bsi2v2x1, path a0 to z1 114.3 */ +related_pin : "a0" ; +when : "(a1*s)" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.9 ; */ +/* intrinsic_fall : 63.4 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 62.8, 93.6, 160.3, 277.9, 527.6", \ + " 58.3, 70.6, 101.4, 168.1, 285.7, 535.4", \ + " 64.5, 76.7, 107.5, 174.1, 291.7, 541.4", \ + " 72.8, 85.1, 115.7, 182.2, 299.7, 549.4", \ + " 85.7, 99.1, 130.2, 196.5, 313.8, 563.4", \ + " 100.4, 115.6, 150.2, 217.0, 334.1, 583.5", \ + " 118.9, 136.0, 175.4, 247.4, 364.5, 613.7", \ + " 142.0, 161.2, 205.8, 287.8, 408.8, 657.9", \ + " 171.8, 193.7, 244.3, 337.6, 472.9, 724.1", \ + " 210.9, 236.1, 294.1, 400.5, 554.9, 823.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.2, 153.6, 251.5, 477.0, 882.0, 1745.8", \ + " 121.3, 155.8, 252.5, 477.4, 882.1, 1745.8", \ + " 126.5, 160.1, 254.9, 478.1, 882.2, 1745.8", \ + " 134.8, 167.4, 260.2, 480.2, 882.7, 1745.9", \ + " 151.3, 182.5, 272.3, 487.7, 884.9, 1746.0", \ + " 176.5, 206.0, 292.5, 502.6, 893.3, 1747.0", \ + " 212.8, 243.0, 325.4, 529.6, 912.5, 1754.2", \ + " 258.8, 291.7, 376.3, 573.5, 947.8, 1775.6", \ + " 321.7, 357.0, 448.5, 643.7, 1008.4, 1820.4", \ + " 410.4, 448.5, 546.5, 753.7, 1108.2, 1902.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 54.7, 78.9, 131.7, 225.0, 423.1", \ + " 52.3, 61.9, 86.1, 138.9, 232.3, 430.4", \ + " 57.8, 67.4, 91.6, 144.4, 237.8, 435.9", \ + " 64.7, 74.7, 99.0, 151.8, 245.2, 443.3", \ + " 73.7, 85.3, 111.4, 164.6, 258.1, 456.3", \ + " 82.3, 95.9, 126.1, 182.0, 276.2, 474.7", \ + " 90.6, 106.6, 142.0, 205.4, 302.1, 501.9", \ + " 97.9, 116.4, 158.0, 231.6, 337.6, 540.3", \ + " 102.9, 124.5, 173.4, 259.9, 381.3, 594.8", \ + " 103.4, 128.8, 186.4, 288.8, 430.4, 670.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.1, 99.8, 166.6, 322.1, 603.5, 1205.7", \ + " 79.1, 102.1, 167.9, 322.7, 603.6, 1205.7", \ + " 84.5, 106.6, 170.6, 323.6, 603.9, 1205.7", \ + " 93.5, 114.7, 176.7, 326.4, 604.5, 1205.8", \ + " 111.1, 130.9, 190.2, 335.7, 608.0, 1206.0", \ + " 135.5, 155.7, 212.0, 353.1, 619.0, 1207.9", \ + " 167.6, 189.3, 247.0, 382.7, 642.1, 1219.1", \ + " 210.6, 234.0, 295.6, 429.7, 682.0, 1246.5", \ + " 270.6, 296.2, 362.0, 503.3, 748.0, 1299.2", \ + " 355.3, 384.1, 455.8, 606.1, 855.9, 1391.1" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 70.9 ; */ +/* intrinsic_fall : 63.4 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 62.8, 93.6, 160.3, 277.9, 527.6", \ + " 58.3, 70.6, 101.4, 168.1, 285.7, 535.4", \ + " 64.5, 76.7, 107.4, 174.1, 291.7, 541.3", \ + " 72.8, 85.0, 115.7, 182.2, 299.7, 549.3", \ + " 85.7, 99.1, 130.2, 196.5, 313.8, 563.4", \ + " 100.4, 115.6, 150.2, 217.0, 334.1, 583.5", \ + " 118.9, 136.0, 175.4, 247.4, 364.5, 613.6", \ + " 142.0, 161.2, 205.8, 287.8, 408.8, 657.9", \ + " 171.8, 193.7, 244.2, 337.6, 472.8, 724.1", \ + " 210.9, 236.1, 294.1, 400.5, 554.9, 823.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.2, 153.6, 251.5, 477.0, 882.0, 1745.8", \ + " 121.3, 155.8, 252.5, 477.4, 882.1, 1745.8", \ + " 126.5, 160.1, 254.9, 478.1, 882.2, 1745.8", \ + " 134.8, 167.4, 260.2, 480.2, 882.7, 1745.9", \ + " 151.2, 182.4, 272.3, 487.7, 884.9, 1746.0", \ + " 176.5, 205.9, 292.5, 502.6, 893.3, 1747.0", \ + " 212.8, 243.0, 325.4, 529.6, 912.5, 1754.2", \ + " 258.8, 291.7, 376.3, 573.5, 947.8, 1775.6", \ + " 321.7, 357.0, 448.5, 643.7, 1008.4, 1820.4", \ + " 410.3, 448.5, 546.5, 753.7, 1108.2, 1902.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 54.7, 78.9, 131.7, 225.0, 423.1", \ + " 52.3, 61.9, 86.1, 138.9, 232.3, 430.4", \ + " 57.8, 67.4, 91.6, 144.4, 237.8, 435.9", \ + " 64.7, 74.7, 99.0, 151.8, 245.2, 443.3", \ + " 73.7, 85.3, 111.4, 164.6, 258.1, 456.3", \ + " 82.3, 95.9, 126.1, 182.0, 276.2, 474.8", \ + " 90.7, 106.6, 142.0, 205.4, 302.1, 501.9", \ + " 97.9, 116.4, 158.0, 231.7, 337.6, 540.3", \ + " 102.9, 124.5, 173.5, 259.9, 381.3, 594.8", \ + " 103.4, 128.8, 186.4, 288.8, 430.4, 670.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.0, 99.8, 166.6, 322.1, 603.5, 1205.7", \ + " 79.1, 102.1, 167.9, 322.7, 603.6, 1205.7", \ + " 84.4, 106.6, 170.6, 323.6, 603.9, 1205.7", \ + " 93.5, 114.7, 176.7, 326.4, 604.5, 1205.8", \ + " 111.1, 130.8, 190.2, 335.7, 608.0, 1206.0", \ + " 135.5, 155.7, 212.0, 353.1, 619.0, 1207.9", \ + " 167.6, 189.3, 247.0, 382.7, 642.1, 1219.1", \ + " 210.6, 234.0, 295.6, 429.7, 682.0, 1246.5", \ + " 270.6, 296.2, 362.0, 503.3, 748.0, 1299.2", \ + " 355.3, 384.1, 455.8, 606.1, 855.9, 1391.1" ); }} +timing() { /* ring osc delay bsi2v2x1, path a1 to z1 112.6 */ +related_pin : "a1" ; +when : "(a0'*s')" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 65.6 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 59.5, 89.1, 153.9, 268.0, 510.3", \ + " 55.7, 67.3, 96.9, 161.6, 275.7, 518.1", \ + " 62.0, 73.5, 103.0, 167.6, 281.7, 524.0", \ + " 70.4, 81.9, 111.2, 175.7, 289.7, 532.0", \ + " 83.0, 95.9, 125.8, 189.9, 303.7, 545.9", \ + " 97.5, 112.1, 145.6, 210.3, 323.8, 565.9", \ + " 115.4, 132.0, 170.2, 240.6, 354.1, 595.8", \ + " 137.7, 156.4, 199.8, 279.9, 398.0, 639.7", \ + " 166.4, 187.7, 237.1, 328.3, 460.8, 705.2", \ + " 203.7, 228.3, 285.1, 389.3, 540.4, 802.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 113.0, 146.9, 241.5, 460.9, 855.5, 1697.5", \ + " 116.3, 149.2, 242.5, 461.3, 855.6, 1697.5", \ + " 121.7, 153.7, 245.1, 461.9, 855.8, 1697.6", \ + " 130.3, 161.3, 250.6, 464.2, 856.2, 1697.6", \ + " 147.2, 176.8, 263.1, 472.1, 858.7, 1697.8", \ + " 173.0, 200.9, 283.8, 487.5, 867.5, 1699.0", \ + " 209.2, 238.6, 317.5, 515.0, 887.3, 1707.0", \ + " 255.2, 286.9, 369.3, 559.6, 923.3, 1729.2", \ + " 318.1, 352.3, 441.1, 630.8, 984.6, 1775.0", \ + " 407.0, 444.0, 539.1, 741.1, 1085.5, 1858.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 57.2, 81.4, 134.2, 227.5, 425.5", \ + " 54.5, 64.3, 88.5, 141.4, 234.7, 432.7", \ + " 59.9, 69.7, 94.0, 146.8, 240.2, 438.2", \ + " 66.6, 76.7, 101.3, 154.2, 247.5, 445.6", \ + " 75.4, 87.1, 113.4, 166.8, 260.4, 458.6", \ + " 84.0, 97.6, 127.9, 184.0, 278.4, 477.0", \ + " 92.3, 108.3, 143.6, 207.2, 304.2, 504.1", \ + " 99.8, 118.3, 159.8, 233.3, 339.4, 542.4", \ + " 105.4, 127.0, 175.6, 261.8, 383.2, 597.0", \ + " 107.0, 132.2, 189.5, 291.3, 432.5, 672.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.0, 101.6, 169.1, 324.8, 606.2, 1208.7", \ + " 80.0, 103.9, 170.4, 325.4, 606.4, 1208.7", \ + " 85.3, 108.3, 173.2, 326.4, 606.7, 1208.7", \ + " 94.0, 116.1, 179.1, 329.3, 607.3, 1208.8", \ + " 111.2, 131.8, 192.4, 338.5, 610.8, 1209.0", \ + " 135.3, 156.1, 213.5, 355.5, 621.8, 1210.9", \ + " 167.1, 189.3, 247.7, 384.5, 644.6, 1221.9", \ + " 209.8, 233.5, 295.7, 430.8, 683.9, 1249.1", \ + " 269.4, 295.2, 361.5, 503.6, 749.1, 1301.3", \ + " 353.7, 382.7, 454.7, 605.5, 856.0, 1392.3" ); }} +timing() { /* ring osc delay bsi2v2x1, path a1 to z1 112.6 */ +related_pin : "a1" ; +when : "(a0*s')" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 65.6 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 59.5, 89.1, 153.8, 268.0, 510.3", \ + " 55.7, 67.3, 96.9, 161.6, 275.7, 518.1", \ + " 62.0, 73.5, 103.0, 167.6, 281.7, 524.0", \ + " 70.4, 81.9, 111.2, 175.6, 289.7, 532.0", \ + " 83.0, 95.9, 125.7, 189.9, 303.7, 545.9", \ + " 97.4, 112.1, 145.6, 210.3, 323.8, 565.9", \ + " 115.4, 132.0, 170.2, 240.6, 354.0, 595.8", \ + " 137.7, 156.4, 199.8, 279.9, 398.0, 639.7", \ + " 166.3, 187.7, 237.1, 328.3, 460.8, 705.2", \ + " 203.7, 228.3, 285.1, 389.3, 540.4, 802.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 113.0, 146.9, 241.5, 460.9, 855.4, 1697.5", \ + " 116.3, 149.2, 242.5, 461.2, 855.6, 1697.5", \ + " 121.7, 153.7, 245.1, 461.9, 855.7, 1697.6", \ + " 130.3, 161.3, 250.6, 464.2, 856.2, 1697.6", \ + " 147.2, 176.8, 263.1, 472.0, 858.7, 1697.8", \ + " 173.0, 200.9, 283.8, 487.4, 867.5, 1699.0", \ + " 209.2, 238.6, 317.5, 514.9, 887.3, 1706.9", \ + " 255.2, 286.9, 369.3, 559.6, 923.3, 1729.2", \ + " 318.1, 352.3, 441.0, 630.8, 984.6, 1775.0", \ + " 407.0, 444.0, 539.1, 741.0, 1085.5, 1858.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 57.2, 81.4, 134.2, 227.5, 425.6", \ + " 54.5, 64.3, 88.6, 141.4, 234.7, 432.7", \ + " 59.9, 69.7, 94.0, 146.9, 240.2, 438.2", \ + " 66.6, 76.7, 101.3, 154.2, 247.6, 445.7", \ + " 75.4, 87.1, 113.4, 166.9, 260.4, 458.6", \ + " 84.0, 97.6, 127.9, 184.1, 278.4, 477.0", \ + " 92.3, 108.3, 143.7, 207.2, 304.2, 504.1", \ + " 99.8, 118.3, 159.8, 233.3, 339.5, 542.5", \ + " 105.4, 127.0, 175.6, 261.8, 383.2, 597.0", \ + " 107.0, 132.2, 189.5, 291.2, 432.5, 672.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.0, 101.6, 169.1, 324.8, 606.3, 1208.7", \ + " 80.0, 103.9, 170.5, 325.4, 606.4, 1208.7", \ + " 85.3, 108.3, 173.2, 326.4, 606.7, 1208.7", \ + " 94.0, 116.1, 179.2, 329.3, 607.4, 1208.8", \ + " 111.2, 131.8, 192.4, 338.5, 610.9, 1209.0", \ + " 135.3, 156.1, 213.5, 355.6, 621.8, 1210.9", \ + " 167.1, 189.3, 247.7, 384.6, 644.6, 1222.0", \ + " 209.8, 233.5, 295.8, 430.8, 684.0, 1249.1", \ + " 269.4, 295.2, 361.6, 503.6, 749.1, 1301.3", \ + " 353.7, 382.7, 454.7, 605.5, 856.0, 1392.3" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 65.6 ; */ +/* rise_resistance : 3.58 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 59.5, 89.1, 153.9, 268.0, 510.3", \ + " 55.7, 67.3, 96.9, 161.6, 275.7, 518.1", \ + " 62.0, 73.5, 103.0, 167.6, 281.7, 524.0", \ + " 70.4, 81.9, 111.2, 175.7, 289.7, 532.0", \ + " 83.0, 95.9, 125.8, 189.9, 303.7, 545.9", \ + " 97.5, 112.1, 145.6, 210.3, 323.8, 565.9", \ + " 115.4, 132.0, 170.2, 240.6, 354.1, 595.8", \ + " 137.7, 156.4, 199.8, 279.9, 398.0, 639.7", \ + " 166.4, 187.7, 237.1, 328.3, 460.8, 705.2", \ + " 203.7, 228.3, 285.1, 389.3, 540.4, 802.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 113.0, 146.9, 241.5, 460.9, 855.5, 1697.5", \ + " 116.3, 149.2, 242.5, 461.3, 855.6, 1697.5", \ + " 121.7, 153.7, 245.1, 461.9, 855.8, 1697.6", \ + " 130.3, 161.3, 250.6, 464.2, 856.2, 1697.6", \ + " 147.2, 176.8, 263.1, 472.1, 858.7, 1697.8", \ + " 173.0, 200.9, 283.8, 487.5, 867.5, 1699.0", \ + " 209.2, 238.6, 317.5, 515.0, 887.3, 1707.0", \ + " 255.2, 286.9, 369.3, 559.6, 923.3, 1729.2", \ + " 318.1, 352.3, 441.1, 630.8, 984.6, 1775.0", \ + " 407.0, 444.0, 539.1, 741.1, 1085.5, 1858.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 57.2, 81.4, 134.2, 227.5, 425.5", \ + " 54.5, 64.3, 88.5, 141.4, 234.7, 432.7", \ + " 59.9, 69.7, 94.0, 146.8, 240.2, 438.2", \ + " 66.6, 76.7, 101.3, 154.2, 247.5, 445.6", \ + " 75.4, 87.1, 113.4, 166.8, 260.4, 458.6", \ + " 84.0, 97.6, 127.9, 184.0, 278.4, 477.0", \ + " 92.3, 108.3, 143.6, 207.2, 304.2, 504.1", \ + " 99.8, 118.3, 159.8, 233.3, 339.4, 542.4", \ + " 105.4, 127.0, 175.6, 261.8, 383.2, 597.0", \ + " 107.0, 132.2, 189.5, 291.3, 432.5, 672.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.0, 101.6, 169.1, 324.8, 606.2, 1208.7", \ + " 80.0, 103.9, 170.4, 325.4, 606.4, 1208.7", \ + " 85.3, 108.3, 173.2, 326.4, 606.7, 1208.7", \ + " 94.0, 116.1, 179.1, 329.3, 607.3, 1208.8", \ + " 111.2, 131.8, 192.4, 338.5, 610.8, 1209.0", \ + " 135.3, 156.1, 213.5, 355.5, 621.8, 1210.9", \ + " 167.1, 189.3, 247.7, 384.5, 644.6, 1221.9", \ + " 209.8, 233.5, 295.7, 430.8, 683.9, 1249.1", \ + " 269.4, 295.2, 361.5, 503.6, 749.1, 1301.3", \ + " 353.7, 382.7, 454.7, 605.5, 856.0, 1392.3" ); }} +timing() { /* ring osc delay bsi2v2x1, path s to z1 87.2 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.7 ; */ +/* intrinsic_fall : 42.1 ; */ +/* rise_resistance : 3.64 ; */ +/* fall_resistance : 2.99 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 44.0, 76.1, 141.0, 256.0, 499.0", \ + " 37.2, 50.1, 82.6, 147.8, 263.0, 506.2", \ + " 43.0, 55.7, 88.1, 153.3, 268.4, 511.7", \ + " 48.8, 63.7, 95.7, 160.6, 275.7, 518.9", \ + " 57.3, 74.6, 109.6, 173.3, 287.8, 530.7", \ + " 67.6, 87.5, 127.8, 190.7, 303.8, 545.9", \ + " 81.4, 103.9, 149.9, 216.6, 326.2, 566.0", \ + " 99.4, 125.0, 177.2, 248.5, 359.0, 593.2", \ + " 123.7, 153.0, 212.5, 289.3, 406.3, 634.7", \ + " 156.8, 190.6, 259.9, 344.3, 467.2, 704.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.0, 113.4, 222.7, 446.1, 844.5, 1693.0", \ + " 69.9, 113.9, 222.3, 446.0, 844.4, 1692.9", \ + " 76.2, 118.6, 224.4, 445.9, 844.2, 1692.8", \ + " 86.0, 126.7, 230.1, 447.0, 843.9, 1692.6", \ + " 105.7, 142.9, 243.0, 453.0, 844.5, 1692.0", \ + " 124.0, 168.5, 263.9, 465.2, 849.3, 1691.2", \ + " 149.3, 198.9, 298.0, 487.6, 860.3, 1693.9", \ + " 184.4, 236.8, 350.7, 526.9, 882.1, 1701.7", \ + " 233.6, 292.1, 415.8, 595.4, 926.6, 1722.0", \ + " 304.9, 375.7, 511.3, 702.4, 1013.2, 1771.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.3, 36.0, 61.5, 115.6, 209.0, 406.8", \ + " 32.3, 41.9, 67.7, 122.1, 215.7, 413.5", \ + " 36.1, 47.0, 72.5, 127.0, 220.7, 418.5", \ + " 39.2, 52.3, 79.1, 133.4, 226.9, 424.7", \ + " 42.0, 58.2, 90.2, 143.7, 236.6, 434.0", \ + " 43.6, 62.9, 101.2, 158.2, 249.1, 445.2", \ + " 43.4, 66.2, 111.7, 177.1, 267.7, 460.5", \ + " 40.4, 67.1, 120.8, 195.8, 295.7, 483.4", \ + " 32.9, 64.3, 127.0, 214.5, 326.6, 522.2", \ + " 17.7, 54.8, 128.0, 232.9, 358.9, 577.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.1, 74.2, 151.1, 312.3, 598.1, 1204.7", \ + " 46.6, 75.9, 151.0, 312.2, 598.0, 1204.6", \ + " 53.4, 81.3, 154.0, 312.1, 597.8, 1204.6", \ + " 64.4, 90.4, 160.6, 314.5, 597.5, 1204.5", \ + " 77.1, 108.7, 175.5, 322.4, 600.0, 1204.0", \ + " 94.9, 129.0, 200.0, 338.3, 607.7, 1205.3", \ + " 120.6, 156.5, 238.1, 368.8, 625.4, 1213.5", \ + " 156.4, 194.3, 285.4, 420.8, 661.5, 1234.0", \ + " 207.2, 247.6, 351.9, 500.4, 728.7, 1279.2", \ + " 279.9, 324.1, 447.9, 613.0, 844.4, 1369.0" ); }} +timing() { /* ring osc delay bsi2v2x1, path s to z1 101.3 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.3 ; */ +/* intrinsic_fall : 62.6 ; */ +/* rise_resistance : 3.41 ; */ +/* fall_resistance : 2.66 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.5, 47.2, 70.3, 131.1, 245.8, 493.8", \ + " 47.0, 55.2, 78.8, 139.6, 253.8, 501.3", \ + " 52.8, 61.1, 84.7, 145.3, 259.1, 506.3", \ + " 60.8, 68.6, 91.9, 152.0, 265.4, 512.0", \ + " 72.4, 81.3, 103.5, 162.3, 274.7, 520.6", \ + " 82.5, 93.0, 119.0, 175.8, 286.7, 531.2", \ + " 91.3, 103.3, 133.1, 196.4, 304.0, 546.0", \ + " 98.7, 111.9, 144.3, 215.8, 330.1, 567.6", \ + " 104.3, 118.8, 153.3, 229.6, 360.7, 601.4", \ + " 106.4, 122.5, 159.3, 238.7, 379.9, 653.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 122.4, 154.1, 247.6, 472.5, 877.2, 1744.6", \ + " 125.5, 157.4, 248.9, 470.2, 876.0, 1744.3", \ + " 128.6, 160.6, 251.3, 469.8, 874.5, 1743.9", \ + " 132.9, 164.9, 254.7, 470.3, 872.0, 1743.1", \ + " 138.5, 171.9, 261.3, 473.3, 871.4, 1740.5", \ + " 142.3, 176.9, 271.1, 481.3, 875.6, 1738.1", \ + " 149.9, 183.8, 279.7, 497.9, 888.3, 1743.1", \ + " 157.7, 193.1, 289.0, 519.9, 914.1, 1760.1", \ + " 169.4, 206.0, 304.4, 534.2, 955.7, 1797.2", \ + " 188.4, 225.9, 326.0, 558.3, 988.0, 1865.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.0, 51.1, 70.4, 117.4, 206.7, 402.1", \ + " 52.1, 59.5, 79.0, 125.8, 214.9, 410.0", \ + " 58.5, 66.1, 85.7, 132.3, 221.0, 415.7", \ + " 66.7, 74.7, 94.3, 140.6, 228.8, 423.0", \ + " 80.9, 88.9, 108.3, 154.0, 241.3, 434.4", \ + " 95.7, 105.0, 126.3, 171.6, 257.6, 449.2", \ + " 113.0, 123.3, 146.8, 195.3, 280.5, 470.0", \ + " 133.8, 145.3, 170.6, 222.0, 311.8, 499.5", \ + " 160.2, 172.9, 200.3, 253.8, 348.0, 542.5", \ + " 194.4, 208.5, 238.6, 294.9, 391.0, 597.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.6, 121.6, 184.9, 336.3, 608.2, 1208.2", \ + " 104.2, 125.0, 184.9, 333.4, 607.7, 1208.2", \ + " 108.1, 128.7, 187.2, 332.4, 607.4, 1208.2", \ + " 114.2, 134.6, 191.6, 333.2, 606.6, 1208.1", \ + " 123.7, 144.9, 200.4, 337.5, 606.9, 1207.6", \ + " 134.7, 155.1, 212.5, 346.5, 611.5, 1206.5", \ + " 150.6, 171.9, 225.6, 361.1, 622.7, 1210.7", \ + " 169.8, 192.2, 246.2, 377.9, 642.0, 1223.6", \ + " 194.2, 218.2, 273.4, 401.1, 664.4, 1249.6", \ + " 226.3, 252.2, 309.9, 436.8, 691.3, 1286.2" ); }} +} +} +cell(cgi2v0x05) { /* 2008-01-06:07h31 characteristic delay 26.0 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* cgi2v0x05 */ +cell_footprint : cgi2 ; +pin(a) { /* cgi2v0x05 FO4 effort 2.77 logical effort 3.63 */ +direction : input ; +capacitance : 4.94 ; +rise_capacitance : 4.94 ; +fall_capacitance : 4.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2v0x05 */ +} +pin(b) { /* cgi2v0x05 FO4 effort 2.69 logical effort 3.57 */ +direction : input ; +capacitance : 4.82 ; +rise_capacitance : 4.66 ; +fall_capacitance : 4.98 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2v0x05 */ +} +pin(c) { /* cgi2v0x05 FO4 effort 1.73 logical effort 1.91 */ +direction : input ; +capacitance : 2.60 ; +rise_capacitance : 2.47 ; +fall_capacitance : 2.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 55 ; +max_fanout : 2 ; +function : "((a*b)+(a*c)+(b*c))'" ; +internal_power(a_z_n) { /* cgi2v0x05 10.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 5.60, 5.61, 5.61, 5.61, 5.60", \ + " 5.39, 5.41, 5.44, 5.46, 5.46", \ + " 5.32, 5.35, 5.38, 5.41, 5.42", \ + " 5.28, 5.30, 5.34, 5.37, 5.38", \ + " 5.29, 5.30, 5.32, 5.34, 5.36", \ + " 5.42, 5.40, 5.38, 5.37, 5.37", \ + " 5.80, 5.73, 5.62, 5.52, 5.45", \ + " 6.60, 6.45, 6.19, 5.91, 5.71", \ + " 8.09, 7.84, 7.36, 6.79, 6.33", \ + " 10.62, 10.26, 9.54, 8.54, 7.64" ); }} +internal_power(b_z_n) { /* cgi2v0x05 9.76 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.07, 5.12, 5.18, 5.22, 5.22", \ + " 4.83, 4.91, 5.03, 5.12, 5.16", \ + " 4.74, 4.82, 4.95, 5.07, 5.13", \ + " 4.70, 4.76, 4.88, 5.01, 5.09", \ + " 4.73, 4.76, 4.84, 4.96, 5.05", \ + " 4.91, 4.90, 4.91, 4.97, 5.05", \ + " 5.37, 5.28, 5.18, 5.12, 5.12", \ + " 6.24, 6.07, 5.79, 5.53, 5.37", \ + " 7.79, 7.51, 7.01, 6.43, 6.00", \ + " 10.37, 9.98, 9.21, 8.20, 7.33" ); }} +internal_power(c_z_n) { /* cgi2v0x05 7.69 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.81, 3.87, 3.94, 3.98, 3.99", \ + " 3.71, 3.78, 3.87, 3.96, 4.00", \ + " 3.71, 3.76, 3.85, 3.94, 3.99", \ + " 3.76, 3.78, 3.85, 3.93, 3.98", \ + " 3.92, 3.90, 3.91, 3.95, 3.99", \ + " 4.24, 4.17, 4.09, 4.05, 4.04", \ + " 4.83, 4.69, 4.49, 4.30, 4.19", \ + " 5.83, 5.60, 5.23, 4.83, 4.55", \ + " 7.48, 7.15, 6.55, 5.86, 5.30", \ + " 10.14, 9.70, 8.83, 7.72, 6.74" ); }} +timing() { /* ring osc delay cgi2v0x05, path a to z 97.1 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 59.6 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 76.1, 113.0, 195.1, 340.3, 648.4", \ + " 68.9, 83.1, 120.2, 202.5, 347.7, 655.9", \ + " 73.7, 87.9, 125.1, 207.4, 352.7, 660.9", \ + " 80.0, 94.2, 131.3, 213.6, 359.0, 667.2", \ + " 89.9, 105.0, 142.1, 224.3, 369.7, 678.0", \ + " 101.4, 118.1, 157.8, 239.8, 385.1, 693.2", \ + " 116.8, 135.2, 178.9, 263.8, 408.5, 716.3", \ + " 137.9, 158.2, 206.0, 298.4, 443.9, 750.8", \ + " 167.1, 189.8, 242.7, 343.7, 498.4, 803.9", \ + " 207.3, 233.3, 293.0, 404.5, 573.5, 886.2" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 119.8, 158.7, 262.8, 497.9, 916.0, 1803.9", \ + " 120.2, 158.8, 262.7, 497.6, 915.6, 1803.5", \ + " 123.1, 160.9, 263.4, 497.6, 915.5, 1803.4", \ + " 128.3, 165.5, 266.8, 498.5, 915.5, 1803.4", \ + " 139.6, 175.8, 275.1, 503.7, 916.7, 1803.3", \ + " 160.1, 194.2, 290.4, 514.8, 923.0, 1803.6", \ + " 194.0, 228.1, 318.7, 536.6, 938.0, 1809.9", \ + " 240.4, 276.5, 367.3, 575.8, 967.5, 1827.4", \ + " 307.6, 345.2, 441.1, 644.0, 1022.5, 1865.2", \ + " 402.8, 443.2, 544.7, 756.5, 1119.9, 1939.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.8, 59.3, 86.3, 145.9, 251.0, 473.6", \ + " 54.2, 64.8, 92.0, 151.7, 256.9, 479.6", \ + " 57.6, 68.2, 95.5, 155.4, 260.6, 483.3", \ + " 61.6, 72.6, 100.0, 159.9, 265.2, 487.9", \ + " 66.6, 78.7, 107.6, 167.7, 273.0, 495.8", \ + " 71.5, 85.1, 116.9, 178.9, 284.2, 507.0", \ + " 75.8, 91.5, 127.4, 194.9, 301.2, 523.9", \ + " 78.5, 96.9, 138.2, 213.4, 326.6, 549.1", \ + " 78.3, 99.9, 148.2, 234.1, 358.8, 587.5", \ + " 73.5, 98.6, 155.1, 255.3, 396.1, 644.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.0, 105.9, 178.0, 341.0, 631.2, 1247.9", \ + " 80.0, 106.5, 178.3, 341.1, 631.2, 1247.9", \ + " 83.1, 109.2, 180.0, 341.4, 631.2, 1247.9", \ + " 88.7, 114.1, 183.7, 343.6, 631.5, 1247.9", \ + " 102.1, 125.9, 193.0, 349.9, 634.9, 1248.0", \ + " 122.8, 147.6, 210.9, 363.0, 643.2, 1251.2", \ + " 152.6, 178.7, 244.0, 388.8, 661.7, 1261.3", \ + " 196.5, 224.0, 292.6, 435.2, 697.5, 1284.3", \ + " 262.1, 291.2, 363.1, 511.6, 763.3, 1331.7", \ + " 358.8, 390.3, 466.8, 622.7, 877.5, 1421.9" ); }} +timing() { /* ring osc delay cgi2v0x05, path a to z 98.3 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 59.6 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 76.4, 113.1, 194.8, 339.9, 647.8", \ + " 70.0, 84.1, 120.9, 202.8, 347.9, 656.0", \ + " 75.5, 89.6, 126.4, 208.4, 353.6, 661.7", \ + " 82.4, 96.5, 133.3, 215.3, 360.6, 668.7", \ + " 92.8, 107.7, 144.6, 226.6, 371.9, 680.0", \ + " 103.8, 120.7, 160.4, 242.3, 387.5, 695.6", \ + " 117.7, 136.6, 180.9, 266.0, 410.9, 718.8", \ + " 136.1, 157.3, 206.5, 299.9, 445.8, 753.1", \ + " 162.4, 186.3, 241.0, 343.9, 499.6, 805.6", \ + " 200.1, 227.4, 289.1, 402.8, 573.5, 887.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.6, 151.1, 254.5, 488.6, 906.1, 1793.6", \ + " 113.2, 151.4, 254.6, 488.7, 906.1, 1793.6", \ + " 114.9, 152.4, 255.0, 488.8, 906.1, 1793.6", \ + " 119.3, 156.3, 257.3, 489.2, 906.1, 1793.6", \ + " 129.1, 165.1, 264.3, 493.3, 906.8, 1793.6", \ + " 147.2, 181.2, 277.8, 503.2, 912.2, 1793.8", \ + " 178.3, 212.2, 303.5, 523.2, 926.1, 1799.1", \ + " 222.3, 258.3, 349.9, 560.4, 954.2, 1815.7", \ + " 290.8, 327.6, 423.3, 627.1, 1007.6, 1852.4", \ + " 393.6, 431.5, 529.8, 740.2, 1103.9, 1925.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 60.5, 88.7, 149.4, 255.4, 478.7", \ + " 53.3, 64.5, 92.7, 153.5, 259.4, 482.7", \ + " 56.3, 67.5, 95.8, 156.6, 262.5, 485.8", \ + " 60.4, 71.7, 100.0, 160.8, 266.8, 490.1", \ + " 65.9, 78.3, 107.6, 168.5, 274.5, 497.8", \ + " 71.8, 85.4, 117.3, 179.7, 285.6, 508.9", \ + " 77.7, 93.1, 128.6, 196.1, 302.8, 525.9", \ + " 82.4, 100.3, 140.7, 215.4, 328.3, 551.1", \ + " 84.6, 105.4, 152.4, 237.2, 361.2, 589.7", \ + " 82.0, 106.3, 161.4, 259.9, 399.5, 646.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.8, 119.7, 191.9, 354.9, 645.0, 1261.9", \ + " 93.4, 119.8, 191.2, 353.8, 644.0, 1261.0", \ + " 96.5, 122.4, 193.0, 354.2, 643.7, 1260.6", \ + " 102.4, 127.6, 196.9, 356.4, 644.1, 1260.4", \ + " 116.3, 140.1, 206.8, 363.0, 647.5, 1260.4", \ + " 138.1, 162.4, 225.5, 376.6, 656.1, 1263.8", \ + " 168.9, 194.6, 259.0, 403.2, 675.2, 1274.1", \ + " 213.1, 240.5, 308.4, 450.1, 711.7, 1297.5", \ + " 277.1, 306.7, 379.0, 526.9, 778.1, 1345.5", \ + " 369.2, 402.4, 481.1, 637.9, 892.3, 1436.4" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 59.6 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 76.4, 113.1, 194.8, 339.9, 647.8", \ + " 70.0, 84.1, 120.9, 202.8, 347.9, 656.0", \ + " 75.5, 89.6, 126.4, 208.4, 353.6, 661.7", \ + " 82.4, 96.5, 133.3, 215.3, 360.6, 668.7", \ + " 92.8, 107.7, 144.6, 226.6, 371.9, 680.0", \ + " 103.8, 120.7, 160.4, 242.3, 387.5, 695.6", \ + " 117.7, 136.6, 180.9, 266.0, 410.9, 718.8", \ + " 136.1, 157.3, 206.5, 299.9, 445.8, 753.1", \ + " 162.4, 186.3, 241.0, 343.9, 499.6, 805.6", \ + " 200.1, 227.4, 289.1, 402.8, 573.5, 887.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 112.6, 151.1, 254.5, 488.6, 906.1, 1793.6", \ + " 113.2, 151.4, 254.6, 488.7, 906.1, 1793.6", \ + " 114.9, 152.4, 255.0, 488.8, 906.1, 1793.6", \ + " 119.3, 156.3, 257.3, 489.2, 906.1, 1793.6", \ + " 129.1, 165.1, 264.3, 493.3, 906.8, 1793.6", \ + " 147.2, 181.2, 277.8, 503.2, 912.2, 1793.8", \ + " 178.3, 212.2, 303.5, 523.2, 926.1, 1799.1", \ + " 222.3, 258.3, 349.9, 560.4, 954.2, 1815.7", \ + " 290.8, 327.6, 423.3, 627.1, 1007.6, 1852.4", \ + " 393.6, 431.5, 529.8, 740.2, 1103.9, 1925.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 60.5, 88.7, 149.4, 255.4, 478.7", \ + " 53.3, 64.5, 92.7, 153.5, 259.4, 482.7", \ + " 56.3, 67.5, 95.8, 156.6, 262.5, 485.8", \ + " 60.4, 71.7, 100.0, 160.8, 266.8, 490.1", \ + " 65.9, 78.3, 107.6, 168.5, 274.5, 497.8", \ + " 71.8, 85.4, 117.3, 179.7, 285.6, 508.9", \ + " 77.7, 93.1, 128.6, 196.1, 302.8, 525.9", \ + " 82.4, 100.3, 140.7, 215.4, 328.3, 551.1", \ + " 84.6, 105.4, 152.4, 237.2, 361.2, 589.7", \ + " 82.0, 106.3, 161.4, 259.9, 399.5, 646.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.8, 119.7, 191.9, 354.9, 645.0, 1261.9", \ + " 93.4, 119.8, 191.2, 353.8, 644.0, 1261.0", \ + " 96.5, 122.4, 193.0, 354.2, 643.7, 1260.6", \ + " 102.4, 127.6, 196.9, 356.4, 644.1, 1260.4", \ + " 116.3, 140.1, 206.8, 363.0, 647.5, 1260.4", \ + " 138.1, 162.4, 225.5, 376.6, 656.1, 1263.8", \ + " 168.9, 194.6, 259.0, 403.2, 675.2, 1274.1", \ + " 213.1, 240.5, 308.4, 450.1, 711.7, 1297.5", \ + " 277.1, 306.7, 379.0, 526.9, 778.1, 1345.5", \ + " 369.2, 402.4, 481.1, 637.9, 892.3, 1436.4" ); }} +timing() { /* ring osc delay cgi2v0x05, path b to z 87.8 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 59.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.7, 72.3, 109.9, 192.4, 337.8, 646.1", \ + " 62.5, 77.2, 115.1, 198.1, 343.9, 652.3", \ + " 67.3, 81.8, 119.6, 202.7, 348.6, 657.2", \ + " 74.6, 88.8, 126.2, 209.1, 355.1, 663.7", \ + " 87.2, 102.2, 138.8, 221.1, 366.8, 675.3", \ + " 101.7, 118.9, 158.1, 239.2, 384.1, 692.3", \ + " 120.9, 140.1, 184.8, 267.9, 411.3, 718.4", \ + " 145.9, 167.3, 217.5, 310.9, 453.1, 758.0", \ + " 179.6, 203.8, 260.0, 365.7, 518.6, 819.6", \ + " 225.8, 253.6, 317.2, 436.0, 610.7, 916.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.0, 158.8, 263.0, 498.0, 916.0, 1804.0", \ + " 120.1, 158.3, 262.5, 497.6, 915.6, 1803.6", \ + " 124.4, 161.7, 263.4, 497.5, 915.5, 1803.4", \ + " 132.1, 168.4, 268.0, 498.5, 915.4, 1803.4", \ + " 148.6, 183.3, 279.7, 505.3, 916.5, 1803.3", \ + " 175.5, 208.4, 301.0, 520.5, 924.8, 1803.3", \ + " 216.2, 250.3, 338.3, 549.9, 945.2, 1811.1", \ + " 262.7, 304.4, 397.7, 601.5, 984.6, 1835.0", \ + " 330.9, 376.1, 483.7, 687.1, 1056.8, 1885.8", \ + " 431.5, 480.6, 597.6, 823.1, 1181.4, 1983.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.6, 50.1, 77.1, 136.5, 241.4, 463.8", \ + " 44.8, 55.4, 82.9, 142.7, 247.8, 470.4", \ + " 48.1, 58.8, 86.3, 146.3, 251.6, 474.2", \ + " 50.4, 62.7, 90.5, 150.7, 256.1, 478.9", \ + " 53.3, 66.5, 97.8, 158.3, 263.8, 486.7", \ + " 55.8, 70.8, 104.7, 169.3, 274.9, 497.9", \ + " 56.7, 74.5, 113.0, 183.5, 291.7, 514.7", \ + " 54.7, 75.9, 121.2, 199.7, 316.3, 539.6", \ + " 48.0, 73.2, 126.9, 217.8, 345.8, 577.8", \ + " 33.8, 63.4, 127.2, 234.7, 380.1, 632.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 71.6, 98.6, 171.0, 334.3, 624.6, 1241.4", \ + " 72.3, 98.8, 170.8, 334.1, 624.5, 1241.3", \ + " 75.7, 101.5, 172.2, 334.0, 624.4, 1241.3", \ + " 82.1, 106.7, 175.9, 335.9, 624.2, 1241.2", \ + " 98.6, 119.7, 185.3, 342.0, 627.2, 1240.9", \ + " 118.7, 144.4, 204.0, 355.1, 635.4, 1243.7", \ + " 147.1, 173.5, 240.3, 381.1, 653.7, 1253.5", \ + " 191.3, 218.0, 287.0, 428.7, 689.5, 1276.3", \ + " 258.6, 286.1, 356.5, 506.5, 755.8, 1323.6", \ + " 358.3, 387.3, 461.0, 615.5, 872.6, 1414.0" ); }} +timing() { /* ring osc delay cgi2v0x05, path b to z 102.9 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 59.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.2, 108.1, 190.3, 336.0, 644.8", \ + " 63.3, 77.8, 115.2, 198.0, 344.0, 653.0", \ + " 68.7, 82.9, 120.4, 203.3, 349.4, 658.7", \ + " 75.6, 89.7, 126.9, 209.8, 356.2, 665.5", \ + " 84.5, 101.1, 138.0, 220.7, 367.2, 676.7", \ + " 94.1, 111.9, 153.8, 236.1, 382.4, 692.0", \ + " 106.2, 126.3, 172.1, 259.6, 405.3, 714.8", \ + " 121.8, 144.7, 196.1, 291.8, 439.9, 748.6", \ + " 142.9, 169.5, 227.8, 333.6, 492.8, 800.7", \ + " 172.6, 203.6, 270.7, 389.4, 563.2, 881.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 136.0, 174.2, 277.4, 511.6, 929.2, 1816.9", \ + " 136.0, 174.0, 277.1, 511.4, 929.0, 1816.9", \ + " 137.2, 174.3, 277.1, 511.2, 928.9, 1816.8", \ + " 141.9, 178.3, 278.8, 511.1, 928.7, 1816.7", \ + " 152.4, 187.5, 285.9, 514.6, 928.5, 1816.4", \ + " 172.4, 204.6, 299.5, 524.3, 933.6, 1816.0", \ + " 213.2, 238.8, 326.0, 544.5, 947.2, 1820.8", \ + " 254.0, 290.7, 375.4, 582.0, 975.3, 1837.1", \ + " 319.5, 355.7, 453.3, 650.3, 1029.0, 1873.7", \ + " 423.1, 458.4, 555.7, 770.4, 1126.2, 1946.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 65.0, 93.6, 154.6, 260.7, 484.1", \ + " 59.0, 70.6, 99.4, 160.7, 267.0, 490.5", \ + " 63.5, 75.0, 103.9, 165.4, 271.8, 495.4", \ + " 70.1, 81.3, 110.1, 171.7, 278.2, 501.8", \ + " 79.9, 92.6, 121.4, 182.9, 289.4, 513.2", \ + " 89.3, 104.2, 137.6, 199.4, 305.7, 529.5", \ + " 98.7, 116.1, 155.4, 224.8, 330.7, 554.2", \ + " 107.7, 127.8, 173.4, 255.1, 368.3, 591.0", \ + " 115.7, 138.8, 191.6, 287.2, 420.3, 647.2", \ + " 121.2, 148.2, 209.4, 320.4, 477.1, 733.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 94.0, 120.5, 192.2, 355.0, 645.1, 1261.9", \ + " 92.6, 119.0, 191.1, 354.0, 644.1, 1261.1", \ + " 95.4, 121.1, 191.5, 353.6, 643.7, 1260.7", \ + " 101.9, 126.9, 195.6, 354.8, 643.5, 1260.4", \ + " 117.0, 141.2, 207.5, 362.4, 645.9, 1260.1", \ + " 143.0, 165.9, 229.7, 379.6, 656.8, 1262.1", \ + " 173.7, 202.6, 268.2, 412.8, 681.7, 1275.3", \ + " 218.1, 250.0, 325.1, 469.1, 728.9, 1307.5", \ + " 282.6, 318.1, 401.0, 559.3, 811.7, 1371.8", \ + " 376.5, 416.9, 509.1, 683.4, 946.7, 1489.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 59.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.2, 108.1, 190.3, 336.0, 644.8", \ + " 63.3, 77.8, 115.2, 198.0, 344.0, 653.0", \ + " 68.7, 82.9, 120.4, 203.3, 349.4, 658.7", \ + " 75.6, 89.7, 126.9, 209.8, 356.2, 665.5", \ + " 84.5, 101.1, 138.0, 220.7, 367.2, 676.7", \ + " 94.1, 111.9, 153.8, 236.1, 382.4, 692.0", \ + " 106.2, 126.3, 172.1, 259.6, 405.3, 714.8", \ + " 121.8, 144.7, 196.1, 291.8, 439.9, 748.6", \ + " 142.9, 169.5, 227.8, 333.6, 492.8, 800.7", \ + " 172.6, 203.6, 270.7, 389.4, 563.2, 881.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 136.0, 174.2, 277.4, 511.6, 929.2, 1816.9", \ + " 136.0, 174.0, 277.1, 511.4, 929.0, 1816.9", \ + " 137.2, 174.3, 277.1, 511.2, 928.9, 1816.8", \ + " 141.9, 178.3, 278.8, 511.1, 928.7, 1816.7", \ + " 152.4, 187.5, 285.9, 514.6, 928.5, 1816.4", \ + " 172.4, 204.6, 299.5, 524.3, 933.6, 1816.0", \ + " 213.2, 238.8, 326.0, 544.5, 947.2, 1820.8", \ + " 254.0, 290.7, 375.4, 582.0, 975.3, 1837.1", \ + " 319.5, 355.7, 453.3, 650.3, 1029.0, 1873.7", \ + " 423.1, 458.4, 555.7, 770.4, 1126.2, 1946.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 65.0, 93.6, 154.6, 260.7, 484.1", \ + " 59.0, 70.6, 99.4, 160.7, 267.0, 490.5", \ + " 63.5, 75.0, 103.9, 165.4, 271.8, 495.4", \ + " 70.1, 81.3, 110.1, 171.7, 278.2, 501.8", \ + " 79.9, 92.6, 121.4, 182.9, 289.4, 513.2", \ + " 89.3, 104.2, 137.6, 199.4, 305.7, 529.5", \ + " 98.7, 116.1, 155.4, 224.8, 330.7, 554.2", \ + " 107.7, 127.8, 173.4, 255.1, 368.3, 591.0", \ + " 115.7, 138.8, 191.6, 287.2, 420.3, 647.2", \ + " 121.2, 148.2, 209.4, 320.4, 477.1, 733.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 94.0, 120.5, 192.2, 355.0, 645.1, 1261.9", \ + " 92.6, 119.0, 191.1, 354.0, 644.1, 1261.1", \ + " 95.4, 121.1, 191.5, 353.6, 643.7, 1260.7", \ + " 101.9, 126.9, 195.6, 354.8, 643.5, 1260.4", \ + " 117.0, 141.2, 207.5, 362.4, 645.9, 1260.1", \ + " 143.0, 165.9, 229.7, 379.6, 656.8, 1262.1", \ + " 173.7, 202.6, 268.2, 412.8, 681.7, 1275.3", \ + " 218.1, 250.0, 325.1, 469.1, 728.9, 1307.5", \ + " 282.6, 318.1, 401.0, 559.3, 811.7, 1371.8", \ + " 376.5, 416.9, 509.1, 683.4, 946.7, 1489.9" ); }} +timing() { /* ring osc delay cgi2v0x05, path c to z 82.3 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 60.0, 97.1, 179.3, 324.5, 632.6", \ + " 51.3, 65.6, 102.9, 185.4, 330.8, 639.1", \ + " 56.6, 70.6, 107.7, 190.1, 335.7, 644.0", \ + " 64.5, 77.9, 114.5, 196.8, 342.3, 650.6", \ + " 75.3, 91.1, 127.4, 208.9, 354.1, 662.3", \ + " 88.4, 106.3, 147.0, 227.3, 371.6, 679.3", \ + " 105.3, 125.6, 172.0, 256.1, 399.0, 705.6", \ + " 127.2, 150.1, 202.6, 298.4, 440.9, 745.3", \ + " 156.0, 182.4, 241.9, 351.0, 506.2, 807.0", \ + " 194.4, 225.2, 293.8, 417.5, 596.0, 904.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.4, 144.8, 247.1, 477.0, 885.2, 1752.5", \ + " 108.2, 145.3, 246.5, 476.8, 885.2, 1752.5", \ + " 113.4, 149.5, 248.2, 476.5, 885.2, 1752.5", \ + " 121.9, 156.8, 253.3, 477.6, 885.1, 1752.5", \ + " 139.0, 172.4, 265.4, 484.5, 885.7, 1752.5", \ + " 166.5, 197.5, 286.8, 499.5, 893.2, 1752.4", \ + " 199.6, 239.1, 323.3, 528.4, 912.7, 1757.9", \ + " 242.6, 284.8, 381.1, 578.4, 950.7, 1779.4", \ + " 306.7, 351.5, 459.1, 660.9, 1020.1, 1827.3", \ + " 401.3, 449.8, 565.4, 790.2, 1139.9, 1920.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.2, 43.9, 71.5, 131.2, 236.1, 458.5", \ + " 39.1, 49.9, 77.7, 137.8, 242.9, 465.4", \ + " 44.1, 54.7, 82.5, 142.6, 247.7, 470.4", \ + " 48.9, 61.3, 88.9, 149.0, 254.2, 476.9", \ + " 54.8, 69.4, 100.7, 160.5, 265.6, 488.3", \ + " 60.4, 77.4, 114.2, 177.3, 282.1, 504.6", \ + " 65.7, 85.1, 128.1, 201.8, 307.4, 529.5", \ + " 69.5, 91.9, 141.6, 228.4, 345.2, 566.4", \ + " 70.5, 96.5, 154.3, 255.7, 394.1, 622.9", \ + " 66.2, 96.6, 164.3, 282.8, 445.9, 708.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.0, 98.4, 169.2, 328.6, 612.1, 1214.7", \ + " 75.5, 100.5, 169.4, 328.5, 612.1, 1214.7", \ + " 81.8, 105.7, 172.5, 328.7, 612.1, 1214.7", \ + " 91.6, 114.6, 179.2, 331.9, 612.0, 1214.7", \ + " 111.3, 132.5, 194.3, 341.9, 615.9, 1214.7", \ + " 134.4, 160.3, 219.5, 361.6, 628.3, 1216.7", \ + " 166.6, 194.3, 260.5, 397.3, 655.0, 1230.1", \ + " 212.4, 242.1, 314.5, 454.8, 703.7, 1262.5", \ + " 277.8, 310.6, 388.8, 543.1, 787.0, 1327.0", \ + " 371.3, 408.9, 495.4, 662.8, 920.4, 1444.3" ); }} +timing() { /* ring osc delay cgi2v0x05, path c to z 87.6 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.6, 54.2, 91.9, 175.1, 321.9, 632.3", \ + " 45.5, 59.8, 97.6, 181.1, 328.2, 638.8", \ + " 51.0, 64.9, 102.4, 185.9, 333.0, 643.7", \ + " 58.4, 72.5, 109.3, 192.5, 339.6, 650.3", \ + " 68.6, 85.2, 122.3, 204.7, 351.4, 662.0", \ + " 81.1, 99.9, 141.9, 223.1, 369.0, 679.0", \ + " 97.6, 118.8, 166.6, 252.1, 396.4, 705.3", \ + " 119.3, 143.1, 197.0, 294.5, 438.4, 745.1", \ + " 148.3, 175.6, 236.5, 347.2, 504.0, 806.8", \ + " 188.0, 219.3, 289.0, 414.4, 594.3, 904.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.5, 176.3, 277.6, 506.4, 914.0, 1780.6", \ + " 141.5, 177.5, 276.9, 506.2, 913.9, 1780.6", \ + " 147.5, 182.2, 279.2, 505.9, 913.9, 1780.6", \ + " 156.8, 190.3, 284.7, 507.3, 913.8, 1780.6", \ + " 174.6, 206.7, 297.5, 514.6, 914.5, 1780.6", \ + " 201.9, 232.5, 319.7, 530.2, 922.4, 1780.4", \ + " 244.2, 273.6, 357.0, 559.7, 942.3, 1786.3", \ + " 291.5, 328.7, 415.3, 610.5, 980.9, 1808.2", \ + " 360.3, 400.0, 499.4, 693.9, 1051.0, 1856.5", \ + " 461.4, 503.6, 610.4, 826.0, 1171.6, 1949.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 52.1, 79.4, 139.3, 244.5, 467.2", \ + " 47.5, 58.3, 85.8, 145.9, 251.3, 474.1", \ + " 52.4, 63.0, 90.6, 150.7, 256.1, 479.0", \ + " 58.8, 69.7, 97.0, 157.1, 262.6, 485.5", \ + " 66.4, 79.6, 108.7, 168.6, 274.0, 496.9", \ + " 73.5, 89.1, 123.7, 185.3, 290.5, 513.3", \ + " 80.2, 98.4, 139.2, 210.5, 315.7, 538.1", \ + " 85.8, 106.9, 154.5, 238.7, 353.5, 575.0", \ + " 88.9, 113.6, 169.1, 267.8, 403.8, 631.5", \ + " 87.1, 116.1, 181.3, 296.8, 457.4, 717.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 76.8, 103.3, 174.0, 333.4, 617.0, 1219.8", \ + " 78.4, 104.0, 173.7, 333.4, 617.0, 1219.8", \ + " 83.3, 108.1, 176.1, 333.3, 617.0, 1219.8", \ + " 91.6, 115.7, 181.8, 335.8, 617.0, 1219.8", \ + " 108.9, 131.8, 195.5, 344.9, 620.1, 1219.8", \ + " 131.6, 158.5, 219.2, 363.5, 631.8, 1221.5", \ + " 161.5, 190.9, 258.4, 397.9, 657.5, 1234.2", \ + " 204.6, 236.4, 311.6, 454.0, 705.0, 1265.8", \ + " 267.0, 302.4, 384.1, 541.1, 787.1, 1329.3", \ + " 357.2, 397.6, 488.3, 659.6, 919.0, 1445.4" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.6, 54.2, 91.9, 175.1, 321.9, 632.3", \ + " 45.5, 59.8, 97.6, 181.1, 328.2, 638.8", \ + " 51.0, 64.9, 102.4, 185.9, 333.0, 643.7", \ + " 58.4, 72.5, 109.3, 192.5, 339.6, 650.3", \ + " 68.6, 85.2, 122.3, 204.7, 351.4, 662.0", \ + " 81.1, 99.9, 141.9, 223.1, 369.0, 679.0", \ + " 97.6, 118.8, 166.6, 252.1, 396.4, 705.3", \ + " 119.3, 143.1, 197.0, 294.5, 438.4, 745.1", \ + " 148.3, 175.6, 236.5, 347.2, 504.0, 806.8", \ + " 188.0, 219.3, 289.0, 414.4, 594.3, 904.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.5, 176.3, 277.6, 506.4, 914.0, 1780.6", \ + " 141.5, 177.5, 276.9, 506.2, 913.9, 1780.6", \ + " 147.5, 182.2, 279.2, 505.9, 913.9, 1780.6", \ + " 156.8, 190.3, 284.7, 507.3, 913.8, 1780.6", \ + " 174.6, 206.7, 297.5, 514.6, 914.5, 1780.6", \ + " 201.9, 232.5, 319.7, 530.2, 922.4, 1780.4", \ + " 244.2, 273.6, 357.0, 559.7, 942.3, 1786.3", \ + " 291.5, 328.7, 415.3, 610.5, 980.9, 1808.2", \ + " 360.3, 400.0, 499.4, 693.9, 1051.0, 1856.5", \ + " 461.4, 503.6, 610.4, 826.0, 1171.6, 1949.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 52.1, 79.4, 139.3, 244.5, 467.2", \ + " 47.5, 58.3, 85.8, 145.9, 251.3, 474.1", \ + " 52.4, 63.0, 90.6, 150.7, 256.1, 479.0", \ + " 58.8, 69.7, 97.0, 157.1, 262.6, 485.5", \ + " 66.4, 79.6, 108.7, 168.6, 274.0, 496.9", \ + " 73.5, 89.1, 123.7, 185.3, 290.5, 513.3", \ + " 80.2, 98.4, 139.2, 210.5, 315.7, 538.1", \ + " 85.8, 106.9, 154.5, 238.7, 353.5, 575.0", \ + " 88.9, 113.6, 169.1, 267.8, 403.8, 631.5", \ + " 87.1, 116.1, 181.3, 296.8, 457.4, 717.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 76.8, 103.3, 174.0, 333.4, 617.0, 1219.8", \ + " 78.4, 104.0, 173.7, 333.4, 617.0, 1219.8", \ + " 83.3, 108.1, 176.1, 333.3, 617.0, 1219.8", \ + " 91.6, 115.7, 181.8, 335.8, 617.0, 1219.8", \ + " 108.9, 131.8, 195.5, 344.9, 620.1, 1219.8", \ + " 131.6, 158.5, 219.2, 363.5, 631.8, 1221.5", \ + " 161.5, 190.9, 258.4, 397.9, 657.5, 1234.2", \ + " 204.6, 236.4, 311.6, 454.0, 705.0, 1265.8", \ + " 267.0, 302.4, 384.1, 541.1, 787.1, 1329.3", \ + " 357.2, 397.6, 488.3, 659.6, 919.0, 1445.4" ); }} +} +} +cell(cgi2v0x1) { /* 2008-01-06:07h31 characteristic delay 25.2 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 901 ; /* cgi2v0x1 */ +cell_footprint : cgi2 ; +pin(a) { /* cgi2v0x1 FO4 effort 2.65 logical effort 3.50 */ +direction : input ; +capacitance : 8.09 ; +rise_capacitance : 8.11 ; +fall_capacitance : 8.08 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2v0x1 */ +} +pin(b) { /* cgi2v0x1 FO4 effort 2.62 logical effort 3.51 */ +direction : input ; +capacitance : 8.04 ; +rise_capacitance : 7.77 ; +fall_capacitance : 8.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2v0x1 */ +} +pin(c) { /* cgi2v0x1 FO4 effort 1.64 logical effort 1.82 */ +direction : input ; +capacitance : 4.21 ; +rise_capacitance : 4.01 ; +fall_capacitance : 4.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 94 ; +max_fanout : 4 ; +function : "((a*b)+(a*c)+(b*c))'" ; +internal_power(a_z_n) { /* cgi2v0x1 16.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 8.80, 8.81, 8.82, 8.81, 8.79", \ + " 8.45, 8.49, 8.53, 8.56, 8.56", \ + " 8.34, 8.38, 8.44, 8.48, 8.49", \ + " 8.28, 8.31, 8.37, 8.42, 8.44", \ + " 8.31, 8.32, 8.34, 8.38, 8.40", \ + " 8.57, 8.53, 8.47, 8.44, 8.43", \ + " 9.27, 9.13, 8.92, 8.72, 8.59", \ + " 10.70, 10.42, 9.95, 9.44, 9.07", \ + " 13.31, 12.87, 12.04, 11.02, 10.19", \ + " 17.66, 17.06, 15.82, 14.09, 12.52" ); }} +internal_power(b_z_n) { /* cgi2v0x1 15.28 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 7.96, 8.07, 8.18, 8.24, 8.25", \ + " 7.53, 7.68, 7.90, 8.07, 8.16", \ + " 7.38, 7.52, 7.76, 7.98, 8.09", \ + " 7.32, 7.42, 7.64, 7.88, 8.03", \ + " 7.39, 7.44, 7.58, 7.79, 7.96", \ + " 7.74, 7.71, 7.71, 7.82, 7.95", \ + " 8.57, 8.41, 8.21, 8.09, 8.08", \ + " 10.11, 9.81, 9.31, 8.83, 8.55", \ + " 12.81, 12.33, 11.45, 10.44, 9.67", \ + " 17.26, 16.60, 15.29, 13.53, 12.01" ); }} +internal_power(c_z_n) { /* cgi2v0x1 11.98 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 5.91, 6.01, 6.12, 6.19, 6.21", \ + " 5.76, 5.86, 6.02, 6.15, 6.22", \ + " 5.77, 5.85, 5.98, 6.13, 6.21", \ + " 5.87, 5.90, 5.99, 6.11, 6.20", \ + " 6.17, 6.13, 6.11, 6.16, 6.22", \ + " 6.75, 6.61, 6.45, 6.35, 6.32", \ + " 7.79, 7.54, 7.16, 6.81, 6.61", \ + " 9.53, 9.13, 8.47, 7.76, 7.25", \ + " 12.39, 11.82, 10.78, 9.56, 8.57", \ + " 16.96, 16.21, 14.74, 12.81, 11.10" ); }} +timing() { /* ring osc delay cgi2v0x1, path a to z 78.3 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.2 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 70.5, 105.5, 183.3, 320.9, 613.1", \ + " 64.2, 77.6, 112.8, 190.7, 328.4, 620.5", \ + " 69.0, 82.5, 117.7, 195.6, 333.4, 625.5", \ + " 75.3, 88.7, 123.9, 201.9, 339.7, 631.9", \ + " 84.7, 99.5, 134.7, 212.6, 350.4, 642.6", \ + " 95.8, 112.0, 150.5, 228.2, 365.8, 657.9", \ + " 110.9, 128.8, 170.9, 252.3, 389.4, 681.1", \ + " 131.6, 151.3, 197.5, 286.4, 425.0, 715.8", \ + " 160.3, 182.5, 233.6, 330.9, 479.4, 769.0", \ + " 199.9, 225.3, 283.3, 390.8, 553.1, 851.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.2, 146.0, 244.8, 467.6, 864.0, 1705.9", \ + " 109.8, 146.3, 244.6, 467.3, 863.6, 1705.5", \ + " 112.9, 148.7, 245.7, 467.3, 863.5, 1705.4", \ + " 118.2, 153.4, 249.2, 468.6, 863.5, 1705.3", \ + " 129.8, 163.9, 257.7, 474.1, 865.1, 1705.2", \ + " 151.1, 182.9, 273.5, 485.6, 871.9, 1705.9", \ + " 184.2, 217.5, 302.5, 508.0, 887.5, 1713.0", \ + " 230.4, 264.8, 352.0, 548.1, 917.9, 1731.5", \ + " 297.0, 333.0, 424.6, 617.3, 974.2, 1770.7", \ + " 391.1, 429.9, 527.0, 729.4, 1073.1, 1846.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 54.4, 79.7, 135.4, 233.5, 441.4", \ + " 49.7, 59.6, 85.1, 141.0, 239.1, 447.0", \ + " 53.0, 63.0, 88.5, 144.5, 242.7, 450.6", \ + " 56.7, 67.2, 92.9, 148.9, 247.2, 455.1", \ + " 61.2, 72.9, 100.5, 156.7, 255.0, 463.0", \ + " 65.7, 78.8, 109.2, 167.9, 266.2, 474.2", \ + " 69.3, 84.6, 119.1, 183.4, 283.3, 491.1", \ + " 71.2, 89.1, 129.0, 201.0, 308.4, 516.4", \ + " 70.2, 91.0, 137.7, 220.3, 339.1, 555.0", \ + " 64.0, 88.3, 143.0, 239.5, 374.4, 610.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 71.9, 97.0, 164.3, 316.4, 587.2, 1162.8", \ + " 73.4, 98.1, 164.7, 316.5, 587.2, 1162.8", \ + " 76.8, 101.0, 166.8, 317.1, 587.2, 1162.8", \ + " 82.9, 106.3, 170.8, 319.6, 587.9, 1162.8", \ + " 97.3, 119.0, 180.9, 326.5, 591.7, 1163.1", \ + " 117.5, 140.9, 199.9, 340.4, 600.8, 1167.0", \ + " 147.5, 172.1, 233.8, 367.7, 620.5, 1178.1", \ + " 191.5, 217.4, 282.0, 415.6, 658.0, 1202.8", \ + " 256.6, 284.2, 352.2, 491.9, 726.1, 1252.5", \ + " 351.5, 381.9, 455.0, 602.0, 842.0, 1346.0" ); }} +timing() { /* ring osc delay cgi2v0x1, path a to z 80.5 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.2 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 71.8, 106.6, 184.1, 321.6, 613.6", \ + " 66.1, 79.4, 114.4, 192.1, 329.7, 621.7", \ + " 71.5, 84.9, 119.8, 197.6, 335.3, 627.4", \ + " 78.3, 91.6, 126.6, 204.4, 342.1, 634.2", \ + " 88.0, 102.7, 137.8, 215.6, 353.3, 645.5", \ + " 98.6, 115.0, 153.5, 231.2, 368.9, 661.0", \ + " 112.1, 130.5, 173.3, 255.0, 392.3, 684.2", \ + " 130.6, 151.1, 198.5, 288.4, 427.4, 718.6", \ + " 156.9, 180.1, 232.8, 331.7, 481.2, 771.4", \ + " 194.7, 221.2, 280.7, 390.1, 553.8, 853.6" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 104.3, 140.8, 238.8, 460.9, 856.7, 1698.1", \ + " 104.9, 141.1, 239.0, 460.9, 856.7, 1698.1", \ + " 107.0, 142.5, 239.5, 461.0, 856.7, 1698.1", \ + " 111.7, 146.6, 242.2, 461.7, 856.8, 1698.1", \ + " 122.0, 155.9, 249.6, 466.3, 857.8, 1698.2", \ + " 141.2, 172.8, 263.7, 476.7, 863.8, 1698.5", \ + " 172.1, 205.1, 290.5, 497.6, 878.5, 1704.9", \ + " 216.7, 250.9, 338.4, 536.0, 907.7, 1722.6", \ + " 285.5, 320.3, 411.2, 604.2, 962.6, 1760.8", \ + " 386.8, 423.1, 516.8, 717.3, 1060.7, 1835.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 56.1, 82.4, 139.2, 238.0, 446.5", \ + " 49.6, 60.1, 86.5, 143.2, 242.0, 450.4", \ + " 52.7, 63.1, 89.5, 146.3, 245.2, 453.6", \ + " 56.5, 67.3, 93.8, 150.6, 249.5, 457.9", \ + " 61.7, 73.5, 101.4, 158.3, 257.2, 465.6", \ + " 67.1, 80.2, 110.6, 169.5, 268.4, 476.7", \ + " 72.3, 87.2, 121.2, 185.4, 285.5, 493.7", \ + " 76.0, 93.3, 132.3, 203.7, 310.8, 519.0", \ + " 76.9, 97.1, 142.6, 224.0, 342.2, 557.7", \ + " 72.7, 96.3, 149.6, 244.6, 378.3, 613.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.9, 109.9, 177.2, 329.3, 600.1, 1175.9", \ + " 85.8, 110.3, 176.8, 328.3, 599.1, 1175.0", \ + " 89.1, 113.1, 178.7, 328.9, 598.8, 1174.6", \ + " 95.3, 118.6, 182.9, 331.4, 599.5, 1174.3", \ + " 109.9, 131.7, 193.3, 338.4, 603.3, 1174.6", \ + " 131.0, 154.1, 212.7, 352.7, 612.6, 1178.6", \ + " 161.6, 186.0, 246.9, 380.4, 632.6, 1189.8", \ + " 205.5, 231.4, 295.6, 428.5, 670.6, 1214.7", \ + " 268.9, 297.1, 365.5, 505.0, 738.9, 1264.9", \ + " 360.0, 391.8, 466.8, 615.0, 854.7, 1358.8" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.2 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 71.8, 106.6, 184.1, 321.6, 613.6", \ + " 66.1, 79.4, 114.4, 192.1, 329.7, 621.7", \ + " 71.5, 84.9, 119.8, 197.6, 335.3, 627.4", \ + " 78.3, 91.6, 126.6, 204.4, 342.1, 634.2", \ + " 88.0, 102.7, 137.8, 215.6, 353.3, 645.5", \ + " 98.6, 115.0, 153.5, 231.2, 368.9, 661.0", \ + " 112.1, 130.5, 173.3, 255.0, 392.3, 684.2", \ + " 130.6, 151.1, 198.5, 288.4, 427.4, 718.6", \ + " 156.9, 180.1, 232.8, 331.7, 481.2, 771.4", \ + " 194.7, 221.2, 280.7, 390.1, 553.8, 853.6" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 104.3, 140.8, 238.8, 460.9, 856.7, 1698.1", \ + " 104.9, 141.1, 239.0, 460.9, 856.7, 1698.1", \ + " 107.0, 142.5, 239.5, 461.0, 856.7, 1698.1", \ + " 111.7, 146.6, 242.2, 461.7, 856.8, 1698.1", \ + " 122.0, 155.9, 249.6, 466.3, 857.8, 1698.2", \ + " 141.2, 172.8, 263.7, 476.7, 863.8, 1698.5", \ + " 172.1, 205.1, 290.5, 497.6, 878.5, 1704.9", \ + " 216.7, 250.9, 338.4, 536.0, 907.7, 1722.6", \ + " 285.5, 320.3, 411.2, 604.2, 962.6, 1760.8", \ + " 386.8, 423.1, 516.8, 717.3, 1060.7, 1835.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 56.1, 82.4, 139.2, 238.0, 446.5", \ + " 49.6, 60.1, 86.5, 143.2, 242.0, 450.4", \ + " 52.7, 63.1, 89.5, 146.3, 245.2, 453.6", \ + " 56.5, 67.3, 93.8, 150.6, 249.5, 457.9", \ + " 61.7, 73.5, 101.4, 158.3, 257.2, 465.6", \ + " 67.1, 80.2, 110.6, 169.5, 268.4, 476.7", \ + " 72.3, 87.2, 121.2, 185.4, 285.5, 493.7", \ + " 76.0, 93.3, 132.3, 203.7, 310.8, 519.0", \ + " 76.9, 97.1, 142.6, 224.0, 342.2, 557.7", \ + " 72.7, 96.3, 149.6, 244.6, 378.3, 613.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.9, 109.9, 177.2, 329.3, 600.1, 1175.9", \ + " 85.8, 110.3, 176.8, 328.3, 599.1, 1175.0", \ + " 89.1, 113.1, 178.7, 328.9, 598.8, 1174.6", \ + " 95.3, 118.6, 182.9, 331.4, 599.5, 1174.3", \ + " 109.9, 131.7, 193.3, 338.4, 603.3, 1174.6", \ + " 131.0, 154.1, 212.7, 352.7, 612.6, 1178.6", \ + " 161.6, 186.0, 246.9, 380.4, 632.6, 1189.8", \ + " 205.5, 231.4, 295.6, 428.5, 670.6, 1214.7", \ + " 268.9, 297.1, 365.5, 505.0, 738.9, 1264.9", \ + " 360.0, 391.8, 466.8, 615.0, 854.7, 1358.8" ); }} +timing() { /* ring osc delay cgi2v0x1, path b to z 70.2 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.9 ; */ +/* intrinsic_fall : 56.2 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 67.8, 103.5, 181.8, 319.8, 612.1", \ + " 58.5, 72.5, 108.6, 187.4, 325.8, 618.3", \ + " 63.5, 77.2, 113.1, 192.0, 330.5, 623.1", \ + " 70.9, 84.3, 119.8, 198.5, 336.9, 629.6", \ + " 82.8, 97.7, 132.4, 210.4, 348.6, 641.2", \ + " 96.8, 113.5, 151.9, 228.6, 366.0, 658.2", \ + " 115.3, 134.0, 177.6, 257.5, 393.3, 684.4", \ + " 139.7, 160.6, 209.3, 299.9, 435.3, 724.1", \ + " 172.8, 196.5, 251.0, 353.2, 501.3, 786.1", \ + " 218.2, 245.5, 307.4, 422.1, 590.9, 884.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.4, 146.1, 244.9, 467.8, 864.0, 1705.9", \ + " 109.7, 145.7, 244.4, 467.3, 863.6, 1705.5", \ + " 114.4, 149.5, 245.6, 467.2, 863.5, 1705.4", \ + " 122.4, 156.5, 250.5, 468.5, 863.4, 1705.3", \ + " 139.2, 171.9, 262.7, 475.9, 865.0, 1705.2", \ + " 167.0, 197.5, 284.5, 491.7, 874.0, 1705.5", \ + " 205.0, 240.4, 322.4, 521.9, 895.2, 1714.5", \ + " 250.4, 291.0, 382.5, 574.3, 935.8, 1739.8", \ + " 317.5, 361.3, 465.5, 660.5, 1009.5, 1792.3", \ + " 416.7, 464.3, 577.3, 795.7, 1135.2, 1892.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.5, 46.4, 71.7, 127.2, 225.1, 432.7", \ + " 41.4, 51.4, 77.2, 133.2, 231.3, 439.1", \ + " 44.5, 54.6, 80.4, 136.6, 234.9, 442.8", \ + " 46.1, 57.9, 84.6, 140.9, 239.4, 447.4", \ + " 48.6, 61.4, 91.2, 148.5, 247.0, 455.1", \ + " 50.4, 65.2, 97.7, 159.5, 258.1, 466.2", \ + " 50.5, 68.0, 105.4, 172.7, 274.9, 483.1", \ + " 47.5, 68.3, 112.4, 188.0, 298.8, 508.1", \ + " 39.7, 64.3, 116.7, 204.5, 326.8, 546.3", \ + " 23.8, 52.8, 115.0, 219.1, 359.0, 599.4" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 64.4, 89.7, 157.2, 309.6, 580.6, 1156.2", \ + " 65.5, 90.1, 157.0, 309.4, 580.5, 1156.2", \ + " 69.3, 93.1, 158.8, 309.5, 580.3, 1156.1", \ + " 76.5, 98.9, 162.9, 311.8, 580.4, 1156.0", \ + " 95.0, 113.2, 173.1, 318.5, 584.0, 1155.9", \ + " 112.7, 137.0, 193.0, 332.4, 592.9, 1159.5", \ + " 141.5, 166.2, 229.6, 359.8, 612.4, 1170.3", \ + " 185.9, 210.9, 275.7, 409.2, 649.8, 1194.7", \ + " 252.7, 278.7, 344.9, 486.0, 718.3, 1244.3", \ + " 351.0, 378.4, 448.6, 594.2, 836.8, 1337.8" ); }} +timing() { /* ring osc delay cgi2v0x1, path b to z 85.2 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.9 ; */ +/* intrinsic_fall : 56.2 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.5, 67.3, 102.5, 180.5, 318.7, 611.6", \ + " 59.9, 73.7, 109.4, 188.0, 326.6, 619.7", \ + " 65.2, 78.8, 114.4, 193.2, 331.9, 625.2", \ + " 72.0, 85.5, 120.8, 199.6, 338.5, 632.0", \ + " 80.0, 96.1, 131.9, 210.3, 349.3, 643.0", \ + " 89.1, 106.5, 147.3, 225.7, 364.4, 658.2", \ + " 100.8, 120.4, 164.9, 249.3, 387.4, 680.9", \ + " 116.1, 138.6, 188.4, 280.6, 422.2, 714.8", \ + " 137.2, 163.2, 219.7, 321.7, 474.5, 767.1", \ + " 166.8, 197.1, 262.3, 376.8, 543.8, 848.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.5, 163.7, 261.6, 483.6, 879.6, 1721.3", \ + " 127.4, 163.5, 261.3, 483.4, 879.5, 1721.3", \ + " 129.0, 164.1, 261.3, 483.2, 879.3, 1721.2", \ + " 134.1, 168.4, 263.4, 483.2, 879.1, 1721.1", \ + " 145.3, 178.2, 271.0, 487.3, 879.2, 1720.8", \ + " 166.9, 196.4, 285.4, 497.7, 884.9, 1720.4", \ + " 209.3, 232.8, 313.2, 518.7, 899.4, 1726.3", \ + " 248.2, 283.0, 364.8, 557.6, 928.7, 1743.8", \ + " 314.3, 348.4, 440.8, 627.8, 984.0, 1782.0", \ + " 417.1, 450.4, 542.5, 747.0, 1083.2, 1857.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 61.2, 87.9, 144.9, 243.9, 452.5", \ + " 55.7, 66.6, 93.6, 151.0, 250.2, 458.9", \ + " 60.2, 71.1, 98.1, 155.6, 255.0, 463.7", \ + " 66.9, 77.4, 104.3, 161.9, 261.4, 470.2", \ + " 75.9, 88.2, 115.7, 173.1, 272.6, 481.5", \ + " 84.5, 98.9, 131.2, 189.6, 289.0, 497.8", \ + " 92.9, 109.8, 147.7, 214.7, 314.0, 522.5", \ + " 100.8, 120.2, 164.3, 243.1, 351.6, 559.4", \ + " 107.4, 129.8, 180.8, 272.8, 401.1, 615.8", \ + " 111.2, 137.3, 196.5, 303.3, 454.1, 701.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.1, 110.7, 177.6, 329.4, 600.1, 1175.9", \ + " 84.9, 109.3, 176.5, 328.4, 599.2, 1175.1", \ + " 87.9, 111.8, 177.2, 328.1, 598.9, 1174.7", \ + " 94.8, 117.9, 181.6, 329.7, 598.6, 1174.4", \ + " 110.3, 132.7, 194.0, 338.0, 601.8, 1174.1", \ + " 135.4, 158.1, 216.9, 355.9, 613.6, 1177.0", \ + " 165.4, 193.1, 256.1, 390.1, 639.7, 1191.7", \ + " 209.1, 239.6, 311.4, 447.3, 688.3, 1225.7", \ + " 272.6, 306.8, 386.0, 536.8, 772.6, 1292.4", \ + " 365.4, 404.4, 492.8, 658.7, 908.6, 1413.4" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 67.9 ; */ +/* intrinsic_fall : 56.2 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.5, 67.3, 102.5, 180.5, 318.7, 611.6", \ + " 59.9, 73.7, 109.4, 188.0, 326.6, 619.7", \ + " 65.2, 78.8, 114.4, 193.2, 331.9, 625.2", \ + " 72.0, 85.5, 120.8, 199.6, 338.5, 632.0", \ + " 80.0, 96.1, 131.9, 210.3, 349.3, 643.0", \ + " 89.1, 106.5, 147.3, 225.7, 364.4, 658.2", \ + " 100.8, 120.4, 164.9, 249.3, 387.4, 680.9", \ + " 116.1, 138.6, 188.4, 280.6, 422.2, 714.8", \ + " 137.2, 163.2, 219.7, 321.7, 474.5, 767.1", \ + " 166.8, 197.1, 262.3, 376.8, 543.8, 848.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.5, 163.7, 261.6, 483.6, 879.6, 1721.3", \ + " 127.4, 163.5, 261.3, 483.4, 879.5, 1721.3", \ + " 129.0, 164.1, 261.3, 483.2, 879.3, 1721.2", \ + " 134.1, 168.4, 263.4, 483.2, 879.1, 1721.1", \ + " 145.3, 178.2, 271.0, 487.3, 879.2, 1720.8", \ + " 166.9, 196.4, 285.4, 497.7, 884.9, 1720.4", \ + " 209.3, 232.8, 313.2, 518.7, 899.4, 1726.3", \ + " 248.2, 283.0, 364.8, 557.6, 928.7, 1743.8", \ + " 314.3, 348.4, 440.8, 627.8, 984.0, 1782.0", \ + " 417.1, 450.4, 542.5, 747.0, 1083.2, 1857.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 61.2, 87.9, 144.9, 243.9, 452.5", \ + " 55.7, 66.6, 93.6, 151.0, 250.2, 458.9", \ + " 60.2, 71.1, 98.1, 155.6, 255.0, 463.7", \ + " 66.9, 77.4, 104.3, 161.9, 261.4, 470.2", \ + " 75.9, 88.2, 115.7, 173.1, 272.6, 481.5", \ + " 84.5, 98.9, 131.2, 189.6, 289.0, 497.8", \ + " 92.9, 109.8, 147.7, 214.7, 314.0, 522.5", \ + " 100.8, 120.2, 164.3, 243.1, 351.6, 559.4", \ + " 107.4, 129.8, 180.8, 272.8, 401.1, 615.8", \ + " 111.2, 137.3, 196.5, 303.3, 454.1, 701.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.1, 110.7, 177.6, 329.4, 600.1, 1175.9", \ + " 84.9, 109.3, 176.5, 328.4, 599.2, 1175.1", \ + " 87.9, 111.8, 177.2, 328.1, 598.9, 1174.7", \ + " 94.8, 117.9, 181.6, 329.7, 598.6, 1174.4", \ + " 110.3, 132.7, 194.0, 338.0, 601.8, 1174.1", \ + " 135.4, 158.1, 216.9, 355.9, 613.6, 1177.0", \ + " 165.4, 193.1, 256.1, 390.1, 639.7, 1191.7", \ + " 209.1, 239.6, 311.4, 447.3, 688.3, 1225.7", \ + " 272.6, 306.8, 386.0, 536.8, 772.6, 1292.4", \ + " 365.4, 404.4, 492.8, 658.7, 908.6, 1413.4" ); }} +timing() { /* ring osc delay cgi2v0x1, path c to z 65.8 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.1 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 56.0, 91.3, 169.2, 306.9, 599.0", \ + " 48.1, 61.6, 97.0, 175.3, 313.2, 605.5", \ + " 53.5, 66.7, 101.8, 180.0, 318.0, 610.4", \ + " 61.1, 74.1, 108.8, 186.7, 324.6, 617.0", \ + " 71.5, 86.9, 121.8, 198.9, 336.5, 628.7", \ + " 84.2, 101.7, 141.1, 217.4, 354.2, 645.8", \ + " 100.9, 120.5, 165.5, 246.5, 381.7, 672.2", \ + " 122.3, 144.7, 195.4, 288.0, 423.9, 712.1", \ + " 150.7, 176.4, 234.1, 339.3, 489.5, 774.2", \ + " 188.7, 218.7, 285.2, 404.7, 576.9, 871.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 98.7, 135.1, 232.0, 449.9, 837.0, 1659.3", \ + " 101.0, 136.0, 231.3, 449.8, 837.0, 1659.3", \ + " 106.4, 140.4, 233.6, 449.4, 836.9, 1659.3", \ + " 115.1, 147.9, 238.9, 451.0, 836.8, 1659.3", \ + " 132.4, 163.8, 251.5, 458.4, 837.9, 1659.3", \ + " 160.7, 189.2, 273.3, 474.1, 846.3, 1659.2", \ + " 190.7, 228.9, 310.2, 503.6, 866.6, 1666.1", \ + " 233.3, 273.9, 368.5, 554.4, 905.7, 1689.1", \ + " 296.7, 339.9, 443.4, 637.3, 976.5, 1738.8", \ + " 390.2, 437.1, 548.2, 764.4, 1097.4, 1834.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.2, 66.0, 121.9, 219.8, 427.4", \ + " 36.1, 46.2, 72.3, 128.4, 226.5, 434.2", \ + " 40.8, 51.1, 77.0, 133.2, 231.4, 439.2", \ + " 45.1, 57.3, 83.5, 139.7, 237.9, 445.7", \ + " 50.5, 64.8, 95.2, 151.2, 249.3, 457.1", \ + " 55.5, 72.0, 107.6, 168.0, 265.8, 473.5", \ + " 60.0, 78.9, 120.4, 191.6, 291.2, 498.3", \ + " 62.9, 84.6, 132.6, 216.3, 328.9, 535.4", \ + " 62.6, 87.9, 143.7, 241.4, 374.8, 592.1", \ + " 56.7, 86.2, 151.7, 266.0, 423.0, 676.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.0, 89.7, 155.7, 304.4, 569.0, 1131.4", \ + " 69.3, 92.3, 156.2, 304.4, 569.0, 1131.4", \ + " 75.8, 97.9, 159.8, 304.9, 569.0, 1131.4", \ + " 85.9, 107.1, 166.8, 308.6, 569.1, 1131.4", \ + " 105.8, 125.4, 182.5, 319.3, 573.9, 1131.4", \ + " 127.5, 152.0, 208.1, 339.8, 587.3, 1134.5", \ + " 159.5, 185.7, 249.4, 376.4, 615.3, 1149.6", \ + " 204.8, 233.1, 301.7, 434.6, 665.6, 1184.0", \ + " 269.4, 300.9, 375.2, 521.5, 750.1, 1251.0", \ + " 361.8, 398.0, 480.8, 639.5, 884.4, 1371.2" ); }} +timing() { /* ring osc delay cgi2v0x1, path c to z 70.8 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.1 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.4, 50.3, 86.1, 165.0, 304.2, 598.7", \ + " 42.3, 55.9, 91.8, 171.0, 310.5, 605.1", \ + " 48.1, 61.1, 96.6, 175.8, 315.3, 610.0", \ + " 54.9, 68.8, 103.6, 182.5, 321.9, 616.6", \ + " 64.8, 81.0, 116.8, 194.8, 333.8, 628.3", \ + " 76.9, 95.2, 136.0, 213.3, 351.5, 645.5", \ + " 93.2, 113.7, 160.0, 242.6, 379.1, 671.9", \ + " 114.5, 137.7, 189.8, 284.1, 421.4, 711.8", \ + " 143.2, 169.7, 228.7, 335.6, 487.3, 774.0", \ + " 182.5, 213.0, 280.6, 401.6, 575.3, 871.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 130.6, 166.4, 262.4, 479.2, 865.6, 1687.3", \ + " 134.3, 168.1, 261.9, 479.1, 865.6, 1687.3", \ + " 140.5, 173.2, 264.6, 478.7, 865.5, 1687.3", \ + " 150.0, 181.4, 270.4, 480.6, 865.4, 1687.3", \ + " 168.0, 198.1, 283.6, 488.5, 866.7, 1687.3", \ + " 195.4, 224.1, 306.2, 504.7, 875.4, 1687.2", \ + " 236.0, 265.6, 343.8, 534.9, 896.2, 1694.4", \ + " 282.8, 318.4, 402.4, 586.4, 935.9, 1717.8", \ + " 351.1, 388.9, 484.1, 670.2, 1007.3, 1767.9", \ + " 451.3, 491.6, 593.7, 800.7, 1129.1, 1863.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.1, 48.2, 73.8, 129.7, 228.0, 435.9", \ + " 44.3, 54.4, 80.2, 136.3, 234.7, 442.7", \ + " 49.3, 59.2, 85.0, 141.2, 239.6, 447.6", \ + " 55.3, 66.0, 91.4, 147.6, 246.1, 454.2", \ + " 62.3, 75.1, 103.2, 159.1, 257.5, 465.6", \ + " 68.7, 83.8, 117.2, 175.9, 274.0, 481.9", \ + " 74.7, 92.3, 131.6, 200.4, 299.3, 506.8", \ + " 79.3, 99.7, 145.6, 226.7, 337.2, 543.8", \ + " 81.1, 105.1, 158.7, 253.7, 384.7, 600.4", \ + " 77.7, 105.9, 168.9, 280.2, 434.6, 685.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.9, 94.6, 160.6, 309.4, 574.1, 1136.7", \ + " 72.0, 95.7, 160.5, 309.3, 574.1, 1136.7", \ + " 77.1, 100.1, 163.3, 309.5, 574.1, 1136.7", \ + " 85.6, 108.1, 169.4, 312.5, 574.0, 1136.7", \ + " 103.7, 124.5, 183.7, 322.3, 578.1, 1136.7", \ + " 124.5, 150.2, 207.8, 341.7, 590.8, 1139.3", \ + " 154.1, 182.1, 247.7, 376.9, 617.7, 1153.7", \ + " 196.7, 227.2, 298.8, 433.7, 666.8, 1187.3", \ + " 258.4, 292.5, 370.4, 519.6, 750.1, 1253.3", \ + " 347.3, 386.6, 473.6, 636.3, 883.0, 1372.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 54.1 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.4, 50.3, 86.1, 165.0, 304.2, 598.7", \ + " 42.3, 55.9, 91.8, 171.0, 310.5, 605.1", \ + " 48.1, 61.1, 96.6, 175.8, 315.3, 610.0", \ + " 54.9, 68.8, 103.6, 182.5, 321.9, 616.6", \ + " 64.8, 81.0, 116.8, 194.8, 333.8, 628.3", \ + " 76.9, 95.2, 136.0, 213.3, 351.5, 645.5", \ + " 93.2, 113.7, 160.0, 242.6, 379.1, 671.9", \ + " 114.5, 137.7, 189.8, 284.1, 421.4, 711.8", \ + " 143.2, 169.7, 228.7, 335.6, 487.3, 774.0", \ + " 182.5, 213.0, 280.6, 401.6, 575.3, 871.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 130.6, 166.4, 262.4, 479.2, 865.6, 1687.3", \ + " 134.3, 168.1, 261.9, 479.1, 865.6, 1687.3", \ + " 140.5, 173.2, 264.6, 478.7, 865.5, 1687.3", \ + " 150.0, 181.4, 270.4, 480.6, 865.4, 1687.3", \ + " 168.0, 198.1, 283.6, 488.5, 866.7, 1687.3", \ + " 195.4, 224.1, 306.2, 504.7, 875.4, 1687.2", \ + " 236.0, 265.6, 343.8, 534.9, 896.2, 1694.4", \ + " 282.8, 318.4, 402.4, 586.4, 935.9, 1717.8", \ + " 351.1, 388.9, 484.1, 670.2, 1007.3, 1767.9", \ + " 451.3, 491.6, 593.7, 800.7, 1129.1, 1863.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.1, 48.2, 73.8, 129.7, 228.0, 435.9", \ + " 44.3, 54.4, 80.2, 136.3, 234.7, 442.7", \ + " 49.3, 59.2, 85.0, 141.2, 239.6, 447.6", \ + " 55.3, 66.0, 91.4, 147.6, 246.1, 454.2", \ + " 62.3, 75.1, 103.2, 159.1, 257.5, 465.6", \ + " 68.7, 83.8, 117.2, 175.9, 274.0, 481.9", \ + " 74.7, 92.3, 131.6, 200.4, 299.3, 506.8", \ + " 79.3, 99.7, 145.6, 226.7, 337.2, 543.8", \ + " 81.1, 105.1, 158.7, 253.7, 384.7, 600.4", \ + " 77.7, 105.9, 168.9, 280.2, 434.6, 685.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.9, 94.6, 160.6, 309.4, 574.1, 1136.7", \ + " 72.0, 95.7, 160.5, 309.3, 574.1, 1136.7", \ + " 77.1, 100.1, 163.3, 309.5, 574.1, 1136.7", \ + " 85.6, 108.1, 169.4, 312.5, 574.0, 1136.7", \ + " 103.7, 124.5, 183.7, 322.3, 578.1, 1136.7", \ + " 124.5, 150.2, 207.8, 341.7, 590.8, 1139.3", \ + " 154.1, 182.1, 247.7, 376.9, 617.7, 1153.7", \ + " 196.7, 227.2, 298.8, 433.7, 666.8, 1187.3", \ + " 258.4, 292.5, 370.4, 519.6, 750.1, 1253.3", \ + " 347.3, 386.6, 473.6, 636.3, 883.0, 1372.2" ); }} +} +} +cell(cgi2v0x2) { /* 2008-01-06:07h31 characteristic delay 25.1 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1871 ; /* cgi2v0x2 */ +cell_footprint : cgi2 ; +pin(a) { /* cgi2v0x2 FO4 effort 2.72 logical effort 3.68 */ +direction : input ; +capacitance : 18.48 ; +rise_capacitance : 18.47 ; +fall_capacitance : 18.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2v0x2 */ +} +pin(b) { /* cgi2v0x2 FO4 effort 2.52 logical effort 3.37 */ +direction : input ; +capacitance : 16.80 ; +rise_capacitance : 16.16 ; +fall_capacitance : 17.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2v0x2 */ +} +pin(c) { /* cgi2v0x2 FO4 effort 1.60 logical effort 1.75 */ +direction : input ; +capacitance : 8.75 ; +rise_capacitance : 8.28 ; +fall_capacitance : 9.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 197 ; +max_fanout : 6 ; +function : "((a*b)+(a*c)+(b*c))'" ; +internal_power(a_z_n) { /* cgi2v0x2 35.34 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 18.63, 18.66, 18.70, 18.70, 18.66", \ + " 17.84, 17.93, 18.05, 18.13, 18.15", \ + " 17.60, 17.69, 17.83, 17.95, 18.00", \ + " 17.46, 17.53, 17.67, 17.81, 17.88", \ + " 17.54, 17.55, 17.62, 17.72, 17.79", \ + " 18.15, 18.05, 17.93, 17.88, 17.85", \ + " 19.75, 19.45, 18.98, 18.54, 18.25", \ + " 22.98, 22.40, 21.37, 20.22, 19.37", \ + " 28.78, 27.86, 26.10, 23.86, 21.99", \ + " 38.36, 37.13, 34.56, 30.86, 27.37" ); }} +internal_power(b_z_n) { /* cgi2v0x2 31.80 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 16.59, 16.82, 17.07, 17.22, 17.26", \ + " 15.67, 15.97, 16.44, 16.84, 17.03", \ + " 15.38, 15.66, 16.14, 16.62, 16.90", \ + " 15.27, 15.47, 15.90, 16.41, 16.75", \ + " 15.48, 15.56, 15.82, 16.24, 16.60", \ + " 16.32, 16.22, 16.19, 16.36, 16.61", \ + " 18.21, 17.86, 17.37, 17.05, 16.97", \ + " 21.69, 21.04, 19.93, 18.82, 18.11", \ + " 27.70, 26.70, 24.82, 22.55, 20.76", \ + " 37.50, 36.15, 33.41, 29.62, 26.19" ); }} +internal_power(c_z_n) { /* cgi2v0x2 25.74 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 12.72, 12.93, 13.17, 13.34, 13.39", \ + " 12.39, 12.59, 12.92, 13.23, 13.39", \ + " 12.42, 12.56, 12.85, 13.16, 13.35", \ + " 12.64, 12.69, 12.87, 13.13, 13.32", \ + " 13.31, 13.22, 13.16, 13.25, 13.37", \ + " 14.60, 14.32, 13.94, 13.70, 13.62", \ + " 16.93, 16.40, 15.57, 14.79, 14.30", \ + " 20.78, 19.95, 18.53, 16.97, 15.81", \ + " 27.05, 25.90, 23.72, 21.07, 18.86", \ + " 37.08, 35.57, 32.53, 28.40, 24.64" ); }} +timing() { /* ring osc delay cgi2v0x2, path a to z 75.5 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.5 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 71.5, 105.2, 180.2, 313.0, 594.7", \ + " 65.4, 78.4, 112.3, 187.5, 320.4, 602.1", \ + " 70.2, 83.2, 117.2, 192.4, 325.3, 607.1", \ + " 76.5, 89.4, 123.3, 198.6, 331.6, 613.4", \ + " 86.1, 100.3, 134.2, 209.3, 342.3, 624.2", \ + " 97.5, 113.0, 150.0, 225.0, 357.7, 639.4", \ + " 113.3, 130.3, 170.7, 249.4, 381.5, 662.7", \ + " 135.5, 154.1, 198.1, 283.7, 417.4, 697.6", \ + " 166.6, 187.4, 236.0, 329.1, 472.5, 751.5", \ + " 209.8, 233.7, 288.6, 391.1, 547.2, 835.5" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 110.3, 145.8, 241.1, 456.1, 838.3, 1650.2", \ + " 110.7, 145.9, 240.9, 455.7, 837.9, 1649.7", \ + " 113.8, 148.4, 241.9, 455.7, 837.8, 1649.6", \ + " 119.1, 153.1, 245.5, 457.0, 837.7, 1649.5", \ + " 130.7, 163.7, 254.1, 462.7, 839.5, 1649.5", \ + " 151.9, 182.6, 269.9, 474.3, 846.6, 1650.3", \ + " 184.9, 217.1, 298.7, 496.7, 862.4, 1657.8", \ + " 230.2, 263.5, 347.9, 536.7, 893.0, 1676.8", \ + " 294.9, 329.8, 418.9, 605.3, 949.1, 1716.3", \ + " 386.2, 423.8, 518.3, 715.3, 1047.2, 1792.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 49.0, 70.8, 118.6, 202.8, 380.9", \ + " 45.5, 54.1, 76.0, 124.0, 208.2, 386.4", \ + " 48.7, 57.4, 79.4, 127.4, 211.7, 389.9", \ + " 52.0, 61.4, 83.7, 131.8, 216.1, 394.4", \ + " 56.0, 66.4, 90.9, 139.6, 223.9, 402.2", \ + " 59.6, 71.4, 98.7, 150.7, 235.1, 413.4", \ + " 61.9, 75.8, 107.1, 164.6, 252.2, 430.4", \ + " 61.8, 78.1, 114.7, 179.9, 275.7, 455.6", \ + " 57.7, 76.9, 119.9, 195.7, 302.9, 494.0", \ + " 47.0, 69.4, 120.0, 209.3, 332.6, 544.0" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.1, 86.6, 144.3, 274.6, 506.7, 1000.0", \ + " 67.1, 88.1, 145.0, 274.7, 506.7, 1000.0", \ + " 70.7, 91.3, 147.4, 275.8, 506.7, 1000.0", \ + " 77.5, 97.2, 152.0, 278.8, 508.0, 1000.0", \ + " 92.5, 111.0, 163.0, 286.6, 512.7, 1001.1", \ + " 112.4, 132.7, 183.5, 302.0, 523.2, 1006.3", \ + " 142.1, 163.7, 217.5, 331.3, 545.1, 1019.4", \ + " 185.6, 208.5, 265.1, 381.6, 585.7, 1047.4", \ + " 249.8, 274.3, 334.3, 456.7, 657.7, 1101.9", \ + " 343.2, 370.4, 435.5, 565.1, 775.0, 1202.1" ); }} +timing() { /* ring osc delay cgi2v0x2, path a to z 77.7 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.5 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 73.0, 106.6, 181.4, 314.1, 595.6", \ + " 67.5, 80.4, 114.2, 189.3, 322.0, 603.7", \ + " 72.8, 85.7, 119.5, 194.7, 327.5, 609.2", \ + " 79.5, 92.4, 126.1, 201.3, 334.2, 616.0", \ + " 89.3, 103.4, 137.3, 212.4, 345.3, 627.1", \ + " 100.0, 115.8, 153.0, 228.0, 360.8, 642.6", \ + " 114.5, 131.9, 173.0, 252.0, 384.3, 665.7", \ + " 134.7, 154.0, 199.1, 285.6, 419.8, 700.4", \ + " 164.0, 185.6, 235.4, 329.9, 474.2, 753.8", \ + " 206.0, 230.6, 286.6, 390.6, 547.9, 837.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.3, 141.6, 236.3, 450.7, 832.4, 1643.9", \ + " 106.8, 141.9, 236.5, 450.7, 832.4, 1643.9", \ + " 108.9, 143.2, 237.0, 450.8, 832.5, 1643.9", \ + " 113.7, 147.5, 239.8, 451.4, 832.5, 1643.9", \ + " 124.2, 157.0, 247.5, 456.4, 833.7, 1643.9", \ + " 143.6, 174.3, 262.0, 467.2, 840.1, 1644.4", \ + " 175.1, 207.0, 289.1, 488.4, 855.2, 1651.4", \ + " 219.8, 252.9, 337.4, 527.1, 884.8, 1669.7", \ + " 287.4, 321.2, 409.2, 595.3, 940.0, 1708.5", \ + " 384.5, 420.1, 511.7, 706.5, 1037.7, 1783.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.7, 50.7, 73.2, 121.8, 206.6, 385.3", \ + " 45.7, 54.7, 77.3, 125.9, 210.7, 389.3", \ + " 48.8, 57.7, 80.4, 129.1, 213.8, 392.5", \ + " 52.2, 61.8, 84.6, 133.4, 218.2, 396.8", \ + " 56.7, 67.1, 91.9, 141.0, 225.8, 404.5", \ + " 61.0, 72.8, 100.0, 152.2, 237.1, 415.7", \ + " 64.5, 78.1, 109.0, 166.4, 254.2, 432.7", \ + " 65.8, 81.7, 117.6, 182.3, 277.8, 457.9", \ + " 63.3, 81.9, 124.0, 198.9, 305.5, 496.4", \ + " 54.1, 75.9, 125.5, 213.5, 335.9, 546.7" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.3, 96.7, 154.4, 284.7, 516.8, 1010.3", \ + " 76.6, 97.6, 154.3, 283.9, 515.9, 1009.4", \ + " 80.3, 100.7, 156.6, 284.9, 515.7, 1009.1", \ + " 87.1, 106.8, 161.3, 287.9, 516.9, 1008.9", \ + " 102.6, 120.8, 172.6, 295.8, 521.6, 1009.9", \ + " 123.0, 143.1, 193.4, 311.5, 532.3, 1015.2", \ + " 153.2, 174.5, 227.8, 341.2, 554.5, 1028.5", \ + " 196.7, 219.4, 275.7, 391.6, 595.4, 1056.6", \ + " 259.5, 284.4, 344.7, 466.9, 667.6, 1111.4", \ + " 349.9, 378.1, 444.7, 575.1, 785.0, 1212.0" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.5 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 73.0, 106.6, 181.4, 314.1, 595.6", \ + " 67.5, 80.4, 114.2, 189.3, 322.0, 603.7", \ + " 72.8, 85.7, 119.5, 194.7, 327.5, 609.2", \ + " 79.5, 92.4, 126.1, 201.3, 334.2, 616.0", \ + " 89.3, 103.4, 137.3, 212.4, 345.3, 627.1", \ + " 100.0, 115.8, 153.0, 228.0, 360.8, 642.6", \ + " 114.5, 131.9, 173.0, 252.0, 384.3, 665.7", \ + " 134.7, 154.0, 199.1, 285.6, 419.8, 700.4", \ + " 164.0, 185.6, 235.4, 329.9, 474.2, 753.8", \ + " 206.0, 230.6, 286.6, 390.6, 547.9, 837.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.3, 141.6, 236.3, 450.7, 832.4, 1643.9", \ + " 106.8, 141.9, 236.5, 450.7, 832.4, 1643.9", \ + " 108.9, 143.2, 237.0, 450.8, 832.5, 1643.9", \ + " 113.7, 147.5, 239.8, 451.4, 832.5, 1643.9", \ + " 124.2, 157.0, 247.5, 456.4, 833.7, 1643.9", \ + " 143.6, 174.3, 262.0, 467.2, 840.1, 1644.4", \ + " 175.1, 207.0, 289.1, 488.4, 855.2, 1651.4", \ + " 219.8, 252.9, 337.4, 527.1, 884.8, 1669.7", \ + " 287.4, 321.2, 409.2, 595.3, 940.0, 1708.5", \ + " 384.5, 420.1, 511.7, 706.5, 1037.7, 1783.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.7, 50.7, 73.2, 121.8, 206.6, 385.3", \ + " 45.7, 54.7, 77.3, 125.9, 210.7, 389.3", \ + " 48.8, 57.7, 80.4, 129.1, 213.8, 392.5", \ + " 52.2, 61.8, 84.6, 133.4, 218.2, 396.8", \ + " 56.7, 67.1, 91.9, 141.0, 225.8, 404.5", \ + " 61.0, 72.8, 100.0, 152.2, 237.1, 415.7", \ + " 64.5, 78.1, 109.0, 166.4, 254.2, 432.7", \ + " 65.8, 81.7, 117.6, 182.3, 277.8, 457.9", \ + " 63.3, 81.9, 124.0, 198.9, 305.5, 496.4", \ + " 54.1, 75.9, 125.5, 213.5, 335.9, 546.7" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.3, 96.7, 154.4, 284.7, 516.8, 1010.3", \ + " 76.6, 97.6, 154.3, 283.9, 515.9, 1009.4", \ + " 80.3, 100.7, 156.6, 284.9, 515.7, 1009.1", \ + " 87.1, 106.8, 161.3, 287.9, 516.9, 1008.9", \ + " 102.6, 120.8, 172.6, 295.8, 521.6, 1009.9", \ + " 123.0, 143.1, 193.4, 311.5, 532.3, 1015.2", \ + " 153.2, 174.5, 227.8, 341.2, 554.5, 1028.5", \ + " 196.7, 219.4, 275.7, 391.6, 595.4, 1056.6", \ + " 259.5, 284.4, 344.7, 466.9, 667.6, 1111.4", \ + " 349.9, 378.1, 444.7, 575.1, 785.0, 1212.0" ); }} +timing() { /* ring osc delay cgi2v0x2, path b to z 67.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.3, 68.8, 103.4, 179.0, 312.1, 594.0", \ + " 59.8, 73.3, 108.2, 184.4, 317.9, 600.1", \ + " 64.7, 78.0, 112.6, 188.9, 322.6, 604.9", \ + " 72.2, 85.1, 119.3, 195.3, 329.0, 611.4", \ + " 84.4, 98.7, 132.1, 207.3, 340.6, 623.0", \ + " 99.0, 115.0, 151.8, 225.6, 358.1, 639.9", \ + " 118.4, 136.2, 177.9, 255.0, 385.7, 666.2", \ + " 144.3, 164.1, 210.6, 297.8, 428.3, 706.3", \ + " 179.8, 202.1, 254.0, 352.0, 495.1, 769.1", \ + " 229.0, 254.6, 313.3, 423.0, 585.5, 868.5" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 110.5, 146.0, 241.3, 456.3, 838.4, 1650.2", \ + " 110.6, 145.4, 240.8, 455.8, 838.0, 1649.8", \ + " 115.1, 149.1, 241.9, 455.6, 837.8, 1649.7", \ + " 122.9, 156.0, 246.8, 456.9, 837.7, 1649.5", \ + " 139.2, 170.9, 258.7, 464.4, 839.3, 1649.4", \ + " 166.0, 195.7, 280.1, 480.1, 848.6, 1649.9", \ + " 203.4, 237.3, 317.1, 509.9, 869.9, 1659.4", \ + " 247.3, 286.7, 375.6, 561.4, 910.2, 1685.0", \ + " 312.2, 354.5, 455.9, 645.7, 982.9, 1737.6", \ + " 408.3, 454.1, 563.8, 776.7, 1106.4, 1836.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.3, 41.8, 63.5, 111.1, 195.1, 373.1", \ + " 38.2, 46.8, 68.9, 116.9, 201.1, 379.2", \ + " 40.7, 50.0, 72.1, 120.3, 204.6, 382.8", \ + " 42.3, 52.6, 76.3, 124.6, 209.1, 387.4", \ + " 44.4, 55.8, 82.0, 132.2, 216.7, 395.1", \ + " 45.4, 58.8, 87.9, 142.7, 227.8, 406.3", \ + " 44.2, 60.2, 94.3, 154.4, 244.7, 423.1", \ + " 39.3, 58.4, 99.0, 167.6, 266.3, 448.1", \ + " 28.6, 51.3, 99.6, 180.6, 291.0, 486.0", \ + " 8.4, 35.1, 92.7, 189.4, 317.8, 533.3" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 59.7, 81.3, 139.2, 269.8, 502.1, 995.5", \ + " 61.3, 82.2, 139.2, 269.6, 502.0, 995.5", \ + " 65.3, 85.5, 141.5, 270.2, 501.8, 995.4", \ + " 73.2, 91.8, 146.0, 273.0, 502.6, 995.3", \ + " 91.1, 107.4, 157.2, 280.6, 507.0, 995.8", \ + " 108.6, 129.7, 178.8, 295.8, 517.3, 1000.7", \ + " 137.4, 158.9, 214.1, 325.5, 538.9, 1013.6", \ + " 181.4, 203.4, 259.8, 378.4, 579.5, 1041.3", \ + " 247.1, 270.2, 328.6, 451.6, 652.4, 1095.6", \ + " 343.5, 368.0, 430.6, 558.7, 770.4, 1196.0" ); }} +timing() { /* ring osc delay cgi2v0x2, path b to z 80.4 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 66.3, 100.4, 175.7, 309.0, 591.5", \ + " 59.3, 72.6, 107.1, 183.0, 316.7, 599.5", \ + " 64.4, 77.5, 111.9, 188.0, 321.9, 604.9", \ + " 71.2, 84.1, 118.1, 194.2, 328.3, 611.5", \ + " 79.0, 94.5, 129.1, 204.8, 338.9, 622.3", \ + " 88.4, 105.1, 144.4, 220.1, 354.0, 637.3", \ + " 101.0, 119.7, 162.4, 244.1, 377.1, 660.1", \ + " 118.1, 139.3, 186.8, 275.5, 412.3, 694.3", \ + " 142.3, 166.6, 220.1, 317.7, 465.1, 747.1", \ + " 176.2, 204.5, 266.0, 375.1, 535.6, 829.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 128.0, 163.0, 257.5, 471.7, 853.6, 1665.3", \ + " 127.9, 162.8, 257.2, 471.5, 853.5, 1665.2", \ + " 129.8, 163.6, 257.2, 471.3, 853.3, 1665.2", \ + " 135.0, 168.1, 259.7, 471.3, 853.0, 1665.0", \ + " 146.4, 178.1, 267.6, 475.9, 853.4, 1664.7", \ + " 168.2, 196.7, 282.4, 486.7, 859.6, 1664.5", \ + " 210.9, 233.7, 310.7, 508.2, 874.6, 1671.0", \ + " 250.3, 283.8, 362.9, 547.6, 904.4, 1689.2", \ + " 315.7, 348.5, 437.6, 618.0, 960.1, 1728.2", \ + " 414.7, 447.2, 536.6, 734.8, 1059.2, 1804.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 53.5, 76.4, 125.3, 210.1, 388.9", \ + " 49.9, 59.2, 82.3, 131.5, 216.5, 395.4", \ + " 54.6, 63.7, 86.9, 136.1, 221.3, 400.2", \ + " 61.1, 70.3, 93.2, 142.5, 227.7, 406.7", \ + " 68.8, 80.0, 104.7, 153.8, 239.1, 418.1", \ + " 75.9, 89.1, 118.5, 170.5, 255.5, 434.5", \ + " 82.5, 97.9, 132.6, 193.9, 280.7, 459.3", \ + " 87.8, 105.6, 146.0, 218.3, 317.7, 496.3", \ + " 90.8, 111.5, 158.4, 242.9, 360.7, 552.8", \ + " 89.2, 113.4, 168.2, 266.7, 405.2, 632.2" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.3, 97.4, 154.6, 284.8, 516.8, 1010.2", \ + " 75.8, 96.6, 153.6, 283.9, 515.9, 1009.5", \ + " 79.5, 99.7, 155.3, 283.7, 515.6, 1009.1", \ + " 87.0, 106.6, 160.6, 286.6, 515.7, 1008.9", \ + " 103.8, 122.3, 174.3, 296.3, 520.7, 1008.7", \ + " 126.9, 149.1, 198.4, 316.0, 534.6, 1014.3", \ + " 157.1, 181.5, 239.3, 352.3, 563.4, 1032.3", \ + " 200.6, 227.6, 291.2, 411.3, 615.5, 1070.5", \ + " 263.7, 294.3, 364.6, 498.5, 702.9, 1142.8", \ + " 355.7, 390.8, 470.1, 617.6, 840.5, 1270.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 66.3, 100.4, 175.7, 309.0, 591.5", \ + " 59.3, 72.6, 107.1, 183.0, 316.7, 599.5", \ + " 64.4, 77.5, 111.9, 188.0, 321.9, 604.9", \ + " 71.2, 84.1, 118.1, 194.2, 328.3, 611.5", \ + " 79.0, 94.5, 129.1, 204.8, 338.9, 622.3", \ + " 88.4, 105.1, 144.4, 220.1, 354.0, 637.3", \ + " 101.0, 119.7, 162.4, 244.1, 377.1, 660.1", \ + " 118.1, 139.3, 186.8, 275.5, 412.3, 694.3", \ + " 142.3, 166.6, 220.1, 317.7, 465.1, 747.1", \ + " 176.2, 204.5, 266.0, 375.1, 535.6, 829.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 128.0, 163.0, 257.5, 471.7, 853.6, 1665.3", \ + " 127.9, 162.8, 257.2, 471.5, 853.5, 1665.2", \ + " 129.8, 163.6, 257.2, 471.3, 853.3, 1665.2", \ + " 135.0, 168.1, 259.7, 471.3, 853.0, 1665.0", \ + " 146.4, 178.1, 267.6, 475.9, 853.4, 1664.7", \ + " 168.2, 196.7, 282.4, 486.7, 859.6, 1664.5", \ + " 210.9, 233.7, 310.7, 508.2, 874.6, 1671.0", \ + " 250.3, 283.8, 362.9, 547.6, 904.4, 1689.2", \ + " 315.7, 348.5, 437.6, 618.0, 960.1, 1728.2", \ + " 414.7, 447.2, 536.6, 734.8, 1059.2, 1804.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 53.5, 76.4, 125.3, 210.1, 388.9", \ + " 49.9, 59.2, 82.3, 131.5, 216.5, 395.4", \ + " 54.6, 63.7, 86.9, 136.1, 221.3, 400.2", \ + " 61.1, 70.3, 93.2, 142.5, 227.7, 406.7", \ + " 68.8, 80.0, 104.7, 153.8, 239.1, 418.1", \ + " 75.9, 89.1, 118.5, 170.5, 255.5, 434.5", \ + " 82.5, 97.9, 132.6, 193.9, 280.7, 459.3", \ + " 87.8, 105.6, 146.0, 218.3, 317.7, 496.3", \ + " 90.8, 111.5, 158.4, 242.9, 360.7, 552.8", \ + " 89.2, 113.4, 168.2, 266.7, 405.2, 632.2" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.3, 97.4, 154.6, 284.8, 516.8, 1010.2", \ + " 75.8, 96.6, 153.6, 283.9, 515.9, 1009.5", \ + " 79.5, 99.7, 155.3, 283.7, 515.6, 1009.1", \ + " 87.0, 106.6, 160.6, 286.6, 515.7, 1008.9", \ + " 103.8, 122.3, 174.3, 296.3, 520.7, 1008.7", \ + " 126.9, 149.1, 198.4, 316.0, 534.6, 1014.3", \ + " 157.1, 181.5, 239.3, 352.3, 563.4, 1032.3", \ + " 200.6, 227.6, 291.2, 411.3, 615.5, 1070.5", \ + " 263.7, 294.3, 364.6, 498.5, 702.9, 1142.8", \ + " 355.7, 390.8, 470.1, 617.6, 840.5, 1270.2" ); }} +timing() { /* ring osc delay cgi2v0x2, path c to z 64.7 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 47.3 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.33 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 58.0, 92.1, 167.4, 300.2, 581.9", \ + " 50.3, 63.4, 97.6, 173.3, 306.4, 588.3", \ + " 55.7, 68.5, 102.4, 178.0, 311.2, 593.2", \ + " 63.5, 75.9, 109.4, 184.6, 317.7, 599.7", \ + " 74.4, 89.0, 122.5, 196.9, 329.6, 611.4", \ + " 87.9, 104.4, 142.1, 215.5, 347.3, 628.6", \ + " 105.7, 124.2, 167.0, 245.0, 375.2, 655.1", \ + " 128.9, 149.8, 198.0, 286.9, 417.9, 695.4", \ + " 160.1, 184.1, 238.6, 339.3, 484.3, 758.3", \ + " 202.4, 230.4, 293.1, 406.9, 572.6, 857.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.6, 136.6, 230.0, 440.1, 813.4, 1606.4", \ + " 103.6, 137.4, 229.5, 440.0, 813.4, 1606.4", \ + " 108.9, 141.7, 231.7, 439.7, 813.3, 1606.4", \ + " 117.3, 149.1, 237.0, 441.3, 813.3, 1606.4", \ + " 134.0, 164.5, 249.3, 448.9, 814.5, 1606.4", \ + " 161.1, 189.1, 270.7, 464.5, 823.2, 1606.3", \ + " 191.7, 228.4, 306.7, 493.7, 843.7, 1613.9", \ + " 233.0, 272.1, 363.5, 543.6, 882.6, 1637.5", \ + " 294.5, 336.0, 436.2, 624.5, 952.4, 1687.4", \ + " 385.4, 430.2, 537.5, 747.8, 1071.0, 1781.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.0, 36.6, 58.8, 106.9, 190.9, 368.8", \ + " 34.0, 42.7, 65.1, 113.4, 197.6, 375.6", \ + " 38.4, 47.6, 69.9, 118.2, 202.4, 380.6", \ + " 42.3, 53.2, 76.4, 124.7, 208.9, 387.1", \ + " 47.0, 59.9, 87.4, 136.3, 220.4, 398.5", \ + " 51.3, 66.0, 98.4, 153.2, 237.0, 414.9", \ + " 54.5, 71.5, 109.2, 174.2, 262.4, 439.8", \ + " 55.5, 75.1, 118.8, 195.3, 298.4, 477.0", \ + " 52.3, 75.2, 126.3, 215.8, 338.1, 533.7", \ + " 42.0, 68.8, 128.8, 234.0, 378.2, 610.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.5, 81.6, 138.1, 265.6, 492.4, 974.4", \ + " 65.4, 84.9, 139.2, 265.6, 492.4, 974.4", \ + " 72.2, 90.9, 143.3, 266.8, 492.4, 974.4", \ + " 82.6, 100.5, 151.0, 271.3, 493.2, 974.4", \ + " 102.0, 119.5, 167.5, 283.3, 499.6, 974.6", \ + " 124.0, 145.2, 194.1, 305.4, 515.0, 980.3", \ + " 156.2, 178.9, 234.5, 343.6, 545.6, 998.7", \ + " 201.7, 226.3, 286.1, 403.4, 598.9, 1037.4", \ + " 266.0, 293.8, 359.1, 487.7, 686.1, 1109.9", \ + " 357.6, 389.9, 463.8, 603.8, 820.6, 1236.1" ); }} +timing() { /* ring osc delay cgi2v0x2, path c to z 68.1 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 47.3 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.33 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.8, 51.3, 86.0, 162.2, 296.5, 580.5", \ + " 43.6, 56.7, 91.4, 168.0, 302.7, 586.9", \ + " 49.3, 61.9, 96.2, 172.7, 307.4, 591.8", \ + " 56.4, 69.7, 103.3, 179.4, 314.0, 598.3", \ + " 66.6, 82.1, 116.5, 191.7, 325.9, 610.0", \ + " 79.4, 96.8, 136.0, 210.5, 343.6, 627.2", \ + " 96.7, 116.1, 160.5, 240.2, 371.6, 653.7", \ + " 119.6, 141.5, 191.2, 282.0, 414.4, 694.1", \ + " 150.7, 175.7, 231.8, 334.4, 481.1, 757.0", \ + " 194.0, 222.6, 286.8, 402.4, 569.8, 856.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 131.9, 166.4, 258.7, 467.7, 840.2, 1632.6", \ + " 135.4, 168.0, 258.3, 467.6, 840.2, 1632.6", \ + " 141.4, 173.0, 261.1, 467.3, 840.2, 1632.6", \ + " 150.6, 181.1, 266.9, 469.4, 840.1, 1632.6", \ + " 168.0, 197.3, 279.9, 477.4, 841.6, 1632.5", \ + " 194.6, 222.6, 302.1, 493.5, 850.7, 1632.6", \ + " 234.7, 262.9, 338.9, 523.5, 871.7, 1640.5", \ + " 279.9, 314.4, 396.0, 574.1, 911.2, 1664.5", \ + " 346.0, 382.6, 475.2, 656.1, 981.8, 1714.8", \ + " 443.1, 482.0, 581.0, 782.7, 1101.2, 1810.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.7, 43.4, 65.4, 113.4, 197.7, 375.9", \ + " 41.0, 49.6, 71.8, 120.0, 204.4, 382.7", \ + " 46.1, 54.5, 76.6, 124.8, 209.3, 387.6", \ + " 51.3, 61.1, 83.1, 131.3, 215.8, 394.1", \ + " 57.4, 69.0, 94.7, 142.8, 227.2, 405.6", \ + " 62.8, 76.5, 106.9, 159.7, 243.8, 422.0", \ + " 67.2, 83.2, 119.1, 182.0, 269.2, 446.9", \ + " 69.5, 88.3, 130.2, 204.5, 305.7, 484.0", \ + " 68.1, 90.1, 139.3, 226.6, 346.8, 540.6", \ + " 59.7, 85.6, 143.8, 246.6, 388.5, 618.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.2, 84.4, 140.9, 268.4, 495.3, 977.5", \ + " 66.0, 86.2, 141.3, 268.4, 495.3, 977.5", \ + " 71.5, 91.0, 144.7, 269.1, 495.3, 977.5", \ + " 80.4, 99.4, 151.5, 273.0, 495.8, 977.5", \ + " 98.6, 116.6, 166.7, 284.2, 501.6, 977.5", \ + " 118.5, 141.2, 191.7, 305.1, 516.2, 982.8", \ + " 148.2, 172.8, 230.8, 342.0, 545.8, 1000.5", \ + " 190.7, 217.7, 280.8, 400.4, 598.0, 1038.4", \ + " 251.9, 282.4, 351.7, 483.7, 684.0, 1109.9", \ + " 339.6, 375.1, 453.6, 598.0, 817.3, 1234.9" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 47.3 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.33 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.8, 51.3, 86.0, 162.2, 296.5, 580.5", \ + " 43.6, 56.7, 91.4, 168.0, 302.7, 586.9", \ + " 49.3, 61.9, 96.2, 172.7, 307.4, 591.8", \ + " 56.4, 69.7, 103.3, 179.4, 314.0, 598.3", \ + " 66.6, 82.1, 116.5, 191.7, 325.9, 610.0", \ + " 79.4, 96.8, 136.0, 210.5, 343.6, 627.2", \ + " 96.7, 116.1, 160.5, 240.2, 371.6, 653.7", \ + " 119.6, 141.5, 191.2, 282.0, 414.4, 694.1", \ + " 150.7, 175.7, 231.8, 334.4, 481.1, 757.0", \ + " 194.0, 222.6, 286.8, 402.4, 569.8, 856.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 131.9, 166.4, 258.7, 467.7, 840.2, 1632.6", \ + " 135.4, 168.0, 258.3, 467.6, 840.2, 1632.6", \ + " 141.4, 173.0, 261.1, 467.3, 840.2, 1632.6", \ + " 150.6, 181.1, 266.9, 469.4, 840.1, 1632.6", \ + " 168.0, 197.3, 279.9, 477.4, 841.6, 1632.5", \ + " 194.6, 222.6, 302.1, 493.5, 850.7, 1632.6", \ + " 234.7, 262.9, 338.9, 523.5, 871.7, 1640.5", \ + " 279.9, 314.4, 396.0, 574.1, 911.2, 1664.5", \ + " 346.0, 382.6, 475.2, 656.1, 981.8, 1714.8", \ + " 443.1, 482.0, 581.0, 782.7, 1101.2, 1810.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.7, 43.4, 65.4, 113.4, 197.7, 375.9", \ + " 41.0, 49.6, 71.8, 120.0, 204.4, 382.7", \ + " 46.1, 54.5, 76.6, 124.8, 209.3, 387.6", \ + " 51.3, 61.1, 83.1, 131.3, 215.8, 394.1", \ + " 57.4, 69.0, 94.7, 142.8, 227.2, 405.6", \ + " 62.8, 76.5, 106.9, 159.7, 243.8, 422.0", \ + " 67.2, 83.2, 119.1, 182.0, 269.2, 446.9", \ + " 69.5, 88.3, 130.2, 204.5, 305.7, 484.0", \ + " 68.1, 90.1, 139.3, 226.6, 346.8, 540.6", \ + " 59.7, 85.6, 143.8, 246.6, 388.5, 618.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.2, 84.4, 140.9, 268.4, 495.3, 977.5", \ + " 66.0, 86.2, 141.3, 268.4, 495.3, 977.5", \ + " 71.5, 91.0, 144.7, 269.1, 495.3, 977.5", \ + " 80.4, 99.4, 151.5, 273.0, 495.8, 977.5", \ + " 98.6, 116.6, 166.7, 284.2, 501.6, 977.5", \ + " 118.5, 141.2, 191.7, 305.1, 516.2, 982.8", \ + " 148.2, 172.8, 230.8, 342.0, 545.8, 1000.5", \ + " 190.7, 217.7, 280.8, 400.4, 598.0, 1038.4", \ + " 251.9, 282.4, 351.7, 483.7, 684.0, 1109.9", \ + " 339.6, 375.1, 453.6, 598.0, 817.3, 1234.9" ); }} +} +} +cell(cgi2v0x3) { /* 2008-01-06:07h32 characteristic delay 24.4 ps */ +area : 21 ; /* tracks */ +cell_leakage_power : 2864 ; /* cgi2v0x3 */ +cell_footprint : cgi2 ; +pin(a) { /* cgi2v0x3 FO4 effort 2.61 logical effort 3.46 */ +direction : input ; +capacitance : 25.99 ; +rise_capacitance : 25.97 ; +fall_capacitance : 26.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2v0x3 */ +} +pin(b) { /* cgi2v0x3 FO4 effort 2.53 logical effort 3.40 */ +direction : input ; +capacitance : 25.36 ; +rise_capacitance : 24.41 ; +fall_capacitance : 26.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2v0x3 */ +} +pin(c) { /* cgi2v0x3 FO4 effort 1.59 logical effort 1.71 */ +direction : input ; +capacitance : 12.82 ; +rise_capacitance : 12.13 ; +fall_capacitance : 13.52 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 296 ; +max_fanout : 8 ; +function : "((a*b)+(a*c)+(b*c))'" ; +internal_power(a_z_n) { /* cgi2v0x3 52.52 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 27.64, 27.68, 27.73, 27.73, 27.67", \ + " 26.51, 26.63, 26.79, 26.90, 26.92", \ + " 26.17, 26.29, 26.48, 26.65, 26.70", \ + " 25.98, 26.08, 26.26, 26.45, 26.53", \ + " 26.12, 26.13, 26.21, 26.33, 26.41", \ + " 27.03, 26.88, 26.69, 26.58, 26.52", \ + " 29.42, 28.96, 28.25, 27.57, 27.12", \ + " 34.21, 33.33, 31.79, 30.08, 28.79", \ + " 42.80, 41.44, 38.80, 35.46, 32.67", \ + " 57.01, 55.18, 51.34, 45.82, 40.63" ); }} +internal_power(b_z_n) { /* cgi2v0x3 47.56 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 24.79, 25.14, 25.52, 25.75, 25.81", \ + " 23.43, 23.88, 24.58, 25.17, 25.47", \ + " 23.01, 23.41, 24.13, 24.84, 25.26", \ + " 22.84, 23.14, 23.78, 24.54, 25.05", \ + " 23.17, 23.29, 23.66, 24.29, 24.82", \ + " 24.43, 24.28, 24.22, 24.47, 24.84", \ + " 27.27, 26.74, 26.01, 25.51, 25.39", \ + " 32.50, 31.52, 29.85, 28.17, 27.10", \ + " 41.50, 40.00, 37.18, 33.77, 31.09", \ + " 56.21, 54.19, 50.07, 44.37, 39.22" ); }} +internal_power(c_z_n) { /* cgi2v0x3 38.75 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 19.15, 19.46, 19.82, 20.06, 20.14", \ + " 18.66, 18.96, 19.46, 19.91, 20.14", \ + " 18.71, 18.92, 19.34, 19.81, 20.09", \ + " 19.04, 19.12, 19.38, 19.77, 20.05", \ + " 20.03, 19.89, 19.82, 19.94, 20.12", \ + " 21.96, 21.54, 20.98, 20.61, 20.49", \ + " 25.41, 24.63, 23.41, 22.24, 21.51", \ + " 31.16, 29.94, 27.82, 25.50, 23.77", \ + " 40.54, 38.82, 35.57, 31.62, 28.33", \ + " 55.54, 53.29, 48.74, 42.57, 36.97" ); }} +timing() { /* ring osc delay cgi2v0x3, path a to z 75.6 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.9 ; */ +/* intrinsic_fall : 51.8 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.9, 70.8, 104.5, 179.5, 312.2, 593.9", \ + " 64.8, 77.8, 111.7, 186.8, 319.6, 601.4", \ + " 69.7, 82.6, 116.5, 191.7, 324.6, 606.4", \ + " 76.0, 88.9, 122.7, 197.9, 330.9, 612.7", \ + " 85.5, 99.7, 133.6, 208.7, 341.6, 623.4", \ + " 96.8, 112.3, 149.4, 224.3, 357.0, 638.7", \ + " 112.3, 129.4, 169.9, 248.6, 380.7, 661.9", \ + " 133.9, 152.7, 196.9, 282.8, 416.6, 696.8", \ + " 164.1, 185.1, 234.1, 327.6, 471.3, 750.5", \ + " 205.9, 230.1, 285.4, 388.7, 545.3, 834.1" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 110.0, 145.5, 240.8, 455.8, 838.0, 1649.8", \ + " 110.4, 145.7, 240.6, 455.4, 837.5, 1649.4", \ + " 113.5, 148.1, 241.6, 455.4, 837.4, 1649.2", \ + " 118.8, 152.9, 245.3, 456.7, 837.4, 1649.2", \ + " 130.4, 163.4, 253.8, 462.4, 839.2, 1649.1", \ + " 151.6, 182.3, 269.6, 474.0, 846.2, 1650.0", \ + " 184.5, 216.7, 298.5, 496.5, 862.1, 1657.5", \ + " 230.1, 263.4, 347.9, 536.6, 892.8, 1676.5", \ + " 295.2, 330.1, 419.1, 605.5, 949.2, 1716.2", \ + " 387.1, 424.6, 519.1, 715.9, 1047.7, 1792.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 49.8, 72.1, 121.0, 207.1, 389.3", \ + " 46.3, 55.0, 77.4, 126.5, 212.6, 394.9", \ + " 49.6, 58.4, 80.9, 130.0, 216.2, 398.5", \ + " 53.2, 62.7, 85.4, 134.6, 220.8, 403.2", \ + " 57.6, 68.1, 93.0, 142.6, 228.9, 411.3", \ + " 61.6, 73.7, 101.4, 154.3, 240.6, 423.0", \ + " 64.6, 78.8, 110.6, 169.2, 258.4, 440.6", \ + " 65.4, 82.0, 119.3, 185.8, 283.2, 466.9", \ + " 62.6, 82.1, 125.9, 203.1, 312.4, 506.8", \ + " 53.9, 76.6, 128.0, 218.9, 344.7, 560.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.0, 88.9, 148.0, 281.5, 519.1, 1024.4", \ + " 68.9, 90.4, 148.7, 281.6, 519.2, 1024.4", \ + " 72.5, 93.6, 151.1, 282.6, 519.2, 1024.4", \ + " 79.3, 99.5, 155.7, 285.6, 520.4, 1024.4", \ + " 94.5, 113.3, 166.7, 293.4, 525.0, 1025.3", \ + " 114.7, 135.4, 187.3, 308.9, 535.5, 1030.3", \ + " 144.8, 166.9, 221.8, 338.3, 557.5, 1043.4", \ + " 188.7, 212.1, 270.0, 388.8, 598.3, 1071.4", \ + " 253.3, 278.4, 339.8, 465.0, 670.5, 1126.1", \ + " 347.0, 375.0, 441.7, 574.5, 789.0, 1226.7" ); }} +timing() { /* ring osc delay cgi2v0x3, path a to z 76.5 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.9 ; */ +/* intrinsic_fall : 51.8 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 72.2, 105.7, 180.5, 313.2, 594.7", \ + " 66.7, 79.6, 113.3, 188.4, 321.1, 602.8", \ + " 72.0, 84.9, 118.7, 193.8, 326.6, 608.3", \ + " 78.7, 91.6, 125.3, 200.4, 333.3, 615.1", \ + " 88.6, 102.6, 136.5, 211.5, 344.4, 626.2", \ + " 99.5, 115.1, 152.2, 227.2, 359.9, 641.7", \ + " 114.0, 131.3, 172.3, 251.2, 383.5, 664.9", \ + " 134.2, 153.5, 198.5, 285.0, 419.1, 699.6", \ + " 163.5, 185.1, 234.8, 329.3, 473.5, 753.0", \ + " 205.5, 230.1, 286.1, 390.1, 547.3, 836.5" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.4, 141.7, 236.4, 450.7, 832.4, 1643.9", \ + " 107.0, 142.0, 236.5, 450.7, 832.4, 1643.9", \ + " 109.2, 143.5, 237.1, 450.8, 832.4, 1643.9", \ + " 114.0, 147.8, 240.0, 451.5, 832.5, 1643.9", \ + " 124.4, 157.2, 247.7, 456.5, 833.7, 1643.9", \ + " 143.6, 174.3, 262.0, 467.2, 840.1, 1644.4", \ + " 174.8, 206.8, 289.1, 488.4, 855.2, 1651.3", \ + " 219.5, 252.6, 337.2, 527.1, 884.8, 1669.7", \ + " 287.1, 321.0, 408.9, 595.2, 940.0, 1708.5", \ + " 384.4, 420.0, 511.5, 706.2, 1037.7, 1783.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 49.9, 72.4, 121.1, 205.8, 384.5", \ + " 45.0, 54.0, 76.6, 125.2, 210.0, 388.6", \ + " 48.2, 57.1, 79.7, 128.4, 213.1, 391.8", \ + " 51.6, 61.2, 84.0, 132.7, 217.5, 396.1", \ + " 56.2, 66.7, 91.3, 140.4, 225.2, 403.8", \ + " 60.6, 72.4, 99.5, 151.6, 236.4, 415.0", \ + " 64.2, 77.8, 108.6, 165.9, 253.6, 432.0", \ + " 65.5, 81.4, 117.3, 181.9, 277.3, 457.3", \ + " 63.0, 81.6, 123.7, 198.5, 305.0, 495.8", \ + " 53.8, 75.6, 125.2, 213.2, 335.5, 546.2" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.0, 96.5, 154.2, 284.6, 516.7, 1010.1", \ + " 76.4, 97.3, 154.2, 283.7, 515.8, 1009.3", \ + " 80.0, 100.5, 156.5, 284.8, 515.6, 1009.0", \ + " 86.8, 106.5, 161.1, 287.7, 516.8, 1008.8", \ + " 102.2, 120.5, 172.5, 295.6, 521.5, 1009.8", \ + " 122.7, 142.8, 193.1, 311.4, 532.2, 1015.0", \ + " 153.0, 174.3, 227.6, 341.0, 554.3, 1028.3", \ + " 196.5, 219.3, 275.5, 391.3, 595.3, 1056.5", \ + " 259.3, 284.3, 344.6, 466.8, 667.4, 1111.3", \ + " 349.6, 378.0, 444.5, 575.0, 784.8, 1211.9" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.9 ; */ +/* intrinsic_fall : 51.8 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 72.2, 105.7, 180.5, 313.2, 594.7", \ + " 66.7, 79.6, 113.3, 188.4, 321.1, 602.8", \ + " 72.0, 84.9, 118.7, 193.8, 326.6, 608.3", \ + " 78.7, 91.6, 125.3, 200.4, 333.3, 615.1", \ + " 88.6, 102.6, 136.5, 211.5, 344.4, 626.2", \ + " 99.5, 115.1, 152.2, 227.2, 359.9, 641.7", \ + " 114.0, 131.3, 172.3, 251.2, 383.5, 664.9", \ + " 134.2, 153.5, 198.5, 285.0, 419.1, 699.6", \ + " 163.5, 185.1, 234.8, 329.3, 473.5, 753.0", \ + " 205.5, 230.1, 286.1, 390.1, 547.3, 836.5" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.4, 141.7, 236.4, 450.7, 832.4, 1643.9", \ + " 107.0, 142.0, 236.5, 450.7, 832.4, 1643.9", \ + " 109.2, 143.5, 237.1, 450.8, 832.4, 1643.9", \ + " 114.0, 147.8, 240.0, 451.5, 832.5, 1643.9", \ + " 124.4, 157.2, 247.7, 456.5, 833.7, 1643.9", \ + " 143.6, 174.3, 262.0, 467.2, 840.1, 1644.4", \ + " 174.8, 206.8, 289.1, 488.4, 855.2, 1651.3", \ + " 219.5, 252.6, 337.2, 527.1, 884.8, 1669.7", \ + " 287.1, 321.0, 408.9, 595.2, 940.0, 1708.5", \ + " 384.4, 420.0, 511.5, 706.2, 1037.7, 1783.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 49.9, 72.4, 121.1, 205.8, 384.5", \ + " 45.0, 54.0, 76.6, 125.2, 210.0, 388.6", \ + " 48.2, 57.1, 79.7, 128.4, 213.1, 391.8", \ + " 51.6, 61.2, 84.0, 132.7, 217.5, 396.1", \ + " 56.2, 66.7, 91.3, 140.4, 225.2, 403.8", \ + " 60.6, 72.4, 99.5, 151.6, 236.4, 415.0", \ + " 64.2, 77.8, 108.6, 165.9, 253.6, 432.0", \ + " 65.5, 81.4, 117.3, 181.9, 277.3, 457.3", \ + " 63.0, 81.6, 123.7, 198.5, 305.0, 495.8", \ + " 53.8, 75.6, 125.2, 213.2, 335.5, 546.2" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.0, 96.5, 154.2, 284.6, 516.7, 1010.1", \ + " 76.4, 97.3, 154.2, 283.7, 515.8, 1009.3", \ + " 80.0, 100.5, 156.5, 284.8, 515.6, 1009.0", \ + " 86.8, 106.5, 161.1, 287.7, 516.8, 1008.8", \ + " 102.2, 120.5, 172.5, 295.6, 521.5, 1009.8", \ + " 122.7, 142.8, 193.1, 311.4, 532.2, 1015.0", \ + " 153.0, 174.3, 227.6, 341.0, 554.3, 1028.3", \ + " 196.5, 219.3, 275.5, 391.3, 595.3, 1056.5", \ + " 259.3, 284.3, 344.6, 466.8, 667.4, 1111.3", \ + " 349.6, 378.0, 444.5, 575.0, 784.8, 1211.9" ); }} +timing() { /* ring osc delay cgi2v0x3, path b to z 67.2 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.0, 68.5, 103.0, 178.7, 311.7, 593.6", \ + " 59.5, 73.0, 107.9, 184.1, 317.6, 599.8", \ + " 64.4, 77.7, 112.3, 188.6, 322.2, 604.6", \ + " 71.9, 84.8, 119.0, 195.0, 328.6, 611.1", \ + " 84.2, 98.4, 131.8, 206.9, 340.3, 622.6", \ + " 98.7, 114.7, 151.6, 225.3, 357.8, 639.6", \ + " 118.1, 135.9, 177.6, 254.7, 385.4, 665.9", \ + " 143.9, 163.7, 210.2, 297.5, 428.0, 706.0", \ + " 179.3, 201.7, 253.6, 351.7, 494.8, 768.8", \ + " 228.4, 254.1, 312.8, 422.6, 585.2, 868.2" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 110.1, 145.7, 241.0, 455.9, 838.1, 1649.8", \ + " 110.2, 145.1, 240.4, 455.4, 837.6, 1649.4", \ + " 114.8, 148.8, 241.5, 455.3, 837.5, 1649.3", \ + " 122.6, 155.7, 246.4, 456.6, 837.4, 1649.2", \ + " 138.9, 170.6, 258.5, 464.0, 839.0, 1649.1", \ + " 165.7, 195.5, 279.8, 479.7, 848.2, 1649.6", \ + " 203.1, 237.1, 316.8, 509.6, 869.5, 1659.0", \ + " 246.9, 286.3, 375.4, 561.1, 909.9, 1684.7", \ + " 311.9, 354.2, 455.6, 645.4, 982.6, 1737.3", \ + " 408.0, 453.8, 563.5, 776.4, 1106.1, 1836.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.2, 41.6, 63.3, 111.0, 194.9, 372.9", \ + " 38.0, 46.6, 68.7, 116.7, 200.9, 379.0", \ + " 40.5, 49.8, 71.9, 120.1, 204.4, 382.6", \ + " 42.1, 52.4, 76.1, 124.4, 208.8, 387.1", \ + " 44.2, 55.6, 81.8, 132.0, 216.5, 394.9", \ + " 45.2, 58.6, 87.7, 142.5, 227.6, 406.0", \ + " 44.1, 60.0, 94.1, 154.1, 244.5, 422.9", \ + " 39.2, 58.3, 98.8, 167.4, 266.0, 447.9", \ + " 28.5, 51.2, 99.5, 180.4, 290.8, 485.8", \ + " 8.4, 35.0, 92.6, 189.2, 317.6, 533.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 59.8, 81.4, 139.4, 270.0, 502.3, 995.7", \ + " 61.4, 82.4, 139.4, 269.8, 502.2, 995.7", \ + " 65.5, 85.7, 141.7, 270.4, 502.0, 995.6", \ + " 73.4, 92.0, 146.3, 273.2, 502.8, 995.5", \ + " 91.3, 107.7, 157.5, 280.8, 507.2, 996.0", \ + " 108.9, 130.0, 179.2, 296.2, 517.5, 1001.0", \ + " 137.8, 159.3, 214.5, 325.8, 539.2, 1013.9", \ + " 181.8, 203.8, 260.3, 378.8, 579.9, 1041.6", \ + " 247.5, 270.6, 329.0, 452.0, 652.8, 1096.0", \ + " 343.6, 368.3, 431.0, 559.1, 770.9, 1196.4" ); }} +timing() { /* ring osc delay cgi2v0x3, path b to z 79.8 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 66.2, 100.3, 175.6, 308.9, 591.3", \ + " 59.2, 72.5, 107.0, 182.9, 316.6, 599.4", \ + " 64.4, 77.5, 111.8, 187.9, 321.8, 604.8", \ + " 71.2, 84.1, 118.1, 194.2, 328.3, 611.4", \ + " 79.1, 94.6, 129.2, 204.8, 338.9, 622.2", \ + " 88.5, 105.2, 144.4, 220.2, 354.0, 637.3", \ + " 101.1, 119.7, 162.4, 244.1, 377.1, 660.1", \ + " 118.1, 139.3, 186.8, 275.6, 412.3, 694.3", \ + " 142.1, 166.5, 220.1, 317.7, 465.1, 747.2", \ + " 175.9, 204.3, 265.8, 375.1, 535.6, 829.8" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.8, 162.8, 257.3, 471.5, 853.4, 1665.1", \ + " 127.7, 162.6, 257.0, 471.3, 853.2, 1665.0", \ + " 129.7, 163.5, 257.0, 471.1, 853.1, 1664.9", \ + " 134.9, 168.0, 259.5, 471.1, 852.8, 1664.8", \ + " 146.2, 177.9, 267.4, 475.7, 853.2, 1664.5", \ + " 167.8, 196.4, 282.1, 486.5, 859.4, 1664.3", \ + " 210.2, 233.1, 310.3, 507.9, 874.4, 1670.8", \ + " 249.3, 282.9, 362.3, 547.2, 904.1, 1689.0", \ + " 314.7, 347.6, 436.8, 617.5, 959.7, 1727.9", \ + " 414.1, 446.5, 535.9, 734.3, 1058.7, 1803.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 53.5, 76.4, 125.3, 210.2, 388.9", \ + " 49.8, 59.1, 82.3, 131.5, 216.5, 395.4", \ + " 54.5, 63.7, 86.9, 136.2, 221.3, 400.3", \ + " 61.1, 70.3, 93.2, 142.5, 227.7, 406.8", \ + " 68.8, 79.9, 104.7, 153.8, 239.1, 418.1", \ + " 75.9, 89.1, 118.5, 170.5, 255.5, 434.5", \ + " 82.5, 97.9, 132.6, 193.9, 280.7, 459.3", \ + " 87.8, 105.6, 146.0, 218.3, 317.7, 496.3", \ + " 90.7, 111.4, 158.4, 242.9, 360.7, 552.8", \ + " 89.2, 113.4, 168.2, 266.7, 405.2, 632.2" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.1, 97.3, 154.5, 284.7, 516.7, 1010.1", \ + " 75.7, 96.5, 153.5, 283.8, 515.8, 1009.4", \ + " 79.4, 99.6, 155.2, 283.6, 515.5, 1009.0", \ + " 86.9, 106.5, 160.6, 286.5, 515.6, 1008.8", \ + " 103.7, 122.2, 174.2, 296.2, 520.6, 1008.7", \ + " 126.8, 149.0, 198.4, 315.9, 534.5, 1014.2", \ + " 156.9, 181.3, 239.2, 352.3, 563.4, 1032.2", \ + " 200.4, 227.5, 291.1, 411.2, 615.4, 1070.4", \ + " 263.5, 294.1, 364.5, 498.4, 702.8, 1142.7", \ + " 355.3, 390.6, 469.9, 617.5, 840.4, 1270.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 66.2, 100.3, 175.6, 308.9, 591.3", \ + " 59.2, 72.5, 107.0, 182.9, 316.6, 599.4", \ + " 64.4, 77.5, 111.8, 187.9, 321.8, 604.8", \ + " 71.2, 84.1, 118.1, 194.2, 328.3, 611.4", \ + " 79.1, 94.6, 129.2, 204.8, 338.9, 622.2", \ + " 88.5, 105.2, 144.4, 220.2, 354.0, 637.3", \ + " 101.1, 119.7, 162.4, 244.1, 377.1, 660.1", \ + " 118.1, 139.3, 186.8, 275.6, 412.3, 694.3", \ + " 142.1, 166.5, 220.1, 317.7, 465.1, 747.2", \ + " 175.9, 204.3, 265.8, 375.1, 535.6, 829.8" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.8, 162.8, 257.3, 471.5, 853.4, 1665.1", \ + " 127.7, 162.6, 257.0, 471.3, 853.2, 1665.0", \ + " 129.7, 163.5, 257.0, 471.1, 853.1, 1664.9", \ + " 134.9, 168.0, 259.5, 471.1, 852.8, 1664.8", \ + " 146.2, 177.9, 267.4, 475.7, 853.2, 1664.5", \ + " 167.8, 196.4, 282.1, 486.5, 859.4, 1664.3", \ + " 210.2, 233.1, 310.3, 507.9, 874.4, 1670.8", \ + " 249.3, 282.9, 362.3, 547.2, 904.1, 1689.0", \ + " 314.7, 347.6, 436.8, 617.5, 959.7, 1727.9", \ + " 414.1, 446.5, 535.9, 734.3, 1058.7, 1803.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.3, 53.5, 76.4, 125.3, 210.2, 388.9", \ + " 49.8, 59.1, 82.3, 131.5, 216.5, 395.4", \ + " 54.5, 63.7, 86.9, 136.2, 221.3, 400.3", \ + " 61.1, 70.3, 93.2, 142.5, 227.7, 406.8", \ + " 68.8, 79.9, 104.7, 153.8, 239.1, 418.1", \ + " 75.9, 89.1, 118.5, 170.5, 255.5, 434.5", \ + " 82.5, 97.9, 132.6, 193.9, 280.7, 459.3", \ + " 87.8, 105.6, 146.0, 218.3, 317.7, 496.3", \ + " 90.7, 111.4, 158.4, 242.9, 360.7, 552.8", \ + " 89.2, 113.4, 168.2, 266.7, 405.2, 632.2" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.1, 97.3, 154.5, 284.7, 516.7, 1010.1", \ + " 75.7, 96.5, 153.5, 283.8, 515.8, 1009.4", \ + " 79.4, 99.6, 155.2, 283.6, 515.5, 1009.0", \ + " 86.9, 106.5, 160.6, 286.5, 515.6, 1008.8", \ + " 103.7, 122.2, 174.2, 296.2, 520.6, 1008.7", \ + " 126.8, 149.0, 198.4, 315.9, 534.5, 1014.2", \ + " 156.9, 181.3, 239.2, 352.3, 563.4, 1032.2", \ + " 200.4, 227.5, 291.1, 411.2, 615.4, 1070.4", \ + " 263.5, 294.1, 364.5, 498.4, 702.8, 1142.7", \ + " 355.3, 390.6, 469.9, 617.5, 840.4, 1270.1" ); }} +timing() { /* ring osc delay cgi2v0x3, path c to z 64.2 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.0 ; */ +/* intrinsic_fall : 47.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.7, 57.9, 92.0, 167.2, 300.1, 581.8", \ + " 50.3, 63.3, 97.5, 173.1, 306.2, 588.2", \ + " 55.6, 68.4, 102.3, 177.8, 311.0, 593.0", \ + " 63.5, 75.8, 109.3, 184.5, 317.6, 599.6", \ + " 74.4, 89.0, 122.4, 196.8, 329.5, 611.3", \ + " 87.9, 104.4, 142.0, 215.4, 347.2, 628.5", \ + " 105.7, 124.2, 167.0, 245.0, 375.1, 655.0", \ + " 129.0, 149.9, 198.0, 286.9, 417.8, 695.3", \ + " 160.2, 184.2, 238.6, 339.3, 484.2, 758.2", \ + " 202.5, 230.5, 293.1, 406.9, 572.5, 857.3" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.5, 136.5, 230.0, 440.0, 813.3, 1606.3", \ + " 103.5, 137.3, 229.4, 439.9, 813.3, 1606.3", \ + " 108.8, 141.6, 231.6, 439.7, 813.3, 1606.3", \ + " 117.2, 149.0, 236.9, 441.3, 813.2, 1606.3", \ + " 133.9, 164.4, 249.2, 448.8, 814.5, 1606.3", \ + " 161.0, 189.1, 270.6, 464.4, 823.1, 1606.3", \ + " 191.7, 228.3, 306.7, 493.7, 843.6, 1613.9", \ + " 233.0, 272.1, 363.4, 543.5, 882.5, 1637.5", \ + " 294.6, 336.0, 436.2, 624.5, 952.4, 1687.3", \ + " 385.6, 430.3, 537.6, 747.8, 1070.9, 1781.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.0, 36.7, 58.9, 107.0, 190.9, 368.9", \ + " 34.1, 42.8, 65.2, 113.5, 197.6, 375.7", \ + " 38.5, 47.7, 70.0, 118.3, 202.5, 380.6", \ + " 42.5, 53.3, 76.5, 124.8, 209.0, 387.1", \ + " 47.2, 60.0, 87.5, 136.3, 220.4, 398.5", \ + " 51.4, 66.2, 98.5, 153.2, 237.1, 415.0", \ + " 54.7, 71.7, 109.3, 174.3, 262.5, 439.9", \ + " 55.6, 75.3, 119.0, 195.4, 298.5, 477.1", \ + " 52.5, 75.4, 126.4, 215.9, 338.2, 533.7", \ + " 42.2, 69.0, 129.0, 234.2, 378.3, 610.7" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.7, 81.8, 138.3, 265.8, 492.6, 974.7", \ + " 65.6, 85.1, 139.4, 265.8, 492.6, 974.7", \ + " 72.4, 91.1, 143.5, 267.0, 492.6, 974.7", \ + " 82.9, 100.7, 151.2, 271.5, 493.4, 974.7", \ + " 102.2, 119.7, 167.8, 283.6, 499.9, 974.8", \ + " 124.3, 145.5, 194.3, 305.6, 515.3, 980.6", \ + " 156.5, 179.2, 234.7, 343.8, 545.8, 999.0", \ + " 201.9, 226.6, 286.4, 403.7, 599.1, 1037.6", \ + " 266.3, 294.1, 359.4, 488.0, 686.4, 1110.1", \ + " 357.9, 390.2, 464.1, 604.1, 820.8, 1236.3" ); }} +timing() { /* ring osc delay cgi2v0x3, path c to z 68.2 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.0 ; */ +/* intrinsic_fall : 47.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.7, 51.2, 85.8, 162.0, 296.3, 580.4", \ + " 43.5, 56.7, 91.3, 167.9, 302.5, 586.7", \ + " 49.2, 61.9, 96.2, 172.6, 307.3, 591.6", \ + " 56.4, 69.6, 103.2, 179.3, 313.9, 598.2", \ + " 66.6, 82.0, 116.5, 191.6, 325.8, 609.9", \ + " 79.3, 96.7, 135.9, 210.4, 343.5, 627.1", \ + " 96.5, 116.0, 160.3, 240.0, 371.4, 653.6", \ + " 119.3, 141.2, 191.0, 281.8, 414.2, 693.9", \ + " 150.3, 175.3, 231.5, 334.1, 480.9, 756.8", \ + " 193.2, 221.9, 286.2, 401.9, 569.4, 856.0" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 131.5, 166.0, 258.4, 467.5, 840.0, 1632.3", \ + " 135.0, 167.6, 258.0, 467.3, 840.0, 1632.3", \ + " 141.1, 172.6, 260.7, 467.0, 839.9, 1632.3", \ + " 150.3, 180.7, 266.6, 469.1, 839.8, 1632.3", \ + " 167.8, 197.1, 279.6, 477.1, 841.4, 1632.3", \ + " 194.5, 222.4, 301.9, 493.3, 850.4, 1632.4", \ + " 234.6, 262.9, 338.8, 523.3, 871.4, 1640.3", \ + " 280.2, 314.6, 396.1, 574.1, 911.0, 1664.3", \ + " 346.7, 383.2, 475.7, 656.3, 981.9, 1714.7", \ + " 444.4, 483.2, 582.1, 783.4, 1101.7, 1810.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.4, 44.3, 66.8, 115.9, 202.1, 384.4", \ + " 41.6, 50.5, 73.1, 122.4, 208.8, 391.2", \ + " 46.7, 55.3, 77.9, 127.2, 213.6, 396.0", \ + " 52.0, 61.9, 84.3, 133.6, 220.0, 402.5", \ + " 58.2, 69.9, 95.9, 145.0, 231.3, 413.8", \ + " 63.7, 77.4, 108.2, 161.7, 247.8, 430.0", \ + " 68.2, 84.3, 120.5, 184.1, 272.9, 454.7", \ + " 70.8, 89.6, 131.9, 206.9, 309.4, 491.5", \ + " 69.6, 91.7, 141.4, 229.4, 350.9, 547.6", \ + " 61.7, 87.8, 146.3, 249.8, 393.1, 625.9" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.0, 86.7, 144.6, 275.2, 507.5, 1001.3", \ + " 67.6, 88.4, 144.9, 275.1, 507.5, 1001.3", \ + " 73.1, 93.2, 148.2, 275.8, 507.5, 1001.3", \ + " 81.9, 101.4, 154.8, 279.6, 507.9, 1001.3", \ + " 100.3, 118.4, 169.9, 290.5, 513.5, 1001.3", \ + " 120.1, 143.2, 194.7, 311.2, 527.8, 1006.2", \ + " 149.8, 174.8, 233.9, 347.7, 557.0, 1023.5", \ + " 192.3, 219.7, 283.9, 405.7, 608.6, 1060.7", \ + " 253.5, 284.4, 354.7, 489.1, 694.0, 1131.3", \ + " 341.6, 377.4, 456.8, 603.5, 827.0, 1255.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.0 ; */ +/* intrinsic_fall : 47.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.7, 51.2, 85.8, 162.0, 296.3, 580.4", \ + " 43.5, 56.7, 91.3, 167.9, 302.5, 586.7", \ + " 49.2, 61.9, 96.2, 172.6, 307.3, 591.6", \ + " 56.4, 69.6, 103.2, 179.3, 313.9, 598.2", \ + " 66.6, 82.0, 116.5, 191.6, 325.8, 609.9", \ + " 79.3, 96.7, 135.9, 210.4, 343.5, 627.1", \ + " 96.5, 116.0, 160.3, 240.0, 371.4, 653.6", \ + " 119.3, 141.2, 191.0, 281.8, 414.2, 693.9", \ + " 150.3, 175.3, 231.5, 334.1, 480.9, 756.8", \ + " 193.2, 221.9, 286.2, 401.9, 569.4, 856.0" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 131.5, 166.0, 258.4, 467.5, 840.0, 1632.3", \ + " 135.0, 167.6, 258.0, 467.3, 840.0, 1632.3", \ + " 141.1, 172.6, 260.7, 467.0, 839.9, 1632.3", \ + " 150.3, 180.7, 266.6, 469.1, 839.8, 1632.3", \ + " 167.8, 197.1, 279.6, 477.1, 841.4, 1632.3", \ + " 194.5, 222.4, 301.9, 493.3, 850.4, 1632.4", \ + " 234.6, 262.9, 338.8, 523.3, 871.4, 1640.3", \ + " 280.2, 314.6, 396.1, 574.1, 911.0, 1664.3", \ + " 346.7, 383.2, 475.7, 656.3, 981.9, 1714.7", \ + " 444.4, 483.2, 582.1, 783.4, 1101.7, 1810.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.4, 44.3, 66.8, 115.9, 202.1, 384.4", \ + " 41.6, 50.5, 73.1, 122.4, 208.8, 391.2", \ + " 46.7, 55.3, 77.9, 127.2, 213.6, 396.0", \ + " 52.0, 61.9, 84.3, 133.6, 220.0, 402.5", \ + " 58.2, 69.9, 95.9, 145.0, 231.3, 413.8", \ + " 63.7, 77.4, 108.2, 161.7, 247.8, 430.0", \ + " 68.2, 84.3, 120.5, 184.1, 272.9, 454.7", \ + " 70.8, 89.6, 131.9, 206.9, 309.4, 491.5", \ + " 69.6, 91.7, 141.4, 229.4, 350.9, 547.6", \ + " 61.7, 87.8, 146.3, 249.8, 393.1, 625.9" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.0, 86.7, 144.6, 275.2, 507.5, 1001.3", \ + " 67.6, 88.4, 144.9, 275.1, 507.5, 1001.3", \ + " 73.1, 93.2, 148.2, 275.8, 507.5, 1001.3", \ + " 81.9, 101.4, 154.8, 279.6, 507.9, 1001.3", \ + " 100.3, 118.4, 169.9, 290.5, 513.5, 1001.3", \ + " 120.1, 143.2, 194.7, 311.2, 527.8, 1006.2", \ + " 149.8, 174.8, 233.9, 347.7, 557.0, 1023.5", \ + " 192.3, 219.7, 283.9, 405.7, 608.6, 1060.7", \ + " 253.5, 284.4, 354.7, 489.1, 694.0, 1131.3", \ + " 341.6, 377.4, 456.8, 603.5, 827.0, 1255.2" ); }} +} +} +cell(cgi2abv0x05) { /* 2008-01-06:07h32 characteristic delay 17.1 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1224 ; /* cgi2abv0x05 */ +cell_footprint : cgi2ab ; +pin(a) { /* cgi2abv0x05 FO4 effort 2.91 */ +direction : input ; +capacitance : 3.85 ; +rise_capacitance : 3.92 ; +fall_capacitance : 3.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2abv0x05 */ +internal_power(a) { /* cgi2abv0x05 12.37 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.21, 6.05, 6.07, 6.19, 6.52, 7.14, 8.25, 10.07, 13.03, 17.76" ); }} +} +pin(b) { /* cgi2abv0x05 FO4 effort 2.86 */ +direction : input ; +capacitance : 3.83 ; +rise_capacitance : 3.91 ; +fall_capacitance : 3.76 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2abv0x05 */ +internal_power(b) { /* cgi2abv0x05 12.30 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.16, 6.00, 6.02, 6.15, 6.49, 7.13, 8.25, 10.09, 13.07, 17.83" ); }} +} +pin(c) { /* cgi2abv0x05 FO4 effort 1.76 logical effort 2.00 */ +direction : input ; +capacitance : 2.72 ; +rise_capacitance : 2.59 ; +fall_capacitance : 2.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2abv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a'*b')+(a'*c)+(b'*c))'" ; +internal_power(a_z_p) { /* cgi2abv0x05 23.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 5.45, 5.46, 5.48, 5.49, 5.48", \ + " 5.43, 5.45, 5.47, 5.48, 5.47", \ + " 5.42, 5.44, 5.46, 5.48, 5.47", \ + " 5.40, 5.42, 5.45, 5.47, 5.47", \ + " 5.39, 5.41, 5.44, 5.46, 5.47", \ + " 5.37, 5.39, 5.42, 5.45, 5.46", \ + " 5.37, 5.38, 5.41, 5.44, 5.45", \ + " 5.37, 5.38, 5.40, 5.43, 5.44", \ + " 5.39, 5.40, 5.41, 5.43, 5.44", \ + " 5.47, 5.45, 5.44, 5.44, 5.44" ); }} +internal_power(b_z_p) { /* cgi2abv0x05 23.18 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.37, 5.45, 5.56, 5.62, 5.64", \ + " 5.32, 5.41, 5.52, 5.60, 5.63", \ + " 5.27, 5.36, 5.48, 5.58, 5.62", \ + " 5.23, 5.31, 5.44, 5.55, 5.60", \ + " 5.17, 5.25, 5.38, 5.51, 5.57", \ + " 5.13, 5.20, 5.33, 5.46, 5.54", \ + " 5.10, 5.16, 5.27, 5.41, 5.50", \ + " 5.08, 5.12, 5.22, 5.35, 5.45", \ + " 5.11, 5.13, 5.19, 5.31, 5.41", \ + " 5.19, 5.18, 5.20, 5.28, 5.37" ); }} +internal_power(c_z_n) { /* cgi2abv0x05 7.49 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.70, 3.77, 3.84, 3.88, 3.90", \ + " 3.60, 3.67, 3.77, 3.86, 3.90", \ + " 3.60, 3.65, 3.75, 3.84, 3.89", \ + " 3.65, 3.68, 3.74, 3.83, 3.88", \ + " 3.82, 3.80, 3.80, 3.85, 3.89", \ + " 4.15, 4.08, 3.99, 3.95, 3.94", \ + " 4.75, 4.60, 4.39, 4.20, 4.10", \ + " 5.76, 5.52, 5.14, 4.74, 4.45", \ + " 7.42, 7.08, 6.47, 5.76, 5.20", \ + " 10.09, 9.64, 8.76, 7.63, 6.64" ); }} +timing() { /* ring osc delay cgi2abv0x05, path a to z 121.9 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 105.3 ; */ +/* intrinsic_fall : 105.8 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.4, 105.6, 142.5, 224.5, 369.6, 677.6", \ + " 98.3, 112.6, 149.5, 231.5, 376.6, 684.6", \ + " 103.4, 117.6, 154.6, 236.6, 381.8, 689.8", \ + " 109.0, 123.2, 160.2, 242.3, 387.5, 695.5", \ + " 116.1, 130.4, 167.4, 249.5, 394.7, 702.8", \ + " 122.8, 137.1, 174.3, 256.4, 401.7, 709.8", \ + " 129.4, 143.9, 181.0, 263.3, 408.6, 716.7", \ + " 135.1, 149.8, 187.1, 269.5, 414.9, 723.0", \ + " 138.7, 153.7, 191.6, 274.1, 419.6, 727.9", \ + " 137.7, 153.5, 192.2, 275.5, 421.4, 729.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.8, 140.4, 237.2, 457.1, 849.8, 1684.6", \ + " 104.8, 140.5, 237.2, 457.1, 849.8, 1684.6", \ + " 104.9, 140.5, 237.2, 457.2, 849.8, 1684.6", \ + " 105.2, 140.8, 237.3, 457.2, 849.8, 1684.6", \ + " 106.2, 141.5, 237.7, 457.3, 849.8, 1684.6", \ + " 107.5, 142.6, 238.4, 457.7, 849.9, 1684.6", \ + " 109.3, 144.1, 239.5, 458.2, 850.2, 1684.7", \ + " 112.5, 146.8, 241.3, 459.1, 850.6, 1684.9", \ + " 118.1, 151.8, 245.0, 461.4, 851.7, 1685.3", \ + " 126.9, 160.0, 252.0, 466.0, 854.5, 1686.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.6, 97.1, 125.7, 186.6, 292.7, 516.1", \ + " 93.3, 104.8, 133.4, 194.4, 300.4, 523.8", \ + " 99.4, 111.0, 139.6, 200.6, 306.7, 530.1", \ + " 107.2, 118.7, 147.4, 208.5, 314.5, 537.9", \ + " 118.9, 130.5, 159.2, 220.3, 326.4, 549.8", \ + " 132.7, 144.4, 173.3, 234.5, 340.6, 564.0", \ + " 150.3, 162.1, 191.2, 252.6, 358.7, 582.2", \ + " 172.9, 184.8, 214.1, 275.6, 381.9, 605.4", \ + " 202.6, 214.8, 244.5, 306.3, 412.6, 636.2", \ + " 242.1, 254.8, 285.3, 347.7, 454.3, 677.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.7, 115.0, 182.5, 335.2, 607.9, 1188.4", \ + " 89.7, 115.0, 182.5, 335.1, 607.8, 1188.4", \ + " 89.8, 115.0, 182.5, 335.1, 607.8, 1188.3", \ + " 90.0, 115.2, 182.5, 335.1, 607.7, 1188.2", \ + " 91.4, 116.3, 183.2, 335.3, 607.6, 1188.0", \ + " 93.5, 118.1, 184.5, 336.0, 607.8, 1187.9", \ + " 96.3, 120.6, 186.4, 337.1, 608.3, 1188.0", \ + " 100.8, 124.5, 189.1, 338.8, 609.1, 1188.2", \ + " 107.9, 131.0, 194.1, 341.8, 610.7, 1188.7", \ + " 118.8, 141.5, 202.9, 347.5, 614.1, 1190.0" ); }} +timing() { /* ring osc delay cgi2abv0x05, path a to z 120.3 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 105.3 ; */ +/* intrinsic_fall : 105.8 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.2, 105.5, 142.6, 224.8, 370.0, 678.1", \ + " 98.2, 112.5, 149.6, 231.8, 377.1, 685.2", \ + " 103.2, 117.5, 154.7, 236.9, 382.2, 690.3", \ + " 108.7, 123.0, 160.2, 242.4, 387.8, 695.9", \ + " 115.5, 129.9, 167.1, 249.4, 394.7, 702.9", \ + " 122.0, 136.4, 173.8, 256.1, 401.5, 709.7", \ + " 128.3, 142.8, 180.2, 262.7, 408.1, 716.3", \ + " 133.6, 148.3, 185.8, 268.3, 413.9, 722.1", \ + " 136.9, 151.9, 189.8, 272.5, 418.2, 726.5", \ + " 135.8, 151.4, 190.0, 273.5, 419.4, 727.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.6, 148.9, 246.5, 467.3, 860.5, 1695.9", \ + " 112.7, 148.9, 246.5, 467.3, 860.5, 1695.9", \ + " 112.8, 149.0, 246.5, 467.3, 860.5, 1695.9", \ + " 113.1, 149.2, 246.6, 467.3, 860.5, 1695.9", \ + " 114.1, 150.1, 247.1, 467.4, 860.4, 1695.8", \ + " 115.6, 151.2, 247.8, 467.8, 860.6, 1695.8", \ + " 117.6, 153.0, 249.1, 468.3, 860.8, 1695.9", \ + " 121.2, 156.1, 251.2, 469.4, 861.2, 1696.1", \ + " 127.2, 161.5, 255.3, 471.8, 862.4, 1696.5", \ + " 136.5, 170.2, 262.7, 476.8, 865.4, 1697.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.1, 96.0, 123.5, 183.4, 288.6, 511.4", \ + " 92.8, 103.7, 131.3, 191.2, 296.4, 519.2", \ + " 99.0, 109.9, 137.5, 197.4, 302.7, 525.4", \ + " 106.9, 117.8, 145.4, 205.4, 310.7, 533.4", \ + " 118.8, 129.8, 157.5, 217.5, 322.8, 545.6", \ + " 132.7, 143.8, 171.8, 231.9, 337.2, 560.0", \ + " 150.4, 161.7, 189.9, 250.2, 355.6, 578.4", \ + " 172.9, 184.5, 212.9, 273.4, 379.0, 601.8", \ + " 202.5, 214.4, 243.4, 304.3, 409.9, 632.8", \ + " 241.7, 254.3, 284.3, 345.9, 451.7, 674.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.6, 101.4, 168.4, 320.7, 593.2, 1173.2", \ + " 76.6, 101.4, 168.4, 320.7, 593.2, 1173.2", \ + " 76.7, 101.4, 168.4, 320.8, 593.2, 1173.2", \ + " 77.1, 101.7, 168.6, 320.8, 593.2, 1173.2", \ + " 78.5, 102.9, 169.3, 321.1, 593.2, 1173.2", \ + " 80.8, 104.9, 170.7, 321.9, 593.5, 1173.3", \ + " 83.7, 107.5, 172.7, 323.0, 594.1, 1173.5", \ + " 88.3, 111.4, 175.5, 324.8, 595.0, 1173.8", \ + " 95.5, 118.1, 180.6, 327.8, 596.6, 1174.3", \ + " 106.6, 128.7, 189.6, 333.7, 600.0, 1175.8" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 105.3 ; */ +/* intrinsic_fall : 105.8 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.4, 105.6, 142.5, 224.5, 369.6, 677.6", \ + " 98.3, 112.6, 149.5, 231.5, 376.6, 684.6", \ + " 103.4, 117.6, 154.6, 236.6, 381.8, 689.8", \ + " 109.0, 123.2, 160.2, 242.3, 387.5, 695.5", \ + " 116.1, 130.4, 167.4, 249.5, 394.7, 702.8", \ + " 122.8, 137.1, 174.3, 256.4, 401.7, 709.8", \ + " 129.4, 143.9, 181.0, 263.3, 408.6, 716.7", \ + " 135.1, 149.8, 187.1, 269.5, 414.9, 723.0", \ + " 138.7, 153.7, 191.6, 274.1, 419.6, 727.9", \ + " 137.7, 153.5, 192.2, 275.5, 421.4, 729.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.8, 140.4, 237.2, 457.1, 849.8, 1684.6", \ + " 104.8, 140.5, 237.2, 457.1, 849.8, 1684.6", \ + " 104.9, 140.5, 237.2, 457.2, 849.8, 1684.6", \ + " 105.2, 140.8, 237.3, 457.2, 849.8, 1684.6", \ + " 106.2, 141.5, 237.7, 457.3, 849.8, 1684.6", \ + " 107.5, 142.6, 238.4, 457.7, 849.9, 1684.6", \ + " 109.3, 144.1, 239.5, 458.2, 850.2, 1684.7", \ + " 112.5, 146.8, 241.3, 459.1, 850.6, 1684.9", \ + " 118.1, 151.8, 245.0, 461.4, 851.7, 1685.3", \ + " 126.9, 160.0, 252.0, 466.0, 854.5, 1686.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.6, 97.1, 125.7, 186.6, 292.7, 516.1", \ + " 93.3, 104.8, 133.4, 194.4, 300.4, 523.8", \ + " 99.4, 111.0, 139.6, 200.6, 306.7, 530.1", \ + " 107.2, 118.7, 147.4, 208.5, 314.5, 537.9", \ + " 118.9, 130.5, 159.2, 220.3, 326.4, 549.8", \ + " 132.7, 144.4, 173.3, 234.5, 340.6, 564.0", \ + " 150.3, 162.1, 191.2, 252.6, 358.7, 582.2", \ + " 172.9, 184.8, 214.1, 275.6, 381.9, 605.4", \ + " 202.6, 214.8, 244.5, 306.3, 412.6, 636.2", \ + " 242.1, 254.8, 285.3, 347.7, 454.3, 677.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.7, 115.0, 182.5, 335.2, 607.9, 1188.4", \ + " 89.7, 115.0, 182.5, 335.1, 607.8, 1188.4", \ + " 89.8, 115.0, 182.5, 335.1, 607.8, 1188.3", \ + " 90.0, 115.2, 182.5, 335.1, 607.7, 1188.2", \ + " 91.4, 116.3, 183.2, 335.3, 607.6, 1188.0", \ + " 93.5, 118.1, 184.5, 336.0, 607.8, 1187.9", \ + " 96.3, 120.6, 186.4, 337.1, 608.3, 1188.0", \ + " 100.8, 124.5, 189.1, 338.8, 609.1, 1188.2", \ + " 107.9, 131.0, 194.1, 341.8, 610.7, 1188.7", \ + " 118.8, 141.5, 202.9, 347.5, 614.1, 1190.0" ); }} +timing() { /* ring osc delay cgi2abv0x05, path b to z 122.9 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.5 ; */ +/* intrinsic_fall : 105.0 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.3, 99.1, 136.5, 219.0, 364.8, 673.8", \ + " 91.1, 105.8, 143.3, 225.9, 371.8, 680.9", \ + " 95.9, 110.5, 148.1, 230.8, 376.8, 686.0", \ + " 101.1, 115.8, 153.3, 236.2, 382.3, 691.5", \ + " 107.6, 122.3, 159.8, 242.8, 389.0, 698.3", \ + " 113.7, 128.3, 166.0, 249.0, 395.4, 704.8", \ + " 119.7, 134.4, 171.9, 255.1, 401.5, 711.0", \ + " 124.5, 139.5, 177.1, 260.2, 406.9, 716.5", \ + " 127.0, 142.3, 180.4, 263.7, 410.4, 720.2", \ + " 124.7, 140.7, 179.6, 263.4, 410.7, 720.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 124.4, 160.2, 257.2, 477.8, 871.0, 1706.3", \ + " 124.5, 160.2, 257.2, 477.8, 871.0, 1706.3", \ + " 124.6, 160.2, 257.2, 477.8, 870.9, 1706.3", \ + " 124.8, 160.3, 257.2, 477.7, 870.9, 1706.3", \ + " 125.6, 160.9, 257.4, 477.7, 870.8, 1706.3", \ + " 127.0, 161.8, 257.9, 477.9, 870.9, 1706.2", \ + " 128.7, 163.4, 258.8, 478.1, 871.0, 1706.3", \ + " 132.5, 166.1, 260.4, 478.9, 871.2, 1706.4", \ + " 139.0, 171.6, 264.2, 481.0, 872.1, 1706.7", \ + " 149.0, 180.7, 271.6, 485.5, 874.8, 1708.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.4, 105.2, 134.3, 195.7, 302.1, 525.6", \ + " 100.9, 112.7, 141.8, 203.4, 309.8, 533.4", \ + " 106.8, 118.6, 147.8, 209.5, 316.0, 539.6", \ + " 114.5, 126.4, 155.6, 217.4, 324.0, 547.7", \ + " 126.9, 138.7, 168.0, 229.9, 336.5, 560.3", \ + " 141.7, 153.7, 183.1, 245.0, 351.7, 575.5", \ + " 160.4, 172.5, 202.1, 264.3, 371.0, 594.9", \ + " 184.5, 196.7, 226.4, 288.7, 395.7, 619.6", \ + " 216.2, 228.8, 259.0, 321.4, 428.5, 652.6", \ + " 258.5, 271.8, 303.1, 366.3, 473.6, 698.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.4, 115.4, 182.6, 335.2, 607.9, 1188.5", \ + " 90.5, 115.4, 182.6, 335.2, 607.9, 1188.4", \ + " 90.5, 115.5, 182.6, 335.1, 607.8, 1188.4", \ + " 90.7, 115.6, 182.7, 335.1, 607.7, 1188.2", \ + " 92.0, 116.7, 183.2, 335.2, 607.6, 1188.1", \ + " 94.8, 119.1, 185.1, 336.1, 607.8, 1187.9", \ + " 98.1, 122.3, 187.7, 337.8, 608.7, 1188.1", \ + " 103.2, 127.0, 191.4, 340.2, 610.0, 1188.8", \ + " 111.2, 134.8, 197.9, 344.5, 612.4, 1189.7", \ + " 123.2, 147.0, 209.3, 353.0, 617.8, 1192.3" ); }} +timing() { /* ring osc delay cgi2abv0x05, path b to z 111.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.5 ; */ +/* intrinsic_fall : 105.0 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.7, 100.6, 138.5, 221.3, 366.9, 675.3", \ + " 92.1, 107.0, 145.1, 228.1, 373.8, 682.1", \ + " 96.6, 111.5, 149.6, 232.8, 378.6, 687.0", \ + " 101.8, 116.6, 154.7, 237.9, 383.8, 692.4", \ + " 108.5, 123.2, 161.2, 244.4, 390.5, 699.1", \ + " 114.8, 129.5, 167.6, 250.7, 396.8, 705.5", \ + " 121.4, 136.0, 173.7, 257.0, 403.0, 711.8", \ + " 127.5, 142.1, 179.6, 262.6, 408.7, 717.4", \ + " 132.0, 146.8, 184.4, 267.2, 413.2, 722.0", \ + " 132.8, 148.0, 186.2, 269.6, 415.7, 724.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.0, 148.4, 246.2, 467.3, 860.6, 1696.0", \ + " 112.0, 148.4, 246.2, 467.3, 860.6, 1696.0", \ + " 112.1, 148.4, 246.2, 467.3, 860.6, 1695.9", \ + " 112.6, 148.7, 246.3, 467.3, 860.5, 1695.9", \ + " 114.2, 150.0, 246.9, 467.4, 860.5, 1695.8", \ + " 116.6, 151.8, 248.0, 467.9, 860.7, 1695.8", \ + " 119.7, 154.5, 249.8, 468.7, 861.1, 1696.0", \ + " 125.1, 159.2, 253.0, 470.3, 861.8, 1696.4", \ + " 133.3, 167.0, 259.2, 474.0, 863.7, 1697.1", \ + " 145.3, 178.7, 270.0, 481.9, 868.8, 1699.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.4, 86.7, 114.8, 174.8, 280.0, 502.6", \ + " 83.1, 94.4, 122.4, 182.6, 287.8, 510.4", \ + " 89.1, 100.5, 128.6, 188.8, 294.1, 516.7", \ + " 96.9, 108.2, 136.4, 196.7, 302.0, 524.8", \ + " 108.4, 119.9, 148.2, 208.6, 314.1, 536.8", \ + " 121.8, 133.4, 162.1, 222.7, 328.2, 551.1", \ + " 139.0, 150.8, 179.7, 240.7, 346.3, 569.3", \ + " 160.9, 173.0, 202.3, 263.5, 369.4, 592.4", \ + " 189.6, 202.2, 232.1, 293.8, 399.8, 623.0", \ + " 227.6, 241.1, 272.1, 334.8, 441.1, 664.4" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.9, 93.5, 160.6, 313.4, 586.2, 1166.4", \ + " 69.0, 93.5, 160.6, 313.4, 586.2, 1166.4", \ + " 69.1, 93.6, 160.6, 313.4, 586.2, 1166.4", \ + " 69.6, 93.9, 160.7, 313.4, 586.2, 1166.4", \ + " 71.5, 95.3, 161.4, 313.6, 586.2, 1166.4", \ + " 74.4, 97.6, 162.8, 314.3, 586.4, 1166.4", \ + " 77.9, 100.6, 165.1, 315.3, 586.8, 1166.5", \ + " 83.5, 105.5, 168.1, 317.1, 587.5, 1166.8", \ + " 91.9, 113.2, 173.8, 320.1, 589.1, 1167.2", \ + " 104.1, 125.1, 183.8, 326.4, 592.5, 1168.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 98.5 ; */ +/* intrinsic_fall : 105.0 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.3, 99.1, 136.5, 219.0, 364.8, 673.8", \ + " 91.1, 105.8, 143.3, 225.9, 371.8, 680.9", \ + " 95.9, 110.5, 148.1, 230.8, 376.8, 686.0", \ + " 101.1, 115.8, 153.3, 236.2, 382.3, 691.5", \ + " 107.6, 122.3, 159.8, 242.8, 389.0, 698.3", \ + " 113.7, 128.3, 166.0, 249.0, 395.4, 704.8", \ + " 119.7, 134.4, 171.9, 255.1, 401.5, 711.0", \ + " 124.5, 139.5, 177.1, 260.2, 406.9, 716.5", \ + " 127.0, 142.3, 180.4, 263.7, 410.4, 720.2", \ + " 124.7, 140.7, 179.6, 263.4, 410.7, 720.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 124.4, 160.2, 257.2, 477.8, 871.0, 1706.3", \ + " 124.5, 160.2, 257.2, 477.8, 871.0, 1706.3", \ + " 124.6, 160.2, 257.2, 477.8, 870.9, 1706.3", \ + " 124.8, 160.3, 257.2, 477.7, 870.9, 1706.3", \ + " 125.6, 160.9, 257.4, 477.7, 870.8, 1706.3", \ + " 127.0, 161.8, 257.9, 477.9, 870.9, 1706.2", \ + " 128.7, 163.4, 258.8, 478.1, 871.0, 1706.3", \ + " 132.5, 166.1, 260.4, 478.9, 871.2, 1706.4", \ + " 139.0, 171.6, 264.2, 481.0, 872.1, 1706.7", \ + " 149.0, 180.7, 271.6, 485.5, 874.8, 1708.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.4, 105.2, 134.3, 195.7, 302.1, 525.6", \ + " 100.9, 112.7, 141.8, 203.4, 309.8, 533.4", \ + " 106.8, 118.6, 147.8, 209.5, 316.0, 539.6", \ + " 114.5, 126.4, 155.6, 217.4, 324.0, 547.7", \ + " 126.9, 138.7, 168.0, 229.9, 336.5, 560.3", \ + " 141.7, 153.7, 183.1, 245.0, 351.7, 575.5", \ + " 160.4, 172.5, 202.1, 264.3, 371.0, 594.9", \ + " 184.5, 196.7, 226.4, 288.7, 395.7, 619.6", \ + " 216.2, 228.8, 259.0, 321.4, 428.5, 652.6", \ + " 258.5, 271.8, 303.1, 366.3, 473.6, 698.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.4, 115.4, 182.6, 335.2, 607.9, 1188.5", \ + " 90.5, 115.4, 182.6, 335.2, 607.9, 1188.4", \ + " 90.5, 115.5, 182.6, 335.1, 607.8, 1188.4", \ + " 90.7, 115.6, 182.7, 335.1, 607.7, 1188.2", \ + " 92.0, 116.7, 183.2, 335.2, 607.6, 1188.1", \ + " 94.8, 119.1, 185.1, 336.1, 607.8, 1187.9", \ + " 98.1, 122.3, 187.7, 337.8, 608.7, 1188.1", \ + " 103.2, 127.0, 191.4, 340.2, 610.0, 1188.8", \ + " 111.2, 134.8, 197.9, 344.5, 612.4, 1189.7", \ + " 123.2, 147.0, 209.3, 353.0, 617.8, 1192.3" ); }} +timing() { /* ring osc delay cgi2abv0x05, path c to z 76.1 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.0, 52.8, 90.7, 174.1, 321.0, 631.6", \ + " 44.0, 58.4, 96.4, 180.1, 327.3, 638.1", \ + " 49.8, 63.6, 101.2, 184.9, 332.1, 643.0", \ + " 57.0, 71.3, 108.1, 191.5, 338.7, 649.6", \ + " 66.9, 83.8, 121.2, 203.7, 350.6, 661.3", \ + " 79.2, 98.2, 140.7, 222.1, 368.1, 678.4", \ + " 95.5, 116.9, 165.2, 251.1, 395.5, 704.6", \ + " 116.8, 141.0, 195.4, 293.4, 437.5, 744.4", \ + " 145.5, 173.2, 234.7, 345.9, 503.1, 806.1", \ + " 184.7, 216.5, 286.9, 412.8, 593.2, 903.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.3, 176.0, 277.0, 505.7, 913.1, 1779.7", \ + " 141.5, 177.2, 276.2, 505.5, 913.1, 1779.7", \ + " 147.6, 182.1, 278.7, 505.1, 913.1, 1779.7", \ + " 156.8, 190.2, 284.3, 506.5, 912.9, 1779.7", \ + " 174.5, 206.5, 297.1, 513.9, 913.6, 1779.7", \ + " 201.5, 232.1, 319.3, 529.5, 921.6, 1779.5", \ + " 243.8, 273.1, 356.5, 559.1, 941.5, 1785.4", \ + " 290.6, 327.9, 414.6, 609.8, 980.1, 1807.2", \ + " 359.2, 398.8, 498.5, 693.1, 1050.2, 1855.6", \ + " 460.0, 502.2, 609.1, 825.2, 1170.8, 1949.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.2, 51.1, 78.7, 138.7, 243.9, 466.7", \ + " 46.3, 57.3, 85.0, 145.3, 250.7, 473.6", \ + " 51.3, 62.0, 89.8, 150.1, 255.6, 478.5", \ + " 57.7, 68.8, 96.2, 156.5, 262.1, 485.1", \ + " 65.1, 78.6, 107.9, 167.9, 273.5, 496.4", \ + " 72.1, 87.9, 122.8, 184.7, 289.9, 512.8", \ + " 78.6, 97.0, 138.2, 209.8, 315.1, 537.6", \ + " 84.0, 105.4, 153.3, 237.8, 352.9, 574.5", \ + " 86.9, 111.8, 167.7, 266.8, 403.1, 631.0", \ + " 84.8, 114.2, 179.7, 295.7, 456.6, 717.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.7, 102.0, 172.7, 332.1, 615.6, 1218.3", \ + " 77.4, 102.8, 172.3, 332.0, 615.6, 1218.3", \ + " 82.4, 107.0, 174.8, 331.8, 615.6, 1218.3", \ + " 90.7, 114.7, 180.5, 334.4, 615.4, 1218.3", \ + " 107.9, 130.7, 194.3, 343.5, 618.6, 1218.3", \ + " 130.2, 157.2, 217.9, 362.1, 630.2, 1219.9", \ + " 159.8, 189.3, 257.1, 396.4, 656.0, 1232.6", \ + " 202.7, 234.7, 310.0, 452.5, 703.5, 1264.3", \ + " 264.9, 300.4, 382.3, 539.5, 785.5, 1327.7", \ + " 354.7, 395.4, 486.3, 657.7, 917.5, 1443.8" ); }} +timing() { /* ring osc delay cgi2abv0x05, path c to z 70.7 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.0, 58.6, 96.0, 178.3, 323.6, 631.7", \ + " 49.8, 64.1, 101.7, 184.4, 329.9, 638.2", \ + " 55.2, 69.2, 106.5, 189.1, 334.7, 643.1", \ + " 63.1, 76.6, 113.3, 195.7, 341.3, 649.7", \ + " 73.7, 89.8, 126.2, 207.9, 353.2, 661.4", \ + " 86.6, 104.8, 145.8, 226.2, 370.7, 678.4", \ + " 103.3, 123.9, 170.7, 255.1, 398.1, 704.7", \ + " 125.0, 148.3, 201.1, 297.3, 439.9, 744.4", \ + " 153.5, 180.3, 240.3, 349.7, 505.3, 806.1", \ + " 191.6, 222.8, 291.9, 416.1, 594.9, 903.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 104.4, 142.7, 244.9, 474.7, 883.0, 1750.2", \ + " 106.4, 143.2, 244.2, 474.5, 882.9, 1750.2", \ + " 111.7, 147.5, 246.0, 474.2, 882.9, 1750.2", \ + " 120.3, 155.0, 251.2, 475.2, 882.8, 1750.2", \ + " 137.4, 170.7, 263.4, 482.2, 883.3, 1750.2", \ + " 165.2, 195.8, 284.9, 497.4, 890.9, 1750.0", \ + " 197.3, 237.1, 321.5, 526.3, 910.4, 1755.5", \ + " 240.0, 282.5, 379.3, 576.4, 948.5, 1777.1", \ + " 303.8, 348.8, 456.8, 658.9, 1018.0, 1825.0", \ + " 398.1, 446.8, 562.7, 788.0, 1137.8, 1917.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 42.5, 70.4, 130.4, 235.5, 458.0", \ + " 37.7, 48.6, 76.6, 137.1, 242.3, 464.9", \ + " 42.7, 53.4, 81.4, 141.9, 247.2, 469.9", \ + " 47.2, 60.0, 87.9, 148.3, 253.7, 476.4", \ + " 52.8, 67.9, 99.7, 159.7, 265.0, 487.8", \ + " 58.2, 75.6, 113.0, 176.5, 281.5, 504.1", \ + " 63.4, 83.2, 126.7, 201.0, 306.8, 529.0", \ + " 67.1, 89.9, 140.1, 227.4, 344.6, 565.9", \ + " 67.9, 94.3, 152.6, 254.5, 393.3, 622.4", \ + " 63.4, 94.1, 162.4, 281.4, 444.9, 708.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.8, 97.3, 168.0, 327.4, 610.9, 1213.5", \ + " 74.5, 99.4, 168.2, 327.4, 610.9, 1213.5", \ + " 80.7, 104.7, 171.4, 327.5, 610.9, 1213.5", \ + " 90.4, 113.6, 178.1, 330.7, 610.8, 1213.5", \ + " 110.2, 131.3, 193.2, 340.7, 614.7, 1213.5", \ + " 132.7, 158.8, 218.2, 360.4, 627.0, 1215.4", \ + " 164.6, 192.5, 259.2, 396.0, 653.7, 1228.8", \ + " 210.2, 240.0, 312.7, 453.4, 702.5, 1261.2", \ + " 275.4, 308.4, 386.8, 541.5, 785.7, 1325.7", \ + " 368.7, 406.4, 493.2, 660.9, 918.9, 1443.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 51.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.0, 52.8, 90.7, 174.1, 321.0, 631.6", \ + " 44.0, 58.4, 96.4, 180.1, 327.3, 638.1", \ + " 49.8, 63.6, 101.2, 184.9, 332.1, 643.0", \ + " 57.0, 71.3, 108.1, 191.5, 338.7, 649.6", \ + " 66.9, 83.8, 121.2, 203.7, 350.6, 661.3", \ + " 79.2, 98.2, 140.7, 222.1, 368.1, 678.4", \ + " 95.5, 116.9, 165.2, 251.1, 395.5, 704.6", \ + " 116.8, 141.0, 195.4, 293.4, 437.5, 744.4", \ + " 145.5, 173.2, 234.7, 345.9, 503.1, 806.1", \ + " 184.7, 216.5, 286.9, 412.8, 593.2, 903.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.3, 176.0, 277.0, 505.7, 913.1, 1779.7", \ + " 141.5, 177.2, 276.2, 505.5, 913.1, 1779.7", \ + " 147.6, 182.1, 278.7, 505.1, 913.1, 1779.7", \ + " 156.8, 190.2, 284.3, 506.5, 912.9, 1779.7", \ + " 174.5, 206.5, 297.1, 513.9, 913.6, 1779.7", \ + " 201.5, 232.1, 319.3, 529.5, 921.6, 1779.5", \ + " 243.8, 273.1, 356.5, 559.1, 941.5, 1785.4", \ + " 290.6, 327.9, 414.6, 609.8, 980.1, 1807.2", \ + " 359.2, 398.8, 498.5, 693.1, 1050.2, 1855.6", \ + " 460.0, 502.2, 609.1, 825.2, 1170.8, 1949.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.2, 51.1, 78.7, 138.7, 243.9, 466.7", \ + " 46.3, 57.3, 85.0, 145.3, 250.7, 473.6", \ + " 51.3, 62.0, 89.8, 150.1, 255.6, 478.5", \ + " 57.7, 68.8, 96.2, 156.5, 262.1, 485.1", \ + " 65.1, 78.6, 107.9, 167.9, 273.5, 496.4", \ + " 72.1, 87.9, 122.8, 184.7, 289.9, 512.8", \ + " 78.6, 97.0, 138.2, 209.8, 315.1, 537.6", \ + " 84.0, 105.4, 153.3, 237.8, 352.9, 574.5", \ + " 86.9, 111.8, 167.7, 266.8, 403.1, 631.0", \ + " 84.8, 114.2, 179.7, 295.7, 456.6, 717.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.7, 102.0, 172.7, 332.1, 615.6, 1218.3", \ + " 77.4, 102.8, 172.3, 332.0, 615.6, 1218.3", \ + " 82.4, 107.0, 174.8, 331.8, 615.6, 1218.3", \ + " 90.7, 114.7, 180.5, 334.4, 615.4, 1218.3", \ + " 107.9, 130.7, 194.3, 343.5, 618.6, 1218.3", \ + " 130.2, 157.2, 217.9, 362.1, 630.2, 1219.9", \ + " 159.8, 189.3, 257.1, 396.4, 656.0, 1232.6", \ + " 202.7, 234.7, 310.0, 452.5, 703.5, 1264.3", \ + " 264.9, 300.4, 382.3, 539.5, 785.5, 1327.7", \ + " 354.7, 395.4, 486.3, 657.7, 917.5, 1443.8" ); }} +} +} +cell(cgi2abv0x1) { /* 2008-01-06:07h32 characteristic delay 15.7 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1848 ; /* cgi2abv0x1 */ +cell_footprint : cgi2ab ; +pin(a) { /* cgi2abv0x1 FO4 effort 2.58 */ +direction : input ; +capacitance : 5.08 ; +rise_capacitance : 5.20 ; +fall_capacitance : 4.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2abv0x1 */ +internal_power(a) { /* cgi2abv0x1 18.88 nW/MHz */ +power(pwr_intran_x10) { +values( " 9.48, 9.27, 9.29, 9.44, 9.86, 10.69, 12.16, 14.60, 18.59, 25.01" ); }} +} +pin(b) { /* cgi2abv0x1 FO4 effort 2.52 */ +direction : input ; +capacitance : 5.05 ; +rise_capacitance : 5.16 ; +fall_capacitance : 4.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2abv0x1 */ +internal_power(b) { /* cgi2abv0x1 18.57 nW/MHz */ +power(pwr_intran_x10) { +values( " 9.30, 9.09, 9.12, 9.28, 9.73, 10.57, 12.07, 14.54, 18.58, 25.04" ); }} +} +pin(c) { /* cgi2abv0x1 FO4 effort 1.63 logical effort 1.85 */ +direction : input ; +capacitance : 4.52 ; +rise_capacitance : 4.28 ; +fall_capacitance : 4.76 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2abv0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 96 ; +max_fanout : 4 ; +function : "((a'*b')+(a'*c)+(b'*c))'" ; +internal_power(a_z_p) { /* cgi2abv0x1 36.70 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 8.89, 8.92, 8.96, 8.98, 8.98", \ + " 8.87, 8.90, 8.95, 8.97, 8.97", \ + " 8.85, 8.88, 8.93, 8.96, 8.96", \ + " 8.82, 8.86, 8.91, 8.95, 8.96", \ + " 8.80, 8.84, 8.89, 8.94, 8.95", \ + " 8.79, 8.82, 8.87, 8.92, 8.94", \ + " 8.79, 8.81, 8.86, 8.91, 8.93", \ + " 8.81, 8.82, 8.85, 8.89, 8.91", \ + " 8.89, 8.88, 8.88, 8.90, 8.91", \ + " 9.07, 9.02, 8.97, 8.94, 8.93" ); }} +internal_power(b_z_p) { /* cgi2abv0x1 36.41 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 8.76, 8.92, 9.12, 9.26, 9.31", \ + " 8.70, 8.85, 9.06, 9.22, 9.29", \ + " 8.63, 8.78, 9.00, 9.18, 9.26", \ + " 8.55, 8.70, 8.92, 9.13, 9.23", \ + " 8.48, 8.60, 8.83, 9.05, 9.18", \ + " 8.42, 8.53, 8.74, 8.98, 9.13", \ + " 8.39, 8.47, 8.66, 8.89, 9.06", \ + " 8.40, 8.45, 8.59, 8.80, 8.98", \ + " 8.49, 8.50, 8.57, 8.74, 8.91", \ + " 8.70, 8.65, 8.63, 8.73, 8.86" ); }} +internal_power(c_z_n) { /* cgi2abv0x1 12.18 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 6.00, 6.12, 6.25, 6.34, 6.37", \ + " 5.84, 5.94, 6.12, 6.28, 6.36", \ + " 5.86, 5.93, 6.08, 6.24, 6.34", \ + " 5.98, 6.00, 6.09, 6.23, 6.33", \ + " 6.32, 6.27, 6.24, 6.28, 6.35", \ + " 6.96, 6.82, 6.62, 6.50, 6.47", \ + " 8.11, 7.84, 7.42, 7.04, 6.80", \ + " 10.02, 9.60, 8.88, 8.10, 7.53", \ + " 13.11, 12.52, 11.43, 10.11, 9.02", \ + " 18.04, 17.28, 15.75, 13.69, 11.84" ); }} +timing() { /* ring osc delay cgi2abv0x1, path a to z 122.2 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 106.8 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 2.91 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.0, 104.6, 139.7, 217.5, 355.1, 647.2", \ + " 98.0, 111.6, 146.8, 224.6, 362.2, 654.3", \ + " 103.2, 116.8, 152.0, 229.8, 367.5, 659.6", \ + " 109.2, 122.8, 158.0, 235.8, 373.5, 665.6", \ + " 116.9, 130.5, 165.7, 243.6, 381.3, 673.5", \ + " 124.1, 137.9, 173.2, 251.2, 388.9, 681.1", \ + " 131.3, 145.2, 180.6, 258.7, 396.4, 688.6", \ + " 137.5, 151.6, 187.2, 265.3, 403.3, 695.5", \ + " 141.5, 156.0, 192.1, 270.5, 408.5, 700.8", \ + " 140.9, 156.1, 193.2, 272.2, 410.5, 703.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.8, 134.5, 226.0, 434.4, 806.5, 1598.0", \ + " 100.9, 134.6, 226.0, 434.4, 806.5, 1598.0", \ + " 101.0, 134.6, 226.1, 434.4, 806.5, 1598.0", \ + " 101.3, 134.9, 226.2, 434.4, 806.5, 1598.0", \ + " 102.3, 135.7, 226.7, 434.6, 806.6, 1598.0", \ + " 103.9, 136.9, 227.4, 435.0, 806.7, 1598.0", \ + " 106.0, 138.8, 228.7, 435.6, 807.0, 1598.2", \ + " 109.7, 141.9, 230.9, 436.7, 807.4, 1598.4", \ + " 115.8, 147.4, 235.0, 439.2, 808.6, 1598.8", \ + " 125.2, 156.2, 242.5, 444.2, 811.6, 1600.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.2, 98.1, 125.0, 182.1, 281.1, 489.7", \ + " 94.9, 105.8, 132.7, 189.8, 288.9, 497.4", \ + " 101.1, 112.0, 138.9, 196.1, 295.1, 503.7", \ + " 109.2, 120.1, 147.1, 204.2, 303.3, 511.9", \ + " 121.7, 132.7, 159.7, 216.9, 316.0, 524.6", \ + " 136.6, 147.7, 174.9, 232.1, 331.3, 539.8", \ + " 155.4, 166.6, 194.0, 251.5, 350.7, 559.3", \ + " 179.4, 190.8, 218.5, 276.1, 375.4, 584.0", \ + " 211.0, 222.7, 250.8, 308.8, 408.1, 616.8", \ + " 253.1, 265.3, 294.2, 352.9, 452.5, 661.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.8, 109.2, 172.0, 314.2, 568.4, 1110.1", \ + " 85.8, 109.2, 172.0, 314.2, 568.4, 1110.0", \ + " 85.8, 109.3, 172.1, 314.2, 568.3, 1110.0", \ + " 86.0, 109.4, 172.1, 314.2, 568.3, 1109.9", \ + " 87.5, 110.6, 172.8, 314.4, 568.2, 1109.8", \ + " 90.0, 112.7, 174.3, 315.2, 568.5, 1109.7", \ + " 93.3, 115.7, 176.6, 316.6, 569.1, 1109.8", \ + " 98.2, 120.1, 179.8, 318.6, 570.1, 1110.1", \ + " 105.8, 127.2, 185.4, 321.9, 572.0, 1110.6", \ + " 117.3, 138.3, 194.9, 328.3, 575.7, 1112.2" ); }} +timing() { /* ring osc delay cgi2abv0x1, path a to z 116.8 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 106.8 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 2.91 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.9, 106.5, 141.8, 219.8, 357.5, 649.7", \ + " 99.9, 113.6, 148.9, 226.9, 364.6, 656.8", \ + " 105.1, 118.7, 154.0, 232.1, 369.9, 662.1", \ + " 110.9, 124.5, 159.9, 238.0, 375.8, 668.0", \ + " 118.3, 132.0, 167.4, 245.5, 383.4, 675.6", \ + " 125.4, 139.1, 174.6, 252.8, 390.7, 682.9", \ + " 132.3, 146.1, 181.6, 259.9, 397.9, 690.2", \ + " 138.3, 152.3, 187.9, 266.2, 404.3, 696.6", \ + " 142.2, 156.5, 192.5, 271.0, 409.0, 701.5", \ + " 141.8, 156.7, 193.4, 272.4, 410.7, 703.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 110.0, 144.3, 236.8, 446.0, 818.8, 1610.9", \ + " 110.0, 144.4, 236.8, 446.0, 818.8, 1610.9", \ + " 110.1, 144.4, 236.8, 446.0, 818.8, 1610.9", \ + " 110.4, 144.7, 236.9, 446.0, 818.7, 1610.8", \ + " 111.5, 145.6, 237.4, 446.1, 818.7, 1610.8", \ + " 113.2, 146.9, 238.3, 446.6, 818.9, 1610.8", \ + " 115.5, 148.9, 239.7, 447.2, 819.1, 1610.9", \ + " 119.3, 152.3, 242.1, 448.4, 819.5, 1611.0", \ + " 125.6, 158.0, 246.5, 451.1, 820.8, 1611.4", \ + " 135.3, 167.0, 254.2, 456.3, 823.9, 1612.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.9, 90.0, 112.4, 160.7, 245.0, 423.2", \ + " 88.6, 97.7, 120.2, 168.4, 252.7, 431.0", \ + " 94.9, 103.9, 126.5, 174.7, 259.0, 437.3", \ + " 103.0, 112.1, 134.7, 182.9, 267.3, 445.6", \ + " 115.7, 124.9, 147.5, 195.8, 280.2, 458.5", \ + " 130.6, 139.9, 162.8, 211.3, 295.7, 474.0", \ + " 149.4, 158.9, 182.1, 230.9, 315.4, 493.7", \ + " 173.2, 183.0, 206.7, 255.7, 340.3, 518.7", \ + " 204.4, 214.7, 239.0, 288.6, 373.2, 551.7", \ + " 245.6, 256.7, 282.2, 332.8, 417.9, 596.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.4, 84.9, 137.8, 258.9, 476.2, 939.8", \ + " 65.4, 84.9, 137.8, 258.9, 476.2, 939.8", \ + " 65.5, 84.9, 137.8, 258.9, 476.2, 939.8", \ + " 65.8, 85.2, 138.0, 259.0, 476.2, 939.8", \ + " 67.6, 86.7, 139.0, 259.4, 476.3, 939.8", \ + " 70.6, 89.3, 140.8, 260.5, 476.8, 939.9", \ + " 74.3, 92.8, 143.6, 262.2, 477.7, 940.2", \ + " 79.9, 97.9, 147.5, 264.7, 479.0, 940.7", \ + " 88.1, 105.7, 154.0, 268.7, 481.4, 941.6", \ + " 100.3, 117.7, 164.7, 276.3, 485.8, 943.7" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 106.8 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 2.91 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.0, 104.6, 139.7, 217.5, 355.1, 647.2", \ + " 98.0, 111.6, 146.8, 224.6, 362.2, 654.3", \ + " 103.2, 116.8, 152.0, 229.8, 367.5, 659.6", \ + " 109.2, 122.8, 158.0, 235.8, 373.5, 665.6", \ + " 116.9, 130.5, 165.7, 243.6, 381.3, 673.5", \ + " 124.1, 137.9, 173.2, 251.2, 388.9, 681.1", \ + " 131.3, 145.2, 180.6, 258.7, 396.4, 688.6", \ + " 137.5, 151.6, 187.2, 265.3, 403.3, 695.5", \ + " 141.5, 156.0, 192.1, 270.5, 408.5, 700.8", \ + " 140.9, 156.1, 193.2, 272.2, 410.5, 703.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.8, 134.5, 226.0, 434.4, 806.5, 1598.0", \ + " 100.9, 134.6, 226.0, 434.4, 806.5, 1598.0", \ + " 101.0, 134.6, 226.1, 434.4, 806.5, 1598.0", \ + " 101.3, 134.9, 226.2, 434.4, 806.5, 1598.0", \ + " 102.3, 135.7, 226.7, 434.6, 806.6, 1598.0", \ + " 103.9, 136.9, 227.4, 435.0, 806.7, 1598.0", \ + " 106.0, 138.8, 228.7, 435.6, 807.0, 1598.2", \ + " 109.7, 141.9, 230.9, 436.7, 807.4, 1598.4", \ + " 115.8, 147.4, 235.0, 439.2, 808.6, 1598.8", \ + " 125.2, 156.2, 242.5, 444.2, 811.6, 1600.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.2, 98.1, 125.0, 182.1, 281.1, 489.7", \ + " 94.9, 105.8, 132.7, 189.8, 288.9, 497.4", \ + " 101.1, 112.0, 138.9, 196.1, 295.1, 503.7", \ + " 109.2, 120.1, 147.1, 204.2, 303.3, 511.9", \ + " 121.7, 132.7, 159.7, 216.9, 316.0, 524.6", \ + " 136.6, 147.7, 174.9, 232.1, 331.3, 539.8", \ + " 155.4, 166.6, 194.0, 251.5, 350.7, 559.3", \ + " 179.4, 190.8, 218.5, 276.1, 375.4, 584.0", \ + " 211.0, 222.7, 250.8, 308.8, 408.1, 616.8", \ + " 253.1, 265.3, 294.2, 352.9, 452.5, 661.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.8, 109.2, 172.0, 314.2, 568.4, 1110.1", \ + " 85.8, 109.2, 172.0, 314.2, 568.4, 1110.0", \ + " 85.8, 109.3, 172.1, 314.2, 568.3, 1110.0", \ + " 86.0, 109.4, 172.1, 314.2, 568.3, 1109.9", \ + " 87.5, 110.6, 172.8, 314.4, 568.2, 1109.8", \ + " 90.0, 112.7, 174.3, 315.2, 568.5, 1109.7", \ + " 93.3, 115.7, 176.6, 316.6, 569.1, 1109.8", \ + " 98.2, 120.1, 179.8, 318.6, 570.1, 1110.1", \ + " 105.8, 127.2, 185.4, 321.9, 572.0, 1110.6", \ + " 117.3, 138.3, 194.9, 328.3, 575.7, 1112.2" ); }} +timing() { /* ring osc delay cgi2abv0x1, path b to z 123.3 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.6 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.37 ; */ +/* fall_resistance : 2.93 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.7, 97.9, 133.6, 212.0, 350.3, 643.4", \ + " 90.6, 104.7, 140.5, 218.9, 357.4, 650.5", \ + " 95.6, 109.6, 145.4, 224.0, 362.5, 655.7", \ + " 101.2, 115.2, 150.9, 229.6, 368.3, 661.5", \ + " 108.2, 122.2, 157.9, 236.7, 375.5, 668.8", \ + " 114.8, 128.8, 164.6, 243.4, 382.3, 675.8", \ + " 121.2, 135.3, 171.0, 249.9, 388.9, 682.5", \ + " 126.4, 140.7, 176.6, 255.4, 394.6, 688.3", \ + " 129.1, 143.9, 180.2, 259.2, 398.4, 692.3", \ + " 126.9, 142.5, 179.6, 259.1, 398.7, 692.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.0, 153.7, 245.6, 454.7, 827.4, 1619.5", \ + " 120.0, 153.7, 245.6, 454.7, 827.4, 1619.5", \ + " 120.1, 153.8, 245.6, 454.6, 827.4, 1619.5", \ + " 120.3, 153.9, 245.6, 454.6, 827.4, 1619.5", \ + " 121.2, 154.5, 245.9, 454.6, 827.3, 1619.4", \ + " 122.9, 155.6, 246.4, 454.8, 827.4, 1619.4", \ + " 125.0, 157.4, 247.5, 455.1, 827.5, 1619.5", \ + " 129.3, 160.7, 249.5, 456.0, 827.7, 1619.6", \ + " 136.6, 166.9, 253.8, 458.2, 828.6, 1619.8", \ + " 147.7, 176.9, 261.8, 463.3, 831.5, 1621.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.2, 106.4, 133.8, 191.4, 290.8, 499.6", \ + " 102.8, 114.0, 141.4, 199.1, 298.5, 507.3", \ + " 108.8, 120.0, 147.5, 205.2, 304.7, 513.6", \ + " 116.7, 127.9, 155.5, 213.3, 312.9, 521.8", \ + " 129.8, 141.0, 168.5, 226.4, 326.1, 535.1", \ + " 145.7, 157.0, 184.6, 242.5, 342.2, 551.3", \ + " 165.7, 177.1, 205.0, 263.2, 362.8, 571.9", \ + " 191.2, 202.8, 230.8, 289.1, 389.1, 598.1", \ + " 224.8, 236.8, 265.4, 323.8, 423.8, 633.0", \ + " 269.6, 282.3, 312.0, 371.3, 471.4, 680.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.5, 109.7, 172.2, 314.2, 568.4, 1110.1", \ + " 86.6, 109.8, 172.2, 314.2, 568.4, 1110.1", \ + " 86.6, 109.8, 172.2, 314.2, 568.4, 1110.0", \ + " 86.8, 110.0, 172.3, 314.2, 568.3, 1110.0", \ + " 88.2, 111.0, 172.9, 314.3, 568.2, 1109.8", \ + " 91.2, 113.8, 174.9, 315.3, 568.4, 1109.7", \ + " 95.2, 117.6, 178.1, 317.3, 569.5, 1109.9", \ + " 100.7, 122.9, 182.4, 320.2, 571.0, 1110.6", \ + " 109.2, 131.2, 189.5, 325.0, 573.8, 1111.7", \ + " 121.6, 143.9, 201.5, 334.2, 579.6, 1114.4" ); }} +timing() { /* ring osc delay cgi2abv0x1, path b to z 108.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.6 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.37 ; */ +/* fall_resistance : 2.93 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.7, 100.9, 137.1, 215.9, 354.1, 646.6", \ + " 93.2, 107.4, 143.7, 222.7, 361.0, 653.5", \ + " 97.9, 112.1, 148.4, 227.5, 365.9, 658.5", \ + " 103.4, 117.5, 153.7, 232.9, 371.5, 664.2", \ + " 110.8, 124.7, 160.8, 239.9, 378.6, 671.4", \ + " 117.9, 131.8, 167.8, 246.7, 385.4, 678.3", \ + " 125.3, 139.0, 174.6, 253.6, 392.1, 685.0", \ + " 132.1, 145.8, 181.2, 259.7, 398.3, 691.1", \ + " 137.3, 151.2, 186.7, 264.9, 403.2, 696.0", \ + " 138.7, 153.2, 189.1, 267.7, 405.9, 698.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 109.2, 143.7, 236.4, 445.9, 818.8, 1610.9", \ + " 109.2, 143.7, 236.4, 446.0, 818.8, 1610.9", \ + " 109.4, 143.8, 236.4, 445.9, 818.8, 1610.9", \ + " 109.8, 144.1, 236.5, 445.9, 818.8, 1610.9", \ + " 111.6, 145.4, 237.2, 446.0, 818.7, 1610.8", \ + " 114.2, 147.5, 238.4, 446.6, 818.9, 1610.8", \ + " 117.6, 150.5, 240.5, 447.5, 819.3, 1610.9", \ + " 123.1, 155.4, 243.9, 449.3, 820.0, 1611.3", \ + " 131.4, 163.3, 250.5, 453.2, 822.1, 1612.1", \ + " 143.3, 175.0, 261.3, 461.4, 827.3, 1614.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.4, 81.9, 104.8, 153.3, 237.5, 415.7", \ + " 80.1, 89.5, 112.5, 161.0, 245.3, 423.5", \ + " 86.3, 95.7, 118.7, 167.2, 251.6, 429.8", \ + " 94.4, 103.8, 126.8, 175.4, 259.8, 438.1", \ + " 106.7, 116.2, 139.3, 188.1, 272.6, 450.9", \ + " 121.0, 130.7, 154.2, 203.2, 287.8, 466.1", \ + " 139.2, 149.2, 173.0, 222.3, 307.1, 485.5", \ + " 162.3, 172.7, 197.0, 246.7, 331.5, 510.0", \ + " 192.5, 203.6, 228.6, 278.9, 363.9, 542.6", \ + " 232.4, 244.5, 270.9, 322.3, 407.9, 586.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 78.3, 131.2, 252.7, 470.5, 934.5", \ + " 59.2, 78.4, 131.3, 252.8, 470.5, 934.5", \ + " 59.3, 78.5, 131.3, 252.8, 470.5, 934.5", \ + " 59.8, 78.8, 131.5, 252.8, 470.5, 934.5", \ + " 62.1, 80.6, 132.4, 253.2, 470.6, 934.5", \ + " 65.8, 83.7, 134.4, 254.2, 471.0, 934.5", \ + " 70.2, 87.7, 137.5, 255.8, 471.7, 934.7", \ + " 76.5, 93.6, 141.8, 258.4, 472.9, 935.1", \ + " 85.8, 102.4, 149.0, 262.6, 475.2, 935.9", \ + " 98.9, 115.3, 160.7, 270.6, 479.7, 937.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 99.6 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.37 ; */ +/* fall_resistance : 2.93 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.7, 97.9, 133.6, 212.0, 350.3, 643.4", \ + " 90.6, 104.7, 140.5, 218.9, 357.4, 650.5", \ + " 95.6, 109.6, 145.4, 224.0, 362.5, 655.7", \ + " 101.2, 115.2, 150.9, 229.6, 368.3, 661.5", \ + " 108.2, 122.2, 157.9, 236.7, 375.5, 668.8", \ + " 114.8, 128.8, 164.6, 243.4, 382.3, 675.8", \ + " 121.2, 135.3, 171.0, 249.9, 388.9, 682.5", \ + " 126.4, 140.7, 176.6, 255.4, 394.6, 688.3", \ + " 129.1, 143.9, 180.2, 259.2, 398.4, 692.3", \ + " 126.9, 142.5, 179.6, 259.1, 398.7, 692.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.0, 153.7, 245.6, 454.7, 827.4, 1619.5", \ + " 120.0, 153.7, 245.6, 454.7, 827.4, 1619.5", \ + " 120.1, 153.8, 245.6, 454.6, 827.4, 1619.5", \ + " 120.3, 153.9, 245.6, 454.6, 827.4, 1619.5", \ + " 121.2, 154.5, 245.9, 454.6, 827.3, 1619.4", \ + " 122.9, 155.6, 246.4, 454.8, 827.4, 1619.4", \ + " 125.0, 157.4, 247.5, 455.1, 827.5, 1619.5", \ + " 129.3, 160.7, 249.5, 456.0, 827.7, 1619.6", \ + " 136.6, 166.9, 253.8, 458.2, 828.6, 1619.8", \ + " 147.7, 176.9, 261.8, 463.3, 831.5, 1621.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.2, 106.4, 133.8, 191.4, 290.8, 499.6", \ + " 102.8, 114.0, 141.4, 199.1, 298.5, 507.3", \ + " 108.8, 120.0, 147.5, 205.2, 304.7, 513.6", \ + " 116.7, 127.9, 155.5, 213.3, 312.9, 521.8", \ + " 129.8, 141.0, 168.5, 226.4, 326.1, 535.1", \ + " 145.7, 157.0, 184.6, 242.5, 342.2, 551.3", \ + " 165.7, 177.1, 205.0, 263.2, 362.8, 571.9", \ + " 191.2, 202.8, 230.8, 289.1, 389.1, 598.1", \ + " 224.8, 236.8, 265.4, 323.8, 423.8, 633.0", \ + " 269.6, 282.3, 312.0, 371.3, 471.4, 680.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.5, 109.7, 172.2, 314.2, 568.4, 1110.1", \ + " 86.6, 109.8, 172.2, 314.2, 568.4, 1110.1", \ + " 86.6, 109.8, 172.2, 314.2, 568.4, 1110.0", \ + " 86.8, 110.0, 172.3, 314.2, 568.3, 1110.0", \ + " 88.2, 111.0, 172.9, 314.3, 568.2, 1109.8", \ + " 91.2, 113.8, 174.9, 315.3, 568.4, 1109.7", \ + " 95.2, 117.6, 178.1, 317.3, 569.5, 1109.9", \ + " 100.7, 122.9, 182.4, 320.2, 571.0, 1110.6", \ + " 109.2, 131.2, 189.5, 325.0, 573.8, 1111.7", \ + " 121.6, 143.9, 201.5, 334.2, 579.6, 1114.4" ); }} +timing() { /* ring osc delay cgi2abv0x1, path c to z 68.0 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.7 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 50.8, 87.0, 166.2, 305.6, 600.3", \ + " 42.6, 56.3, 92.5, 172.1, 311.8, 606.7", \ + " 48.5, 61.6, 97.3, 176.8, 316.6, 611.6", \ + " 55.7, 69.5, 104.3, 183.4, 323.1, 618.1", \ + " 65.8, 82.0, 117.6, 195.7, 335.0, 629.8", \ + " 78.7, 96.8, 137.4, 214.5, 352.8, 647.0", \ + " 96.1, 116.3, 162.2, 244.2, 380.6, 673.5", \ + " 119.2, 142.1, 193.4, 286.7, 423.5, 713.8", \ + " 150.9, 176.9, 234.8, 340.2, 490.6, 776.7", \ + " 195.0, 224.8, 291.0, 409.7, 581.2, 876.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.6, 169.2, 264.9, 481.5, 867.8, 1689.4", \ + " 137.0, 170.7, 264.2, 481.3, 867.7, 1689.4", \ + " 143.0, 175.6, 266.9, 480.9, 867.7, 1689.4", \ + " 152.0, 183.6, 272.6, 482.7, 867.5, 1689.4", \ + " 169.1, 199.6, 285.4, 490.4, 868.7, 1689.4", \ + " 195.0, 224.3, 307.2, 506.2, 877.2, 1689.2", \ + " 234.8, 263.7, 343.3, 535.5, 897.5, 1696.2", \ + " 279.0, 315.0, 399.3, 585.2, 936.1, 1719.1", \ + " 344.0, 382.1, 478.3, 665.9, 1005.3, 1768.0", \ + " 440.0, 480.3, 583.0, 791.9, 1122.8, 1861.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 41.7, 63.9, 112.1, 196.5, 374.7", \ + " 39.2, 48.0, 70.3, 118.7, 203.2, 381.6", \ + " 44.5, 52.9, 75.1, 123.5, 208.1, 386.5", \ + " 49.4, 59.4, 81.7, 130.0, 214.6, 393.0", \ + " 55.2, 67.1, 93.2, 141.5, 226.0, 404.4", \ + " 60.2, 74.2, 105.1, 158.4, 242.6, 420.8", \ + " 64.0, 80.4, 116.9, 180.4, 267.9, 445.7", \ + " 65.6, 84.8, 127.5, 202.6, 304.3, 482.8", \ + " 63.0, 85.5, 135.8, 224.0, 344.9, 539.3", \ + " 53.0, 79.6, 138.9, 243.0, 385.9, 616.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 61.9, 83.0, 139.5, 267.0, 493.8, 975.9", \ + " 65.0, 85.0, 139.9, 266.8, 493.7, 975.9", \ + " 70.6, 90.0, 143.4, 267.6, 493.7, 975.9", \ + " 79.6, 98.5, 150.2, 271.6, 494.1, 975.9", \ + " 97.5, 115.7, 165.5, 282.8, 500.0, 975.8", \ + " 117.1, 139.9, 190.6, 303.8, 514.8, 981.1", \ + " 146.5, 171.3, 229.6, 340.8, 544.4, 999.0", \ + " 188.7, 215.9, 279.4, 399.4, 596.8, 1036.9", \ + " 249.3, 280.3, 350.0, 482.4, 683.0, 1108.6", \ + " 336.4, 372.5, 451.8, 596.7, 816.4, 1234.0" ); }} +timing() { /* ring osc delay cgi2abv0x1, path c to z 63.5 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.7 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.5, 56.5, 92.2, 170.5, 308.3, 600.5", \ + " 48.2, 61.9, 97.7, 176.3, 314.5, 606.9", \ + " 53.8, 67.0, 102.4, 181.0, 319.3, 611.8", \ + " 61.7, 74.6, 109.4, 187.6, 325.8, 618.3", \ + " 72.5, 87.9, 122.6, 199.9, 337.7, 630.0", \ + " 85.9, 103.2, 142.4, 218.6, 355.4, 647.2", \ + " 103.8, 123.2, 167.6, 248.1, 383.2, 673.7", \ + " 127.3, 149.2, 199.1, 290.7, 426.0, 714.0", \ + " 158.9, 184.0, 240.4, 344.0, 492.8, 776.9", \ + " 202.0, 231.2, 296.0, 413.0, 582.9, 876.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.0, 136.3, 233.1, 450.9, 838.0, 1660.3", \ + " 102.2, 137.1, 232.4, 450.7, 838.0, 1660.3", \ + " 107.6, 141.5, 234.6, 450.4, 837.9, 1660.3", \ + " 116.0, 148.9, 239.9, 451.8, 837.8, 1660.3", \ + " 132.7, 164.3, 252.1, 459.1, 838.7, 1660.2", \ + " 159.8, 188.7, 273.3, 474.5, 846.9, 1660.0", \ + " 189.3, 227.6, 308.9, 503.2, 866.8, 1666.8", \ + " 229.7, 270.5, 364.9, 552.3, 904.9, 1689.3", \ + " 290.4, 333.4, 437.4, 632.3, 973.5, 1737.8", \ + " 380.3, 426.6, 537.7, 755.2, 1090.4, 1830.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.1, 34.8, 57.1, 105.4, 189.6, 367.7", \ + " 32.5, 41.0, 63.4, 112.0, 196.4, 374.5", \ + " 36.4, 46.1, 68.2, 116.8, 201.3, 379.5", \ + " 39.9, 51.2, 74.8, 123.3, 207.8, 386.0", \ + " 43.9, 57.4, 85.7, 134.9, 219.2, 397.4", \ + " 47.5, 63.0, 96.2, 151.8, 235.8, 413.8", \ + " 50.0, 67.8, 106.5, 172.5, 261.1, 438.7", \ + " 50.0, 70.6, 115.6, 193.1, 296.9, 475.8", \ + " 45.6, 69.4, 122.0, 212.9, 336.1, 532.3", \ + " 33.3, 61.2, 123.0, 230.0, 375.4, 608.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.1, 79.3, 136.0, 263.5, 490.3, 972.3", \ + " 63.1, 82.8, 137.1, 263.4, 490.3, 972.3", \ + " 69.9, 88.7, 141.3, 264.7, 490.2, 972.3", \ + " 80.2, 98.2, 149.0, 269.2, 491.0, 972.3", \ + " 98.9, 117.2, 165.4, 281.3, 497.5, 972.3", \ + " 120.2, 142.0, 191.8, 303.3, 512.9, 978.1", \ + " 151.8, 175.1, 231.6, 341.4, 543.5, 996.6", \ + " 196.5, 221.9, 282.7, 401.1, 596.8, 1035.3", \ + " 260.1, 288.7, 355.2, 484.9, 684.0, 1107.9", \ + " 350.6, 383.9, 459.3, 600.6, 818.3, 1234.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 54.7 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 50.8, 87.0, 166.2, 305.6, 600.3", \ + " 42.6, 56.3, 92.5, 172.1, 311.8, 606.7", \ + " 48.5, 61.6, 97.3, 176.8, 316.6, 611.6", \ + " 55.7, 69.5, 104.3, 183.4, 323.1, 618.1", \ + " 65.8, 82.0, 117.6, 195.7, 335.0, 629.8", \ + " 78.7, 96.8, 137.4, 214.5, 352.8, 647.0", \ + " 96.1, 116.3, 162.2, 244.2, 380.6, 673.5", \ + " 119.2, 142.1, 193.4, 286.7, 423.5, 713.8", \ + " 150.9, 176.9, 234.8, 340.2, 490.6, 776.7", \ + " 195.0, 224.8, 291.0, 409.7, 581.2, 876.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.6, 169.2, 264.9, 481.5, 867.8, 1689.4", \ + " 137.0, 170.7, 264.2, 481.3, 867.7, 1689.4", \ + " 143.0, 175.6, 266.9, 480.9, 867.7, 1689.4", \ + " 152.0, 183.6, 272.6, 482.7, 867.5, 1689.4", \ + " 169.1, 199.6, 285.4, 490.4, 868.7, 1689.4", \ + " 195.0, 224.3, 307.2, 506.2, 877.2, 1689.2", \ + " 234.8, 263.7, 343.3, 535.5, 897.5, 1696.2", \ + " 279.0, 315.0, 399.3, 585.2, 936.1, 1719.1", \ + " 344.0, 382.1, 478.3, 665.9, 1005.3, 1768.0", \ + " 440.0, 480.3, 583.0, 791.9, 1122.8, 1861.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 41.7, 63.9, 112.1, 196.5, 374.7", \ + " 39.2, 48.0, 70.3, 118.7, 203.2, 381.6", \ + " 44.5, 52.9, 75.1, 123.5, 208.1, 386.5", \ + " 49.4, 59.4, 81.7, 130.0, 214.6, 393.0", \ + " 55.2, 67.1, 93.2, 141.5, 226.0, 404.4", \ + " 60.2, 74.2, 105.1, 158.4, 242.6, 420.8", \ + " 64.0, 80.4, 116.9, 180.4, 267.9, 445.7", \ + " 65.6, 84.8, 127.5, 202.6, 304.3, 482.8", \ + " 63.0, 85.5, 135.8, 224.0, 344.9, 539.3", \ + " 53.0, 79.6, 138.9, 243.0, 385.9, 616.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 61.9, 83.0, 139.5, 267.0, 493.8, 975.9", \ + " 65.0, 85.0, 139.9, 266.8, 493.7, 975.9", \ + " 70.6, 90.0, 143.4, 267.6, 493.7, 975.9", \ + " 79.6, 98.5, 150.2, 271.6, 494.1, 975.9", \ + " 97.5, 115.7, 165.5, 282.8, 500.0, 975.8", \ + " 117.1, 139.9, 190.6, 303.8, 514.8, 981.1", \ + " 146.5, 171.3, 229.6, 340.8, 544.4, 999.0", \ + " 188.7, 215.9, 279.4, 399.4, 596.8, 1036.9", \ + " 249.3, 280.3, 350.0, 482.4, 683.0, 1108.6", \ + " 336.4, 372.5, 451.8, 596.7, 816.4, 1234.0" ); }} +} +} +cell(cgi2abv0x2) { /* 2008-01-06:07h32 characteristic delay 14.9 ps */ +area : 19 ; /* tracks */ +cell_leakage_power : 3373 ; /* cgi2abv0x2 */ +cell_footprint : cgi2ab ; +pin(a) { /* cgi2abv0x2 FO4 effort 2.40 */ +direction : input ; +capacitance : 7.74 ; +rise_capacitance : 7.91 ; +fall_capacitance : 7.56 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2abv0x2 */ +internal_power(a) { /* cgi2abv0x2 34.21 nW/MHz */ +power(pwr_intran_x10) { +values( " 17.17, 16.86, 16.90, 17.11, 17.74, 18.99, 21.24, 25.02, 31.26, 41.39" ); }} +} +pin(b) { /* cgi2abv0x2 FO4 effort 2.31 */ +direction : input ; +capacitance : 7.77 ; +rise_capacitance : 7.95 ; +fall_capacitance : 7.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2abv0x2 */ +internal_power(b) { /* cgi2abv0x2 31.81 nW/MHz */ +power(pwr_intran_x10) { +values( " 15.92, 15.61, 15.66, 15.90, 16.60, 17.93, 20.28, 24.18, 30.57, 40.86" ); }} +} +pin(c) { /* cgi2abv0x2 FO4 effort 1.59 logical effort 1.75 */ +direction : input ; +capacitance : 8.57 ; +rise_capacitance : 8.10 ; +fall_capacitance : 9.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2abv0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 193 ; +max_fanout : 6 ; +function : "((a'*b')+(a'*c)+(b'*c))'" ; +internal_power(a_z_p) { /* cgi2abv0x2 70.27 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 17.92, 18.02, 18.14, 18.22, 18.22", \ + " 17.88, 17.98, 18.11, 18.20, 18.21", \ + " 17.84, 17.94, 18.07, 18.18, 18.20", \ + " 17.79, 17.89, 18.03, 18.15, 18.18", \ + " 17.75, 17.84, 17.98, 18.12, 18.17", \ + " 17.73, 17.80, 17.94, 18.08, 18.15", \ + " 17.74, 17.79, 17.90, 18.04, 18.12", \ + " 17.81, 17.83, 17.90, 18.00, 18.08", \ + " 18.02, 17.99, 17.98, 18.03, 18.09", \ + " 18.46, 18.33, 18.19, 18.13, 18.13" ); }} +internal_power(b_z_p) { /* cgi2abv0x2 67.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 17.32, 17.64, 18.06, 18.36, 18.49", \ + " 17.21, 17.51, 17.95, 18.30, 18.45", \ + " 17.07, 17.38, 17.83, 18.21, 18.40", \ + " 16.94, 17.22, 17.68, 18.11, 18.33", \ + " 16.80, 17.05, 17.50, 17.96, 18.24", \ + " 16.71, 16.92, 17.33, 17.81, 18.12", \ + " 16.67, 16.82, 17.17, 17.64, 17.98", \ + " 16.73, 16.81, 17.06, 17.47, 17.83", \ + " 16.96, 16.94, 17.06, 17.37, 17.70", \ + " 17.47, 17.31, 17.23, 17.37, 17.62" ); }} +internal_power(c_z_n) { /* cgi2abv0x2 24.71 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 12.18, 12.41, 12.66, 12.83, 12.88", \ + " 11.86, 12.07, 12.41, 12.71, 12.87", \ + " 11.91, 12.05, 12.33, 12.64, 12.83", \ + " 12.14, 12.19, 12.36, 12.62, 12.80", \ + " 12.81, 12.71, 12.65, 12.73, 12.84", \ + " 14.10, 13.80, 13.42, 13.17, 13.09", \ + " 16.39, 15.85, 15.02, 14.24, 13.75", \ + " 20.19, 19.35, 17.92, 16.37, 15.22", \ + " 26.36, 25.19, 23.01, 20.38, 18.20", \ + " 36.21, 34.69, 31.65, 27.54, 23.84" ); }} +timing() { /* ring osc delay cgi2abv0x2, path a to z 126.9 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.4 ; */ +/* intrinsic_fall : 110.2 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.1, 109.9, 145.2, 223.2, 360.9, 653.0", \ + " 103.2, 116.9, 152.3, 230.3, 368.0, 660.1", \ + " 108.5, 122.3, 157.7, 235.7, 373.4, 665.6", \ + " 115.0, 128.7, 164.1, 242.2, 380.0, 672.1", \ + " 123.8, 137.6, 173.0, 251.0, 388.9, 681.1", \ + " 132.5, 146.3, 181.8, 260.0, 397.8, 690.1", \ + " 141.3, 155.3, 190.9, 269.1, 407.0, 699.3", \ + " 149.5, 163.8, 199.6, 277.8, 415.8, 708.1", \ + " 156.1, 170.9, 207.2, 285.6, 423.6, 716.0", \ + " 159.1, 174.6, 211.8, 290.9, 429.1, 721.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.8, 136.4, 227.7, 435.9, 807.9, 1599.4", \ + " 102.8, 136.4, 227.7, 435.9, 807.9, 1599.4", \ + " 102.9, 136.5, 227.8, 435.9, 807.9, 1599.4", \ + " 103.2, 136.7, 227.9, 435.9, 807.9, 1599.4", \ + " 104.3, 137.6, 228.3, 436.1, 807.9, 1599.4", \ + " 106.2, 139.1, 229.3, 436.5, 808.1, 1599.4", \ + " 108.8, 141.3, 230.9, 437.3, 808.4, 1599.5", \ + " 113.1, 145.0, 233.4, 438.6, 808.9, 1599.7", \ + " 120.0, 151.2, 238.1, 441.3, 810.1, 1600.1", \ + " 130.6, 161.0, 246.3, 446.8, 813.2, 1601.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.3, 103.3, 130.3, 187.4, 286.4, 494.9", \ + " 100.1, 111.0, 138.0, 195.2, 294.2, 502.7", \ + " 106.3, 117.3, 144.3, 201.5, 300.5, 509.0", \ + " 114.5, 125.5, 152.5, 209.7, 308.8, 517.4", \ + " 127.6, 138.6, 165.7, 223.0, 322.1, 530.6", \ + " 143.2, 154.4, 181.6, 239.0, 338.1, 546.7", \ + " 162.8, 174.1, 201.6, 259.2, 358.3, 566.9", \ + " 187.6, 199.1, 226.9, 284.6, 383.9, 592.4", \ + " 220.0, 231.8, 260.1, 318.1, 417.4, 626.0", \ + " 262.8, 275.1, 304.3, 363.0, 462.5, 671.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 110.3, 172.9, 314.8, 568.8, 1110.3", \ + " 86.9, 110.3, 172.9, 314.8, 568.7, 1110.3", \ + " 87.0, 110.4, 172.9, 314.8, 568.7, 1110.2", \ + " 87.2, 110.5, 173.0, 314.8, 568.7, 1110.2", \ + " 88.6, 111.6, 173.6, 315.0, 568.6, 1110.0", \ + " 91.4, 114.0, 175.3, 315.9, 568.9, 1109.9", \ + " 95.3, 117.5, 178.0, 317.4, 569.6, 1110.0", \ + " 100.9, 122.6, 181.7, 319.8, 570.8, 1110.4", \ + " 109.3, 130.5, 188.0, 323.6, 572.9, 1111.0", \ + " 121.9, 142.7, 198.5, 330.6, 577.0, 1112.6" ); }} +timing() { /* ring osc delay cgi2abv0x2, path a to z 120.7 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.4 ; */ +/* intrinsic_fall : 110.2 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.3, 111.1, 146.5, 224.6, 362.4, 654.6", \ + " 104.4, 118.1, 153.6, 231.7, 369.5, 661.8", \ + " 109.7, 123.4, 158.9, 237.1, 374.9, 667.2", \ + " 116.0, 129.8, 165.3, 243.5, 381.4, 673.6", \ + " 124.5, 138.3, 173.8, 252.0, 390.0, 682.3", \ + " 132.9, 146.7, 182.3, 260.6, 398.6, 690.9", \ + " 141.3, 155.3, 190.9, 269.3, 407.3, 699.7", \ + " 149.2, 163.4, 199.1, 277.5, 415.6, 708.0", \ + " 155.6, 170.1, 206.3, 284.7, 422.8, 715.2", \ + " 158.6, 173.6, 210.5, 289.4, 427.6, 720.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 110.1, 144.4, 236.6, 445.5, 818.1, 1610.1", \ + " 110.2, 144.4, 236.6, 445.5, 818.1, 1610.1", \ + " 110.2, 144.5, 236.6, 445.5, 818.1, 1610.1", \ + " 110.5, 144.7, 236.7, 445.6, 818.1, 1610.1", \ + " 111.7, 145.6, 237.3, 445.7, 818.1, 1610.1", \ + " 113.7, 147.2, 238.3, 446.2, 818.2, 1610.0", \ + " 116.4, 149.6, 240.0, 446.9, 818.5, 1610.1", \ + " 120.8, 153.4, 242.7, 448.3, 819.0, 1610.3", \ + " 127.6, 159.6, 247.5, 451.2, 820.3, 1610.7", \ + " 138.2, 169.5, 255.8, 456.8, 823.5, 1612.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.7, 93.9, 116.7, 165.0, 249.4, 427.7", \ + " 92.5, 101.7, 124.4, 172.8, 257.2, 435.5", \ + " 98.7, 107.9, 130.7, 179.1, 263.5, 441.8", \ + " 107.0, 116.2, 139.0, 187.5, 271.9, 450.2", \ + " 120.3, 129.6, 152.4, 200.9, 285.3, 463.7", \ + " 136.0, 145.4, 168.5, 217.1, 301.6, 479.9", \ + " 155.6, 165.3, 188.7, 237.6, 322.1, 500.4", \ + " 180.2, 190.2, 214.1, 263.3, 347.9, 526.2", \ + " 212.2, 222.6, 247.2, 297.0, 381.7, 560.1", \ + " 254.2, 265.4, 291.2, 342.0, 427.0, 605.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.5, 86.8, 139.5, 260.2, 477.1, 940.5", \ + " 67.5, 86.8, 139.5, 260.2, 477.1, 940.5", \ + " 67.6, 86.9, 139.5, 260.2, 477.1, 940.5", \ + " 67.9, 87.2, 139.7, 260.3, 477.2, 940.5", \ + " 69.7, 88.6, 140.5, 260.6, 477.3, 940.6", \ + " 73.0, 91.5, 142.6, 261.8, 477.8, 940.6", \ + " 77.5, 95.7, 145.9, 263.8, 478.8, 941.0", \ + " 83.6, 101.4, 150.4, 266.6, 480.3, 941.5", \ + " 92.7, 110.1, 157.7, 271.2, 482.9, 942.5", \ + " 106.0, 123.1, 169.3, 279.6, 487.8, 944.7" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 112.4 ; */ +/* intrinsic_fall : 110.2 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.46 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.1, 109.9, 145.2, 223.2, 360.9, 653.0", \ + " 103.2, 116.9, 152.3, 230.3, 368.0, 660.1", \ + " 108.5, 122.3, 157.7, 235.7, 373.4, 665.6", \ + " 115.0, 128.7, 164.1, 242.2, 380.0, 672.1", \ + " 123.8, 137.6, 173.0, 251.0, 388.9, 681.1", \ + " 132.5, 146.3, 181.8, 260.0, 397.8, 690.1", \ + " 141.3, 155.3, 190.9, 269.1, 407.0, 699.3", \ + " 149.5, 163.8, 199.6, 277.8, 415.8, 708.1", \ + " 156.1, 170.9, 207.2, 285.6, 423.6, 716.0", \ + " 159.1, 174.6, 211.8, 290.9, 429.1, 721.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.8, 136.4, 227.7, 435.9, 807.9, 1599.4", \ + " 102.8, 136.4, 227.7, 435.9, 807.9, 1599.4", \ + " 102.9, 136.5, 227.8, 435.9, 807.9, 1599.4", \ + " 103.2, 136.7, 227.9, 435.9, 807.9, 1599.4", \ + " 104.3, 137.6, 228.3, 436.1, 807.9, 1599.4", \ + " 106.2, 139.1, 229.3, 436.5, 808.1, 1599.4", \ + " 108.8, 141.3, 230.9, 437.3, 808.4, 1599.5", \ + " 113.1, 145.0, 233.4, 438.6, 808.9, 1599.7", \ + " 120.0, 151.2, 238.1, 441.3, 810.1, 1600.1", \ + " 130.6, 161.0, 246.3, 446.8, 813.2, 1601.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.3, 103.3, 130.3, 187.4, 286.4, 494.9", \ + " 100.1, 111.0, 138.0, 195.2, 294.2, 502.7", \ + " 106.3, 117.3, 144.3, 201.5, 300.5, 509.0", \ + " 114.5, 125.5, 152.5, 209.7, 308.8, 517.4", \ + " 127.6, 138.6, 165.7, 223.0, 322.1, 530.6", \ + " 143.2, 154.4, 181.6, 239.0, 338.1, 546.7", \ + " 162.8, 174.1, 201.6, 259.2, 358.3, 566.9", \ + " 187.6, 199.1, 226.9, 284.6, 383.9, 592.4", \ + " 220.0, 231.8, 260.1, 318.1, 417.4, 626.0", \ + " 262.8, 275.1, 304.3, 363.0, 462.5, 671.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 110.3, 172.9, 314.8, 568.8, 1110.3", \ + " 86.9, 110.3, 172.9, 314.8, 568.7, 1110.3", \ + " 87.0, 110.4, 172.9, 314.8, 568.7, 1110.2", \ + " 87.2, 110.5, 173.0, 314.8, 568.7, 1110.2", \ + " 88.6, 111.6, 173.6, 315.0, 568.6, 1110.0", \ + " 91.4, 114.0, 175.3, 315.9, 568.9, 1109.9", \ + " 95.3, 117.5, 178.0, 317.4, 569.6, 1110.0", \ + " 100.9, 122.6, 181.7, 319.8, 570.8, 1110.4", \ + " 109.3, 130.5, 188.0, 323.6, 572.9, 1111.0", \ + " 121.9, 142.7, 198.5, 330.6, 577.0, 1112.6" ); }} +timing() { /* ring osc delay cgi2abv0x2, path b to z 124.4 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.7 ; */ +/* intrinsic_fall : 107.1 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.47 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.2, 99.4, 135.2, 213.7, 352.1, 645.2", \ + " 92.2, 106.3, 142.1, 220.7, 359.2, 652.3", \ + " 97.3, 111.3, 147.1, 225.8, 364.4, 657.6", \ + " 103.2, 117.2, 153.0, 231.7, 370.4, 663.8", \ + " 110.9, 124.9, 160.6, 239.4, 378.2, 671.7", \ + " 118.2, 132.2, 168.0, 246.9, 385.8, 679.3", \ + " 125.5, 139.6, 175.3, 254.3, 393.3, 686.9", \ + " 131.9, 146.3, 182.2, 261.0, 400.1, 693.9", \ + " 136.4, 151.2, 187.5, 266.4, 405.5, 699.4", \ + " 136.6, 152.2, 189.3, 268.6, 408.0, 702.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.5, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.6, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.6, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.8, 155.4, 247.2, 456.1, 828.9, 1621.0", \ + " 122.9, 156.1, 247.4, 456.1, 828.8, 1621.0", \ + " 124.7, 157.4, 248.1, 456.3, 828.8, 1620.9", \ + " 127.3, 159.7, 249.3, 456.7, 828.9, 1620.9", \ + " 132.1, 163.2, 251.6, 457.6, 829.2, 1621.0", \ + " 140.0, 170.0, 256.2, 460.1, 830.1, 1621.3", \ + " 152.1, 180.7, 264.8, 465.4, 833.0, 1622.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.1, 108.3, 135.6, 193.2, 292.6, 501.4", \ + " 104.7, 115.9, 143.3, 201.0, 300.4, 509.1", \ + " 110.7, 121.9, 149.4, 207.1, 306.6, 515.4", \ + " 118.7, 129.9, 157.4, 215.3, 314.8, 523.7", \ + " 132.0, 143.2, 170.7, 228.6, 328.2, 537.2", \ + " 148.4, 159.7, 187.2, 245.0, 344.7, 553.7", \ + " 168.9, 180.2, 208.0, 266.0, 365.6, 574.6", \ + " 194.8, 206.3, 234.3, 292.3, 392.2, 601.1", \ + " 228.8, 240.7, 269.1, 327.3, 427.0, 636.1", \ + " 273.8, 286.4, 315.9, 374.9, 474.7, 683.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 110.1, 172.6, 314.5, 568.7, 1110.3", \ + " 87.0, 110.2, 172.6, 314.5, 568.7, 1110.3", \ + " 87.0, 110.2, 172.6, 314.5, 568.6, 1110.3", \ + " 87.2, 110.4, 172.7, 314.5, 568.6, 1110.2", \ + " 88.5, 111.4, 173.2, 314.6, 568.5, 1110.1", \ + " 91.7, 114.3, 175.3, 315.6, 568.6, 1109.9", \ + " 96.0, 118.5, 178.7, 317.6, 569.7, 1110.0", \ + " 102.1, 124.1, 183.3, 320.7, 571.3, 1110.7", \ + " 111.1, 132.9, 190.8, 325.8, 574.1, 1111.8", \ + " 124.3, 146.3, 203.4, 335.3, 580.1, 1114.5" ); }} +timing() { /* ring osc delay cgi2abv0x2, path b to z 109.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.7 ; */ +/* intrinsic_fall : 107.1 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.47 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.2, 102.5, 138.8, 217.7, 356.0, 648.5", \ + " 95.0, 109.2, 145.5, 224.6, 363.0, 655.5", \ + " 99.8, 114.0, 150.4, 229.5, 368.0, 660.7", \ + " 105.7, 119.8, 156.0, 235.3, 373.9, 666.6", \ + " 113.8, 127.7, 163.8, 242.9, 381.6, 674.5", \ + " 121.9, 135.7, 171.6, 250.6, 389.3, 682.2", \ + " 130.3, 144.0, 179.6, 258.4, 397.0, 689.9", \ + " 138.6, 152.3, 187.5, 265.9, 404.3, 697.2", \ + " 145.7, 159.6, 194.9, 272.8, 410.9, 703.6", \ + " 149.7, 164.1, 199.9, 278.0, 415.9, 708.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 109.0, 143.4, 235.9, 445.4, 818.2, 1610.2", \ + " 109.0, 143.4, 236.0, 445.4, 818.2, 1610.2", \ + " 109.2, 143.5, 236.0, 445.4, 818.2, 1610.2", \ + " 109.6, 143.8, 236.1, 445.3, 818.1, 1610.2", \ + " 111.5, 145.2, 236.8, 445.4, 818.1, 1610.1", \ + " 114.4, 147.6, 238.1, 446.0, 818.2, 1610.1", \ + " 118.2, 150.9, 240.4, 447.0, 818.6, 1610.2", \ + " 124.1, 156.2, 244.2, 448.9, 819.4, 1610.6", \ + " 132.9, 164.5, 251.1, 453.1, 821.4, 1611.3", \ + " 145.5, 176.9, 262.4, 461.6, 826.7, 1613.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.8, 83.3, 106.4, 154.9, 239.3, 417.5", \ + " 81.6, 91.1, 114.1, 162.7, 247.1, 425.4", \ + " 87.8, 97.3, 120.3, 169.0, 253.4, 431.7", \ + " 96.0, 105.4, 128.5, 177.2, 261.7, 440.0", \ + " 108.7, 118.2, 141.4, 190.2, 274.7, 453.1", \ + " 123.4, 133.1, 156.7, 205.7, 290.3, 468.7", \ + " 142.1, 152.0, 175.9, 225.2, 309.9, 488.4", \ + " 165.6, 176.0, 200.2, 249.9, 334.7, 513.2", \ + " 196.1, 207.2, 232.1, 282.4, 367.3, 545.9", \ + " 236.1, 248.3, 274.6, 325.9, 411.3, 589.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.5, 79.7, 132.4, 253.7, 471.3, 935.3", \ + " 60.6, 79.7, 132.4, 253.7, 471.3, 935.3", \ + " 60.7, 79.8, 132.5, 253.7, 471.3, 935.3", \ + " 61.2, 80.1, 132.6, 253.8, 471.3, 935.3", \ + " 63.5, 81.9, 133.6, 254.1, 471.4, 935.2", \ + " 67.5, 85.3, 135.7, 255.2, 471.8, 935.2", \ + " 72.4, 89.7, 139.1, 256.9, 472.6, 935.5", \ + " 79.3, 96.1, 143.8, 259.7, 473.9, 935.9", \ + " 89.2, 105.5, 151.5, 264.2, 476.3, 936.7", \ + " 103.3, 119.4, 163.9, 272.7, 481.0, 938.7" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 101.7 ; */ +/* intrinsic_fall : 107.1 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.47 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.2, 99.4, 135.2, 213.7, 352.1, 645.2", \ + " 92.2, 106.3, 142.1, 220.7, 359.2, 652.3", \ + " 97.3, 111.3, 147.1, 225.8, 364.4, 657.6", \ + " 103.2, 117.2, 153.0, 231.7, 370.4, 663.8", \ + " 110.9, 124.9, 160.6, 239.4, 378.2, 671.7", \ + " 118.2, 132.2, 168.0, 246.9, 385.8, 679.3", \ + " 125.5, 139.6, 175.3, 254.3, 393.3, 686.9", \ + " 131.9, 146.3, 182.2, 261.0, 400.1, 693.9", \ + " 136.4, 151.2, 187.5, 266.4, 405.5, 699.4", \ + " 136.6, 152.2, 189.3, 268.6, 408.0, 702.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.5, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.6, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.6, 155.3, 247.2, 456.2, 828.9, 1621.0", \ + " 121.8, 155.4, 247.2, 456.1, 828.9, 1621.0", \ + " 122.9, 156.1, 247.4, 456.1, 828.8, 1621.0", \ + " 124.7, 157.4, 248.1, 456.3, 828.8, 1620.9", \ + " 127.3, 159.7, 249.3, 456.7, 828.9, 1620.9", \ + " 132.1, 163.2, 251.6, 457.6, 829.2, 1621.0", \ + " 140.0, 170.0, 256.2, 460.1, 830.1, 1621.3", \ + " 152.1, 180.7, 264.8, 465.4, 833.0, 1622.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.1, 108.3, 135.6, 193.2, 292.6, 501.4", \ + " 104.7, 115.9, 143.3, 201.0, 300.4, 509.1", \ + " 110.7, 121.9, 149.4, 207.1, 306.6, 515.4", \ + " 118.7, 129.9, 157.4, 215.3, 314.8, 523.7", \ + " 132.0, 143.2, 170.7, 228.6, 328.2, 537.2", \ + " 148.4, 159.7, 187.2, 245.0, 344.7, 553.7", \ + " 168.9, 180.2, 208.0, 266.0, 365.6, 574.6", \ + " 194.8, 206.3, 234.3, 292.3, 392.2, 601.1", \ + " 228.8, 240.7, 269.1, 327.3, 427.0, 636.1", \ + " 273.8, 286.4, 315.9, 374.9, 474.7, 683.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 110.1, 172.6, 314.5, 568.7, 1110.3", \ + " 87.0, 110.2, 172.6, 314.5, 568.7, 1110.3", \ + " 87.0, 110.2, 172.6, 314.5, 568.6, 1110.3", \ + " 87.2, 110.4, 172.7, 314.5, 568.6, 1110.2", \ + " 88.5, 111.4, 173.2, 314.6, 568.5, 1110.1", \ + " 91.7, 114.3, 175.3, 315.6, 568.6, 1109.9", \ + " 96.0, 118.5, 178.7, 317.6, 569.7, 1110.0", \ + " 102.1, 124.1, 183.3, 320.7, 571.3, 1110.7", \ + " 111.1, 132.9, 190.8, 325.8, 574.1, 1111.8", \ + " 124.3, 146.3, 203.4, 335.3, 580.1, 1114.5" ); }} +timing() { /* ring osc delay cgi2abv0x2, path c to z 67.4 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.3 ; */ +/* intrinsic_fall : 45.7 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 51.5, 87.7, 166.9, 306.3, 600.9", \ + " 43.2, 56.9, 93.1, 172.7, 312.4, 607.3", \ + " 49.0, 62.2, 97.9, 177.4, 317.2, 612.2", \ + " 56.2, 70.0, 104.9, 184.0, 323.8, 618.7", \ + " 66.4, 82.6, 118.2, 196.4, 335.6, 630.5", \ + " 79.4, 97.4, 137.9, 215.1, 353.4, 647.6", \ + " 96.8, 117.0, 162.8, 244.8, 381.2, 674.1", \ + " 120.1, 142.8, 194.1, 287.3, 424.1, 714.4", \ + " 151.9, 177.8, 235.6, 340.8, 491.1, 777.4", \ + " 196.1, 225.8, 291.8, 410.4, 581.8, 876.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 135.6, 171.1, 266.6, 483.2, 869.3, 1690.9", \ + " 139.0, 172.6, 266.1, 483.0, 869.3, 1690.9", \ + " 144.9, 177.5, 268.7, 482.6, 869.3, 1690.9", \ + " 153.8, 185.5, 274.4, 484.4, 869.1, 1690.9", \ + " 170.7, 201.3, 287.1, 492.1, 870.3, 1690.9", \ + " 196.5, 225.9, 308.9, 507.9, 878.9, 1690.8", \ + " 236.5, 265.1, 344.9, 537.2, 899.2, 1697.8", \ + " 280.8, 316.7, 400.8, 586.8, 937.7, 1720.7", \ + " 346.0, 384.0, 479.9, 667.4, 1006.9, 1769.6", \ + " 442.1, 482.3, 584.8, 793.3, 1124.3, 1862.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 41.8, 64.1, 112.3, 196.6, 374.9", \ + " 39.4, 48.1, 70.5, 118.9, 203.4, 381.8", \ + " 44.7, 53.1, 75.3, 123.7, 208.3, 386.7", \ + " 49.7, 59.6, 81.8, 130.2, 214.8, 393.2", \ + " 55.5, 67.4, 93.4, 141.7, 226.2, 404.6", \ + " 60.6, 74.5, 105.4, 158.6, 242.8, 421.1", \ + " 64.5, 80.9, 117.3, 180.7, 268.1, 446.0", \ + " 66.2, 85.4, 128.0, 202.9, 304.6, 483.0", \ + " 63.8, 86.3, 136.4, 224.4, 345.3, 539.5", \ + " 54.0, 80.5, 139.6, 243.5, 386.3, 617.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.5, 83.6, 140.2, 267.6, 494.4, 976.5", \ + " 65.8, 85.8, 140.6, 267.4, 494.4, 976.5", \ + " 71.4, 90.8, 144.1, 268.2, 494.3, 976.5", \ + " 80.3, 99.2, 151.0, 272.3, 494.8, 976.5", \ + " 98.4, 116.4, 166.2, 283.5, 500.7, 976.5", \ + " 118.1, 140.8, 191.3, 304.5, 515.4, 981.8", \ + " 147.7, 172.3, 230.3, 341.5, 545.1, 999.6", \ + " 190.1, 217.1, 280.3, 400.0, 597.4, 1037.6", \ + " 251.0, 281.7, 351.1, 483.2, 683.6, 1109.3", \ + " 338.4, 374.1, 453.0, 597.7, 817.1, 1234.6" ); }} +timing() { /* ring osc delay cgi2abv0x2, path c to z 62.8 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.3 ; */ +/* intrinsic_fall : 45.7 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 57.0, 92.7, 171.0, 308.8, 601.0", \ + " 48.7, 62.4, 98.2, 176.9, 315.0, 607.4", \ + " 54.3, 67.6, 103.0, 181.6, 319.8, 612.3", \ + " 62.2, 75.2, 110.0, 188.2, 326.4, 618.9", \ + " 73.2, 88.5, 123.2, 200.5, 338.3, 630.6", \ + " 86.7, 103.9, 143.0, 219.2, 356.0, 647.7", \ + " 104.6, 124.0, 168.3, 248.7, 383.8, 674.3", \ + " 128.2, 150.0, 199.8, 291.3, 426.6, 714.6", \ + " 160.0, 185.0, 241.2, 344.7, 493.4, 777.5", \ + " 203.3, 232.4, 297.0, 413.8, 583.6, 876.6" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.6, 137.8, 234.6, 452.3, 839.3, 1661.6", \ + " 103.9, 138.7, 233.9, 452.1, 839.3, 1661.6", \ + " 109.2, 143.0, 236.1, 451.8, 839.3, 1661.6", \ + " 117.6, 150.5, 241.4, 453.2, 839.1, 1661.6", \ + " 134.1, 165.7, 253.6, 460.6, 840.1, 1661.6", \ + " 160.9, 190.0, 274.6, 475.8, 848.3, 1661.4", \ + " 191.1, 229.1, 310.2, 504.6, 868.2, 1668.1", \ + " 231.8, 272.3, 366.1, 553.6, 906.3, 1690.7", \ + " 292.6, 335.4, 438.9, 633.6, 974.8, 1739.2", \ + " 382.7, 428.7, 539.5, 756.5, 1091.6, 1831.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.4, 35.0, 57.4, 105.8, 190.1, 368.2", \ + " 32.7, 41.2, 63.7, 112.4, 196.8, 375.0", \ + " 36.6, 46.2, 68.5, 117.2, 201.7, 379.9", \ + " 40.1, 51.4, 75.0, 123.6, 208.1, 386.4", \ + " 44.2, 57.6, 85.9, 135.2, 219.6, 397.8", \ + " 47.9, 63.3, 96.6, 152.1, 236.1, 414.2", \ + " 50.5, 68.3, 107.0, 172.8, 261.5, 439.1", \ + " 50.8, 71.2, 116.1, 193.5, 297.3, 476.2", \ + " 46.5, 70.3, 122.6, 213.4, 336.5, 532.7", \ + " 34.5, 62.3, 123.8, 230.6, 375.9, 609.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.1, 80.3, 137.0, 264.4, 491.1, 973.1", \ + " 64.1, 83.7, 138.1, 264.3, 491.1, 973.1", \ + " 70.7, 89.7, 142.3, 265.6, 491.1, 973.1", \ + " 81.0, 99.1, 149.9, 270.2, 491.9, 973.1", \ + " 99.8, 117.9, 166.3, 282.2, 498.4, 973.2", \ + " 121.2, 142.9, 192.6, 304.2, 513.8, 979.0", \ + " 152.9, 176.1, 232.5, 342.3, 544.4, 997.5", \ + " 197.9, 223.1, 283.7, 402.0, 597.7, 1036.2", \ + " 261.6, 290.1, 356.4, 485.9, 684.9, 1108.8", \ + " 352.5, 385.5, 460.6, 601.7, 819.2, 1235.1" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.3 ; */ +/* intrinsic_fall : 45.7 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 51.5, 87.7, 166.9, 306.3, 600.9", \ + " 43.2, 56.9, 93.1, 172.7, 312.4, 607.3", \ + " 49.0, 62.2, 97.9, 177.4, 317.2, 612.2", \ + " 56.2, 70.0, 104.9, 184.0, 323.8, 618.7", \ + " 66.4, 82.6, 118.2, 196.4, 335.6, 630.5", \ + " 79.4, 97.4, 137.9, 215.1, 353.4, 647.6", \ + " 96.8, 117.0, 162.8, 244.8, 381.2, 674.1", \ + " 120.1, 142.8, 194.1, 287.3, 424.1, 714.4", \ + " 151.9, 177.8, 235.6, 340.8, 491.1, 777.4", \ + " 196.1, 225.8, 291.8, 410.4, 581.8, 876.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 135.6, 171.1, 266.6, 483.2, 869.3, 1690.9", \ + " 139.0, 172.6, 266.1, 483.0, 869.3, 1690.9", \ + " 144.9, 177.5, 268.7, 482.6, 869.3, 1690.9", \ + " 153.8, 185.5, 274.4, 484.4, 869.1, 1690.9", \ + " 170.7, 201.3, 287.1, 492.1, 870.3, 1690.9", \ + " 196.5, 225.9, 308.9, 507.9, 878.9, 1690.8", \ + " 236.5, 265.1, 344.9, 537.2, 899.2, 1697.8", \ + " 280.8, 316.7, 400.8, 586.8, 937.7, 1720.7", \ + " 346.0, 384.0, 479.9, 667.4, 1006.9, 1769.6", \ + " 442.1, 482.3, 584.8, 793.3, 1124.3, 1862.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 41.8, 64.1, 112.3, 196.6, 374.9", \ + " 39.4, 48.1, 70.5, 118.9, 203.4, 381.8", \ + " 44.7, 53.1, 75.3, 123.7, 208.3, 386.7", \ + " 49.7, 59.6, 81.8, 130.2, 214.8, 393.2", \ + " 55.5, 67.4, 93.4, 141.7, 226.2, 404.6", \ + " 60.6, 74.5, 105.4, 158.6, 242.8, 421.1", \ + " 64.5, 80.9, 117.3, 180.7, 268.1, 446.0", \ + " 66.2, 85.4, 128.0, 202.9, 304.6, 483.0", \ + " 63.8, 86.3, 136.4, 224.4, 345.3, 539.5", \ + " 54.0, 80.5, 139.6, 243.5, 386.3, 617.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.5, 83.6, 140.2, 267.6, 494.4, 976.5", \ + " 65.8, 85.8, 140.6, 267.4, 494.4, 976.5", \ + " 71.4, 90.8, 144.1, 268.2, 494.3, 976.5", \ + " 80.3, 99.2, 151.0, 272.3, 494.8, 976.5", \ + " 98.4, 116.4, 166.2, 283.5, 500.7, 976.5", \ + " 118.1, 140.8, 191.3, 304.5, 515.4, 981.8", \ + " 147.7, 172.3, 230.3, 341.5, 545.1, 999.6", \ + " 190.1, 217.1, 280.3, 400.0, 597.4, 1037.6", \ + " 251.0, 281.7, 351.1, 483.2, 683.6, 1109.3", \ + " 338.4, 374.1, 453.0, 597.7, 817.1, 1234.6" ); }} +} +} +cell(cgi2bv0x05) { /* 2008-01-06:07h33 characteristic delay 23.8 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 878 ; /* cgi2bv0x05 */ +cell_footprint : cgi2b ; +pin(a) { /* cgi2bv0x05 FO4 effort 2.78 logical effort 3.64 */ +direction : input ; +capacitance : 4.94 ; +rise_capacitance : 4.94 ; +fall_capacitance : 4.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2bv0x05 */ +internal_power(a) { /* cgi2bv0x05 28.79 nW/MHz */ +power(pwr_intran_x10) { +values( " 21.70, 21.53, 21.48, 21.44, 21.42, 21.46, 21.66, 22.12, 23.09, 24.91" ); }} +} +pin(b) { /* cgi2bv0x05 FO4 effort 2.88 */ +direction : input ; +capacitance : 3.89 ; +rise_capacitance : 3.96 ; +fall_capacitance : 3.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2bv0x05 */ +internal_power(b) { /* cgi2bv0x05 12.09 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.05, 5.89, 5.92, 6.04, 6.39, 7.03, 8.15, 10.00, 12.99, 17.75" ); }} +} +pin(c) { /* cgi2bv0x05 FO4 effort 1.73 logical effort 1.91 */ +direction : input ; +capacitance : 2.59 ; +rise_capacitance : 2.47 ; +fall_capacitance : 2.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2bv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a*b')+(a*c)+(b'*c))'" ; +internal_power(a_z_n) { /* cgi2bv0x05 10.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b_z_p) { /* cgi2bv0x05 23.30 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.54, 5.62, 5.72, 5.78, 5.80", \ + " 5.50, 5.58, 5.69, 5.76, 5.79", \ + " 5.45, 5.53, 5.65, 5.74, 5.78", \ + " 5.40, 5.48, 5.61, 5.71, 5.76", \ + " 5.35, 5.42, 5.55, 5.67, 5.73", \ + " 5.30, 5.37, 5.50, 5.63, 5.70", \ + " 5.27, 5.33, 5.44, 5.57, 5.66", \ + " 5.25, 5.29, 5.39, 5.52, 5.62", \ + " 5.27, 5.29, 5.36, 5.47, 5.57", \ + " 5.35, 5.34, 5.37, 5.45, 5.54" ); }} +internal_power(c_z_n) { /* cgi2bv0x05 7.72 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.82, 3.88, 3.95, 3.99, 4.01", \ + " 3.72, 3.79, 3.89, 3.97, 4.01", \ + " 3.72, 3.77, 3.86, 3.95, 4.00", \ + " 3.77, 3.80, 3.86, 3.94, 4.00", \ + " 3.93, 3.92, 3.92, 3.96, 4.00", \ + " 4.26, 4.19, 4.10, 4.06, 4.05", \ + " 4.85, 4.71, 4.50, 4.32, 4.21", \ + " 5.85, 5.62, 5.24, 4.85, 4.57", \ + " 7.50, 7.16, 6.57, 5.87, 5.31", \ + " 10.16, 9.71, 8.85, 7.73, 6.75" ); }} +timing() { /* ring osc delay cgi2bv0x05, path a to z 98.7 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 59.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 76.1, 112.9, 194.8, 339.9, 647.8", \ + " 69.6, 83.8, 120.7, 202.8, 348.0, 656.0", \ + " 75.1, 89.3, 126.3, 208.4, 353.7, 661.7", \ + " 82.1, 96.2, 133.2, 215.3, 360.6, 668.8", \ + " 92.5, 107.6, 144.6, 226.7, 372.0, 680.1", \ + " 103.6, 120.6, 160.4, 242.4, 387.6, 695.7", \ + " 117.5, 136.5, 180.9, 266.1, 411.0, 718.9", \ + " 135.9, 157.2, 206.5, 300.0, 445.9, 753.2", \ + " 162.2, 186.2, 241.0, 343.9, 499.7, 805.7", \ + " 199.9, 227.2, 289.0, 402.8, 573.6, 887.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 113.9, 152.1, 255.1, 489.1, 906.3, 1793.7", \ + " 114.3, 152.4, 255.3, 489.1, 906.3, 1793.7", \ + " 116.0, 153.3, 255.6, 489.2, 906.3, 1793.7", \ + " 120.4, 157.2, 257.9, 489.6, 906.4, 1793.7", \ + " 130.1, 165.9, 264.9, 493.7, 907.0, 1793.7", \ + " 148.0, 181.9, 278.3, 503.5, 912.4, 1793.9", \ + " 178.9, 212.6, 303.8, 523.5, 926.3, 1799.3", \ + " 222.7, 258.7, 350.1, 560.6, 954.3, 1815.8", \ + " 291.1, 327.8, 423.4, 627.2, 1007.7, 1852.5", \ + " 393.8, 431.6, 529.8, 740.2, 1103.9, 1925.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 61.7, 90.1, 151.0, 257.1, 480.5", \ + " 54.2, 65.5, 93.9, 154.9, 260.9, 484.3", \ + " 57.0, 68.4, 96.9, 157.9, 263.9, 487.3", \ + " 61.0, 72.4, 100.9, 162.1, 268.1, 491.6", \ + " 66.2, 78.8, 108.3, 169.5, 275.7, 499.2", \ + " 72.0, 85.7, 117.9, 180.6, 286.8, 510.2", \ + " 77.8, 93.3, 129.0, 196.8, 303.7, 527.1", \ + " 82.4, 100.4, 141.0, 215.9, 329.1, 552.2", \ + " 84.6, 105.5, 152.6, 237.5, 361.8, 590.6", \ + " 82.1, 106.4, 161.6, 260.1, 399.9, 647.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.5, 122.4, 194.6, 357.5, 647.6, 1264.5", \ + " 95.7, 122.2, 193.7, 356.3, 646.5, 1263.5", \ + " 98.6, 124.7, 195.4, 356.6, 646.2, 1263.1", \ + " 104.3, 129.7, 199.1, 358.8, 646.5, 1262.8", \ + " 117.7, 141.7, 208.8, 365.2, 649.8, 1262.8", \ + " 139.3, 163.6, 227.0, 378.6, 658.4, 1266.1", \ + " 169.8, 195.7, 260.2, 404.9, 677.2, 1276.3", \ + " 213.9, 241.3, 309.4, 451.4, 713.4, 1299.6", \ + " 277.8, 307.4, 379.7, 527.9, 779.5, 1347.4", \ + " 369.9, 403.1, 481.7, 638.7, 893.3, 1438.0" ); }} +timing() { /* ring osc delay cgi2bv0x05, path a to z 97.6 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 59.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.2, 77.4, 114.4, 196.6, 341.8, 649.9", \ + " 69.9, 84.3, 121.5, 203.8, 349.1, 657.2", \ + " 74.6, 89.0, 126.2, 208.6, 354.0, 662.2", \ + " 80.7, 95.1, 132.3, 214.7, 360.2, 668.4", \ + " 90.6, 105.7, 143.0, 225.3, 370.8, 679.0", \ + " 101.9, 118.7, 158.6, 240.7, 386.0, 694.2", \ + " 117.3, 135.8, 179.6, 264.6, 409.4, 717.3", \ + " 138.3, 158.6, 206.6, 299.1, 444.7, 751.8", \ + " 167.5, 190.3, 243.2, 344.3, 499.1, 804.7", \ + " 207.7, 233.8, 293.5, 405.0, 574.1, 887.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 122.2, 160.9, 264.9, 499.8, 917.8, 1805.7", \ + " 122.4, 161.0, 264.7, 499.5, 917.4, 1805.3", \ + " 125.2, 163.0, 265.4, 499.5, 917.3, 1805.2", \ + " 130.2, 167.4, 268.7, 500.4, 917.3, 1805.2", \ + " 141.2, 177.5, 276.8, 505.6, 918.5, 1805.1", \ + " 161.2, 195.6, 292.0, 516.5, 924.8, 1805.4", \ + " 195.1, 229.1, 320.1, 538.2, 939.7, 1811.7", \ + " 241.3, 277.5, 368.4, 577.3, 969.1, 1829.2", \ + " 308.5, 346.2, 442.2, 645.2, 1024.0, 1866.9", \ + " 403.7, 444.1, 545.6, 757.6, 1121.2, 1940.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.5, 59.0, 86.2, 145.9, 251.0, 473.7", \ + " 53.9, 64.5, 91.9, 151.7, 256.9, 479.6", \ + " 57.3, 68.0, 95.4, 155.4, 260.6, 483.3", \ + " 61.4, 72.4, 99.9, 159.9, 265.2, 488.0", \ + " 66.4, 78.6, 107.6, 167.7, 273.0, 495.9", \ + " 71.3, 85.0, 116.9, 178.9, 284.2, 507.1", \ + " 75.7, 91.5, 127.3, 194.9, 301.3, 524.0", \ + " 78.4, 96.8, 138.2, 213.4, 326.6, 549.2", \ + " 78.4, 99.9, 148.2, 234.2, 358.9, 587.6", \ + " 73.6, 98.6, 155.2, 255.4, 396.2, 644.4" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.8, 106.6, 178.6, 341.4, 631.4, 1248.1", \ + " 80.8, 107.2, 178.7, 341.4, 631.4, 1248.1", \ + " 83.9, 109.8, 180.4, 341.7, 631.4, 1248.1", \ + " 89.4, 114.7, 184.1, 343.9, 631.7, 1248.1", \ + " 102.7, 126.4, 193.4, 350.1, 635.0, 1248.2", \ + " 123.4, 148.0, 211.2, 363.2, 643.4, 1251.4", \ + " 153.2, 179.1, 244.3, 389.0, 661.9, 1261.4", \ + " 197.0, 224.4, 292.8, 435.4, 697.6, 1284.4", \ + " 262.6, 291.5, 363.4, 511.8, 763.4, 1331.7", \ + " 359.2, 390.7, 467.1, 622.8, 877.6, 1421.9" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 59.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 76.1, 112.9, 194.8, 339.9, 647.8", \ + " 69.6, 83.8, 120.7, 202.8, 348.0, 656.0", \ + " 75.1, 89.3, 126.3, 208.4, 353.7, 661.7", \ + " 82.1, 96.2, 133.2, 215.3, 360.6, 668.8", \ + " 92.5, 107.6, 144.6, 226.7, 372.0, 680.1", \ + " 103.6, 120.6, 160.4, 242.4, 387.6, 695.7", \ + " 117.5, 136.5, 180.9, 266.1, 411.0, 718.9", \ + " 135.9, 157.2, 206.5, 300.0, 445.9, 753.2", \ + " 162.2, 186.2, 241.0, 343.9, 499.7, 805.7", \ + " 199.9, 227.2, 289.0, 402.8, 573.6, 887.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 113.9, 152.1, 255.1, 489.1, 906.3, 1793.7", \ + " 114.3, 152.4, 255.3, 489.1, 906.3, 1793.7", \ + " 116.0, 153.3, 255.6, 489.2, 906.3, 1793.7", \ + " 120.4, 157.2, 257.9, 489.6, 906.4, 1793.7", \ + " 130.1, 165.9, 264.9, 493.7, 907.0, 1793.7", \ + " 148.0, 181.9, 278.3, 503.5, 912.4, 1793.9", \ + " 178.9, 212.6, 303.8, 523.5, 926.3, 1799.3", \ + " 222.7, 258.7, 350.1, 560.6, 954.3, 1815.8", \ + " 291.1, 327.8, 423.4, 627.2, 1007.7, 1852.5", \ + " 393.8, 431.6, 529.8, 740.2, 1103.9, 1925.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.4, 61.7, 90.1, 151.0, 257.1, 480.5", \ + " 54.2, 65.5, 93.9, 154.9, 260.9, 484.3", \ + " 57.0, 68.4, 96.9, 157.9, 263.9, 487.3", \ + " 61.0, 72.4, 100.9, 162.1, 268.1, 491.6", \ + " 66.2, 78.8, 108.3, 169.5, 275.7, 499.2", \ + " 72.0, 85.7, 117.9, 180.6, 286.8, 510.2", \ + " 77.8, 93.3, 129.0, 196.8, 303.7, 527.1", \ + " 82.4, 100.4, 141.0, 215.9, 329.1, 552.2", \ + " 84.6, 105.5, 152.6, 237.5, 361.8, 590.6", \ + " 82.1, 106.4, 161.6, 260.1, 399.9, 647.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.5, 122.4, 194.6, 357.5, 647.6, 1264.5", \ + " 95.7, 122.2, 193.7, 356.3, 646.5, 1263.5", \ + " 98.6, 124.7, 195.4, 356.6, 646.2, 1263.1", \ + " 104.3, 129.7, 199.1, 358.8, 646.5, 1262.8", \ + " 117.7, 141.7, 208.8, 365.2, 649.8, 1262.8", \ + " 139.3, 163.6, 227.0, 378.6, 658.4, 1266.1", \ + " 169.8, 195.7, 260.2, 404.9, 677.2, 1276.3", \ + " 213.9, 241.3, 309.4, 451.4, 713.4, 1299.6", \ + " 277.8, 307.4, 379.7, 527.9, 779.5, 1347.4", \ + " 369.9, 403.1, 481.7, 638.7, 893.3, 1438.0" ); }} +timing() { /* ring osc delay cgi2bv0x05, path b to z 124.5 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.3 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 101.7, 139.6, 222.3, 367.9, 676.2", \ + " 93.3, 108.1, 146.1, 229.1, 374.7, 683.1", \ + " 97.8, 112.6, 150.6, 233.7, 379.5, 688.0", \ + " 102.8, 117.6, 155.7, 238.8, 384.7, 693.2", \ + " 109.4, 124.1, 162.0, 245.3, 391.2, 699.9", \ + " 115.7, 130.3, 168.3, 251.5, 397.5, 706.2", \ + " 122.2, 136.7, 174.4, 257.6, 403.6, 712.3", \ + " 128.1, 142.6, 180.1, 263.0, 409.2, 717.9", \ + " 132.5, 147.2, 184.8, 267.6, 413.6, 722.3", \ + " 133.1, 148.3, 186.4, 269.8, 415.9, 724.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 115.1, 151.6, 249.4, 470.5, 863.8, 1699.2", \ + " 115.1, 151.6, 249.4, 470.5, 863.8, 1699.2", \ + " 115.3, 151.6, 249.4, 470.5, 863.8, 1699.1", \ + " 115.7, 151.9, 249.5, 470.4, 863.7, 1699.1", \ + " 117.3, 153.1, 250.1, 470.6, 863.7, 1699.0", \ + " 119.6, 154.9, 251.2, 471.1, 863.9, 1699.0", \ + " 122.6, 157.5, 252.9, 471.8, 864.3, 1699.2", \ + " 128.0, 162.1, 256.1, 473.5, 865.0, 1699.5", \ + " 136.1, 169.9, 262.2, 477.2, 866.9, 1700.3", \ + " 148.0, 181.5, 273.0, 485.1, 872.0, 1703.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.1, 87.4, 115.3, 175.3, 280.5, 503.1", \ + " 83.8, 95.0, 123.0, 183.1, 288.3, 510.9", \ + " 89.9, 101.1, 129.1, 189.3, 294.6, 517.2", \ + " 97.6, 108.8, 136.9, 197.2, 302.5, 525.2", \ + " 109.1, 120.4, 148.6, 209.0, 314.5, 537.3", \ + " 122.4, 133.9, 162.5, 223.1, 328.6, 551.4", \ + " 139.6, 151.2, 180.0, 241.0, 346.6, 569.5", \ + " 161.4, 173.4, 202.5, 263.6, 369.5, 592.5", \ + " 190.0, 202.5, 232.3, 293.9, 399.8, 623.0", \ + " 228.0, 241.3, 272.1, 334.7, 441.0, 664.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.0, 95.6, 162.8, 315.6, 588.4, 1168.7", \ + " 71.1, 95.7, 162.8, 315.6, 588.4, 1168.7", \ + " 71.2, 95.7, 162.8, 315.6, 588.4, 1168.7", \ + " 71.6, 96.0, 162.9, 315.6, 588.4, 1168.7", \ + " 73.4, 97.4, 163.6, 315.8, 588.4, 1168.7", \ + " 76.3, 99.6, 165.0, 316.5, 588.7, 1168.7", \ + " 79.6, 102.5, 167.1, 317.5, 589.1, 1168.8", \ + " 85.1, 107.2, 170.1, 319.2, 589.8, 1169.1", \ + " 93.4, 114.8, 175.7, 322.2, 591.3, 1169.5", \ + " 105.5, 126.6, 185.5, 328.3, 594.6, 1170.8" ); }} +timing() { /* ring osc delay cgi2bv0x05, path b to z 134.7 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.3 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.1, 99.7, 137.1, 219.5, 365.3, 674.3", \ + " 91.8, 106.4, 143.9, 226.4, 372.3, 681.3", \ + " 96.5, 111.1, 148.6, 231.3, 377.3, 686.4", \ + " 101.7, 116.3, 153.8, 236.6, 382.7, 691.9", \ + " 108.2, 122.7, 160.2, 243.1, 389.3, 698.6", \ + " 114.2, 128.7, 166.3, 249.3, 395.6, 705.0", \ + " 120.1, 134.7, 172.1, 255.3, 401.7, 711.2", \ + " 124.8, 139.7, 177.2, 260.3, 406.9, 716.5", \ + " 127.2, 142.4, 180.4, 263.6, 410.3, 720.1", \ + " 124.8, 140.7, 179.5, 263.3, 410.5, 720.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 126.8, 162.5, 259.6, 480.2, 873.3, 1708.7", \ + " 126.9, 162.6, 259.6, 480.1, 873.3, 1708.7", \ + " 126.9, 162.6, 259.6, 480.1, 873.3, 1708.7", \ + " 127.1, 162.7, 259.6, 480.1, 873.3, 1708.6", \ + " 127.9, 163.2, 259.8, 480.0, 873.2, 1708.6", \ + " 129.2, 164.1, 260.2, 480.2, 873.3, 1708.6", \ + " 130.9, 165.6, 261.0, 480.5, 873.3, 1708.6", \ + " 134.5, 168.3, 262.7, 481.2, 873.5, 1708.7", \ + " 140.9, 173.7, 266.4, 483.2, 874.4, 1709.0", \ + " 150.8, 182.7, 273.8, 487.7, 877.1, 1710.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 105.4, 134.4, 195.8, 302.1, 525.6", \ + " 101.2, 112.9, 141.9, 203.4, 309.8, 533.4", \ + " 107.1, 118.9, 147.9, 209.5, 315.9, 539.6", \ + " 114.8, 126.6, 155.7, 217.4, 323.9, 547.6", \ + " 127.1, 138.9, 168.0, 229.8, 336.4, 560.2", \ + " 141.8, 153.7, 183.0, 244.8, 351.4, 575.3", \ + " 160.5, 172.4, 201.9, 264.0, 370.7, 594.5", \ + " 184.4, 196.6, 226.2, 288.3, 395.3, 619.1", \ + " 216.2, 228.6, 258.7, 320.9, 427.9, 652.1", \ + " 258.4, 271.5, 302.6, 365.8, 473.0, 697.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.9, 116.9, 184.1, 336.7, 609.4, 1190.0", \ + " 91.9, 116.9, 184.1, 336.7, 609.4, 1189.9", \ + " 92.0, 117.0, 184.1, 336.6, 609.3, 1189.9", \ + " 92.2, 117.1, 184.2, 336.6, 609.2, 1189.7", \ + " 93.5, 118.2, 184.7, 336.7, 609.1, 1189.6", \ + " 96.2, 120.5, 186.5, 337.6, 609.3, 1189.4", \ + " 99.4, 123.6, 189.1, 339.2, 610.1, 1189.6", \ + " 104.5, 128.3, 192.7, 341.6, 611.4, 1190.2", \ + " 112.5, 136.0, 199.2, 345.8, 613.7, 1191.1", \ + " 124.5, 148.2, 210.5, 354.3, 619.2, 1193.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 99.3 ; */ +/* intrinsic_fall : 105.4 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.1, 99.7, 137.1, 219.5, 365.3, 674.3", \ + " 91.8, 106.4, 143.9, 226.4, 372.3, 681.3", \ + " 96.5, 111.1, 148.6, 231.3, 377.3, 686.4", \ + " 101.7, 116.3, 153.8, 236.6, 382.7, 691.9", \ + " 108.2, 122.7, 160.2, 243.1, 389.3, 698.6", \ + " 114.2, 128.7, 166.3, 249.3, 395.6, 705.0", \ + " 120.1, 134.7, 172.1, 255.3, 401.7, 711.2", \ + " 124.8, 139.7, 177.2, 260.3, 406.9, 716.5", \ + " 127.2, 142.4, 180.4, 263.6, 410.3, 720.1", \ + " 124.8, 140.7, 179.5, 263.3, 410.5, 720.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 126.8, 162.5, 259.6, 480.2, 873.3, 1708.7", \ + " 126.9, 162.6, 259.6, 480.1, 873.3, 1708.7", \ + " 126.9, 162.6, 259.6, 480.1, 873.3, 1708.7", \ + " 127.1, 162.7, 259.6, 480.1, 873.3, 1708.6", \ + " 127.9, 163.2, 259.8, 480.0, 873.2, 1708.6", \ + " 129.2, 164.1, 260.2, 480.2, 873.3, 1708.6", \ + " 130.9, 165.6, 261.0, 480.5, 873.3, 1708.6", \ + " 134.5, 168.3, 262.7, 481.2, 873.5, 1708.7", \ + " 140.9, 173.7, 266.4, 483.2, 874.4, 1709.0", \ + " 150.8, 182.7, 273.8, 487.7, 877.1, 1710.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 105.4, 134.4, 195.8, 302.1, 525.6", \ + " 101.2, 112.9, 141.9, 203.4, 309.8, 533.4", \ + " 107.1, 118.9, 147.9, 209.5, 315.9, 539.6", \ + " 114.8, 126.6, 155.7, 217.4, 323.9, 547.6", \ + " 127.1, 138.9, 168.0, 229.8, 336.4, 560.2", \ + " 141.8, 153.7, 183.0, 244.8, 351.4, 575.3", \ + " 160.5, 172.4, 201.9, 264.0, 370.7, 594.5", \ + " 184.4, 196.6, 226.2, 288.3, 395.3, 619.1", \ + " 216.2, 228.6, 258.7, 320.9, 427.9, 652.1", \ + " 258.4, 271.5, 302.6, 365.8, 473.0, 697.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.9, 116.9, 184.1, 336.7, 609.4, 1190.0", \ + " 91.9, 116.9, 184.1, 336.7, 609.4, 1189.9", \ + " 92.0, 117.0, 184.1, 336.6, 609.3, 1189.9", \ + " 92.2, 117.1, 184.2, 336.6, 609.2, 1189.7", \ + " 93.5, 118.2, 184.7, 336.7, 609.1, 1189.6", \ + " 96.2, 120.5, 186.5, 337.6, 609.3, 1189.4", \ + " 99.4, 123.6, 189.1, 339.2, 610.1, 1189.6", \ + " 104.5, 128.3, 192.7, 341.6, 611.4, 1190.2", \ + " 112.5, 136.0, 199.2, 345.8, 613.7, 1191.1", \ + " 124.5, 148.2, 210.5, 354.3, 619.2, 1193.6" ); }} +timing() { /* ring osc delay cgi2bv0x05, path c to z 82.0 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.7 ; */ +/* intrinsic_fall : 52.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 59.5, 96.9, 179.2, 324.5, 632.6", \ + " 50.8, 65.1, 102.6, 185.2, 330.8, 639.0", \ + " 56.2, 70.2, 107.4, 190.0, 335.6, 643.9", \ + " 64.2, 77.6, 114.3, 196.6, 342.2, 650.5", \ + " 75.0, 90.9, 127.2, 208.8, 354.0, 662.2", \ + " 88.0, 106.1, 146.8, 227.1, 371.6, 679.3", \ + " 105.0, 125.4, 171.9, 256.0, 399.0, 705.6", \ + " 126.9, 149.9, 202.5, 298.3, 440.8, 745.3", \ + " 155.7, 182.2, 241.8, 350.9, 506.2, 807.0", \ + " 194.2, 225.0, 293.7, 417.5, 596.0, 904.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.8, 145.2, 247.4, 477.2, 885.4, 1752.7", \ + " 108.7, 145.7, 246.7, 477.0, 885.4, 1752.7", \ + " 114.0, 149.9, 248.5, 476.7, 885.4, 1752.7", \ + " 122.5, 157.3, 253.6, 477.7, 885.2, 1752.7", \ + " 139.6, 172.9, 265.7, 484.6, 885.8, 1752.7", \ + " 167.0, 197.9, 287.1, 499.7, 893.3, 1752.5", \ + " 200.2, 239.6, 323.7, 528.6, 912.8, 1758.0", \ + " 243.1, 285.3, 381.4, 578.6, 950.8, 1779.5", \ + " 307.2, 351.9, 459.4, 661.1, 1020.3, 1827.4", \ + " 401.8, 450.2, 565.6, 790.3, 1140.1, 1920.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 43.4, 71.2, 131.3, 236.3, 458.8", \ + " 38.6, 49.4, 77.5, 137.9, 243.1, 465.7", \ + " 43.7, 54.3, 82.2, 142.7, 248.0, 470.7", \ + " 48.3, 60.9, 88.7, 149.1, 254.5, 477.2", \ + " 54.1, 69.0, 100.6, 160.5, 265.8, 488.6", \ + " 59.8, 76.9, 114.0, 177.3, 282.3, 504.9", \ + " 65.1, 84.8, 127.9, 201.9, 307.6, 529.7", \ + " 69.1, 91.6, 141.5, 228.4, 345.3, 566.7", \ + " 70.2, 96.3, 154.2, 255.7, 394.2, 623.2", \ + " 66.0, 96.5, 164.2, 282.8, 446.1, 709.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 73.2, 99.7, 170.4, 329.8, 613.3, 1215.9", \ + " 76.7, 101.8, 170.6, 329.8, 613.3, 1215.9", \ + " 82.9, 107.0, 173.8, 329.9, 613.3, 1215.9", \ + " 92.6, 115.7, 180.4, 333.1, 613.2, 1215.9", \ + " 112.0, 133.4, 195.4, 343.0, 617.0, 1215.8", \ + " 135.2, 161.2, 220.4, 362.7, 629.4, 1217.8", \ + " 167.2, 195.0, 261.2, 398.2, 656.0, 1231.2", \ + " 212.9, 242.6, 315.1, 455.6, 704.7, 1263.6", \ + " 278.4, 311.2, 389.4, 543.7, 787.9, 1328.0", \ + " 372.0, 409.4, 495.9, 663.4, 921.0, 1445.2" ); }} +timing() { /* ring osc delay cgi2bv0x05, path c to z 87.5 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.7 ; */ +/* intrinsic_fall : 52.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.1, 53.8, 91.6, 174.9, 321.8, 632.3", \ + " 45.0, 59.4, 97.3, 181.0, 328.1, 638.9", \ + " 50.7, 64.5, 102.2, 185.8, 333.0, 643.8", \ + " 58.1, 72.2, 109.1, 192.4, 339.6, 650.4", \ + " 68.2, 84.9, 122.1, 204.6, 351.4, 662.1", \ + " 80.6, 99.5, 141.7, 223.0, 369.0, 679.2", \ + " 97.2, 118.4, 166.4, 252.0, 396.4, 705.4", \ + " 118.7, 142.7, 196.7, 294.4, 438.3, 745.2", \ + " 147.7, 175.1, 236.2, 347.1, 504.0, 806.9", \ + " 187.2, 218.7, 288.7, 414.2, 594.3, 904.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 140.4, 178.1, 279.2, 507.9, 915.4, 1782.0", \ + " 143.4, 179.2, 278.4, 507.7, 915.4, 1782.0", \ + " 149.3, 184.0, 280.8, 507.3, 915.3, 1782.0", \ + " 158.5, 192.0, 286.3, 508.7, 915.2, 1782.0", \ + " 176.1, 208.3, 299.0, 516.0, 915.9, 1782.0", \ + " 203.0, 233.8, 321.1, 531.5, 923.7, 1781.7", \ + " 245.6, 274.6, 358.2, 561.0, 943.6, 1787.6", \ + " 292.5, 329.8, 416.3, 611.7, 982.1, 1809.4", \ + " 361.3, 400.9, 500.3, 694.9, 1052.2, 1857.7", \ + " 462.3, 504.4, 611.2, 826.8, 1172.7, 1951.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.0, 51.8, 79.2, 139.2, 244.4, 467.1", \ + " 47.1, 57.9, 85.6, 145.8, 251.2, 474.0", \ + " 52.0, 62.7, 90.4, 150.6, 256.1, 479.0", \ + " 58.5, 69.4, 96.8, 157.0, 262.6, 485.5", \ + " 66.1, 79.4, 108.5, 168.5, 273.9, 496.9", \ + " 73.2, 88.9, 123.5, 185.2, 290.4, 513.3", \ + " 80.0, 98.2, 139.1, 210.4, 315.7, 538.1", \ + " 85.5, 106.7, 154.3, 238.6, 353.4, 575.0", \ + " 88.7, 113.4, 168.9, 267.7, 403.8, 631.5", \ + " 86.9, 116.0, 181.2, 296.8, 457.4, 717.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.4, 103.8, 174.4, 333.7, 617.3, 1220.0", \ + " 79.1, 104.5, 174.0, 333.6, 617.3, 1220.0", \ + " 84.0, 108.7, 176.5, 333.5, 617.2, 1220.0", \ + " 92.4, 116.3, 182.2, 336.1, 617.1, 1220.0", \ + " 109.5, 132.4, 195.9, 345.2, 620.3, 1220.0", \ + " 132.3, 159.0, 219.6, 363.8, 631.9, 1221.6", \ + " 162.1, 191.4, 258.8, 398.1, 657.6, 1234.3", \ + " 205.2, 236.9, 312.0, 454.2, 705.2, 1265.9", \ + " 267.7, 302.9, 384.4, 541.3, 787.2, 1329.4", \ + " 357.8, 398.1, 488.7, 659.8, 919.2, 1445.5" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.7 ; */ +/* intrinsic_fall : 52.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.1, 53.8, 91.6, 174.9, 321.8, 632.3", \ + " 45.0, 59.4, 97.3, 181.0, 328.1, 638.9", \ + " 50.7, 64.5, 102.2, 185.8, 333.0, 643.8", \ + " 58.1, 72.2, 109.1, 192.4, 339.6, 650.4", \ + " 68.2, 84.9, 122.1, 204.6, 351.4, 662.1", \ + " 80.6, 99.5, 141.7, 223.0, 369.0, 679.2", \ + " 97.2, 118.4, 166.4, 252.0, 396.4, 705.4", \ + " 118.7, 142.7, 196.7, 294.4, 438.3, 745.2", \ + " 147.7, 175.1, 236.2, 347.1, 504.0, 806.9", \ + " 187.2, 218.7, 288.7, 414.2, 594.3, 904.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 140.4, 178.1, 279.2, 507.9, 915.4, 1782.0", \ + " 143.4, 179.2, 278.4, 507.7, 915.4, 1782.0", \ + " 149.3, 184.0, 280.8, 507.3, 915.3, 1782.0", \ + " 158.5, 192.0, 286.3, 508.7, 915.2, 1782.0", \ + " 176.1, 208.3, 299.0, 516.0, 915.9, 1782.0", \ + " 203.0, 233.8, 321.1, 531.5, 923.7, 1781.7", \ + " 245.6, 274.6, 358.2, 561.0, 943.6, 1787.6", \ + " 292.5, 329.8, 416.3, 611.7, 982.1, 1809.4", \ + " 361.3, 400.9, 500.3, 694.9, 1052.2, 1857.7", \ + " 462.3, 504.4, 611.2, 826.8, 1172.7, 1951.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.0, 51.8, 79.2, 139.2, 244.4, 467.1", \ + " 47.1, 57.9, 85.6, 145.8, 251.2, 474.0", \ + " 52.0, 62.7, 90.4, 150.6, 256.1, 479.0", \ + " 58.5, 69.4, 96.8, 157.0, 262.6, 485.5", \ + " 66.1, 79.4, 108.5, 168.5, 273.9, 496.9", \ + " 73.2, 88.9, 123.5, 185.2, 290.4, 513.3", \ + " 80.0, 98.2, 139.1, 210.4, 315.7, 538.1", \ + " 85.5, 106.7, 154.3, 238.6, 353.4, 575.0", \ + " 88.7, 113.4, 168.9, 267.7, 403.8, 631.5", \ + " 86.9, 116.0, 181.2, 296.8, 457.4, 717.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.4, 103.8, 174.4, 333.7, 617.3, 1220.0", \ + " 79.1, 104.5, 174.0, 333.6, 617.3, 1220.0", \ + " 84.0, 108.7, 176.5, 333.5, 617.2, 1220.0", \ + " 92.4, 116.3, 182.2, 336.1, 617.1, 1220.0", \ + " 109.5, 132.4, 195.9, 345.2, 620.3, 1220.0", \ + " 132.3, 159.0, 219.6, 363.8, 631.9, 1221.6", \ + " 162.1, 191.4, 258.8, 398.1, 657.6, 1234.3", \ + " 205.2, 236.9, 312.0, 454.2, 705.2, 1265.9", \ + " 267.7, 302.9, 384.4, 541.3, 787.2, 1329.4", \ + " 357.8, 398.1, 488.7, 659.8, 919.2, 1445.5" ); }} +} +} +cell(cgi2bv0x1) { /* 2008-01-06:07h33 characteristic delay 22.8 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1374 ; /* cgi2bv0x1 */ +cell_footprint : cgi2b ; +pin(a) { /* cgi2bv0x1 FO4 effort 2.65 logical effort 3.51 */ +direction : input ; +capacitance : 8.10 ; +rise_capacitance : 8.11 ; +fall_capacitance : 8.09 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2bv0x1 */ +internal_power(a) { /* cgi2bv0x1 43.45 nW/MHz */ +power(pwr_intran_x10) { +values( " 32.71, 32.44, 32.35, 32.29, 32.27, 32.38, 32.75, 33.62, 35.39, 38.64" ); }} +} +pin(b) { /* cgi2bv0x1 FO4 effort 2.55 */ +direction : input ; +capacitance : 4.91 ; +rise_capacitance : 5.00 ; +fall_capacitance : 4.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2bv0x1 */ +internal_power(b) { /* cgi2bv0x1 17.80 nW/MHz */ +power(pwr_intran_x10) { +values( " 8.97, 8.75, 8.77, 8.90, 9.30, 10.06, 11.43, 13.69, 17.41, 23.38" ); }} +} +pin(c) { /* cgi2bv0x1 FO4 effort 1.64 logical effort 1.82 */ +direction : input ; +capacitance : 4.19 ; +rise_capacitance : 3.99 ; +fall_capacitance : 4.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2bv0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 96 ; +max_fanout : 4 ; +function : "((a*b')+(a*c)+(b'*c))'" ; +internal_power(a_z_n) { /* cgi2bv0x1 16.61 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b_z_p) { /* cgi2bv0x1 35.36 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 8.61, 8.76, 8.96, 9.10, 9.15", \ + " 8.55, 8.70, 8.91, 9.07, 9.13", \ + " 8.48, 8.63, 8.85, 9.03, 9.11", \ + " 8.41, 8.55, 8.78, 8.98, 9.08", \ + " 8.33, 8.46, 8.69, 8.91, 9.03", \ + " 8.28, 8.39, 8.60, 8.83, 8.98", \ + " 8.25, 8.33, 8.51, 8.74, 8.91", \ + " 8.25, 8.31, 8.45, 8.66, 8.83", \ + " 8.34, 8.35, 8.43, 8.60, 8.77", \ + " 8.54, 8.49, 8.48, 8.58, 8.72" ); }} +internal_power(c_z_n) { /* cgi2bv0x1 11.94 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 5.88, 5.99, 6.11, 6.18, 6.20", \ + " 5.73, 5.84, 6.00, 6.14, 6.21", \ + " 5.75, 5.82, 5.96, 6.11, 6.19", \ + " 5.85, 5.88, 5.97, 6.10, 6.18", \ + " 6.15, 6.11, 6.09, 6.14, 6.20", \ + " 6.73, 6.60, 6.43, 6.33, 6.30", \ + " 7.78, 7.53, 7.14, 6.80, 6.59", \ + " 9.53, 9.13, 8.46, 7.75, 7.24", \ + " 12.39, 11.82, 10.77, 9.55, 8.56", \ + " 16.96, 16.21, 14.73, 12.80, 11.09" ); }} +timing() { /* ring osc delay cgi2bv0x1, path a to z 91.8 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.3 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 70.9, 105.9, 183.6, 321.2, 613.2", \ + " 65.0, 78.6, 113.7, 191.6, 329.3, 621.4", \ + " 70.5, 84.0, 119.2, 197.1, 334.8, 627.0", \ + " 77.3, 90.8, 125.9, 203.9, 341.7, 633.8", \ + " 87.1, 101.9, 137.2, 215.1, 352.9, 645.1", \ + " 97.6, 114.2, 152.9, 230.8, 368.5, 660.6", \ + " 111.2, 129.7, 172.7, 254.6, 391.9, 683.8", \ + " 129.6, 150.3, 197.9, 287.9, 427.0, 718.2", \ + " 156.0, 179.3, 232.2, 331.2, 480.8, 771.0", \ + " 193.7, 220.3, 280.1, 389.6, 553.4, 853.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.0, 141.2, 238.9, 460.6, 856.2, 1697.5", \ + " 105.6, 141.5, 239.0, 460.6, 856.2, 1697.5", \ + " 107.6, 142.9, 239.5, 460.7, 856.2, 1697.5", \ + " 112.3, 147.0, 242.2, 461.3, 856.3, 1697.5", \ + " 122.5, 156.2, 249.6, 466.0, 857.3, 1697.6", \ + " 141.6, 173.1, 263.7, 476.4, 863.3, 1697.9", \ + " 172.4, 205.2, 290.4, 497.3, 878.0, 1704.3", \ + " 216.8, 250.9, 338.3, 535.7, 907.2, 1722.0", \ + " 285.4, 320.2, 410.9, 603.9, 962.2, 1760.3", \ + " 386.6, 422.8, 516.4, 716.9, 1060.3, 1835.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 57.3, 83.8, 140.8, 239.8, 448.4", \ + " 50.3, 61.0, 87.6, 144.6, 243.6, 452.1", \ + " 53.1, 63.8, 90.6, 147.6, 246.6, 455.1", \ + " 56.8, 67.8, 94.6, 151.7, 250.8, 459.3", \ + " 61.7, 73.7, 101.9, 159.2, 258.4, 466.9", \ + " 66.8, 80.1, 110.9, 170.2, 269.4, 478.0", \ + " 71.8, 86.9, 121.2, 185.8, 286.4, 494.9", \ + " 75.4, 92.8, 132.1, 203.8, 311.3, 520.0", \ + " 76.2, 96.5, 142.2, 224.0, 342.5, 558.4", \ + " 71.9, 95.6, 149.2, 244.3, 378.3, 613.8" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.3, 112.4, 179.8, 331.8, 602.5, 1178.2", \ + " 87.8, 112.5, 179.1, 330.7, 601.4, 1177.2", \ + " 90.9, 115.1, 180.9, 331.2, 601.1, 1176.8", \ + " 96.8, 120.3, 184.9, 333.5, 601.6, 1176.5", \ + " 110.8, 132.9, 195.0, 340.3, 605.4, 1176.8", \ + " 131.7, 154.9, 213.9, 354.4, 614.5, 1180.6", \ + " 161.9, 186.4, 247.7, 381.7, 634.4, 1191.8", \ + " 205.5, 231.5, 296.0, 429.3, 672.0, 1216.5", \ + " 268.8, 297.1, 365.7, 505.5, 739.9, 1266.4", \ + " 359.9, 391.7, 466.7, 615.2, 855.3, 1360.0" ); }} +timing() { /* ring osc delay cgi2bv0x1, path a to z 90.2 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.3 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 71.9, 107.1, 184.9, 322.6, 614.8", \ + " 65.2, 78.8, 114.1, 192.1, 329.9, 622.0", \ + " 69.9, 83.5, 118.8, 196.9, 334.7, 626.9", \ + " 75.9, 89.6, 124.9, 203.0, 340.9, 633.1", \ + " 85.2, 100.1, 135.5, 213.6, 351.5, 643.7", \ + " 96.1, 112.4, 151.0, 229.0, 366.8, 658.9", \ + " 111.0, 128.9, 171.3, 253.0, 390.2, 682.0", \ + " 131.5, 151.3, 197.7, 286.9, 425.7, 716.6", \ + " 160.2, 182.4, 233.8, 331.2, 479.9, 769.8", \ + " 199.7, 225.2, 283.3, 391.0, 553.5, 852.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.6, 148.3, 246.7, 469.3, 865.4, 1707.3", \ + " 111.9, 148.4, 246.6, 469.0, 865.1, 1706.9", \ + " 114.9, 150.6, 247.5, 469.0, 865.0, 1706.8", \ + " 119.9, 155.1, 250.9, 470.2, 865.0, 1706.7", \ + " 131.1, 165.3, 259.2, 475.6, 866.6, 1706.7", \ + " 151.8, 183.9, 274.7, 487.0, 873.4, 1707.4", \ + " 184.7, 218.1, 303.4, 509.2, 888.9, 1714.4", \ + " 230.6, 265.2, 352.6, 549.2, 919.2, 1732.9", \ + " 297.2, 333.3, 425.1, 618.1, 975.4, 1772.0", \ + " 391.3, 430.1, 527.3, 730.0, 1074.0, 1848.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 53.7, 79.2, 135.1, 233.2, 441.1", \ + " 48.9, 59.0, 84.6, 140.6, 238.9, 446.8", \ + " 52.3, 62.4, 88.1, 144.1, 242.4, 450.4", \ + " 56.0, 66.7, 92.5, 148.6, 247.0, 454.9", \ + " 60.6, 72.4, 100.1, 156.3, 254.8, 462.8", \ + " 65.0, 78.3, 108.8, 167.6, 266.0, 474.0", \ + " 68.7, 84.1, 118.6, 183.1, 283.1, 490.9", \ + " 70.6, 88.6, 128.6, 200.6, 308.1, 516.2", \ + " 69.6, 90.5, 137.3, 220.0, 338.9, 554.8", \ + " 63.5, 87.8, 142.6, 239.2, 374.1, 610.0" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 72.5, 97.4, 164.5, 316.4, 587.0, 1162.5", \ + " 74.0, 98.4, 164.8, 316.4, 587.0, 1162.5", \ + " 77.4, 101.4, 166.9, 317.0, 587.0, 1162.5", \ + " 83.5, 106.7, 171.0, 319.5, 587.6, 1162.5", \ + " 97.8, 119.4, 181.0, 326.4, 591.5, 1162.8", \ + " 118.0, 141.2, 200.0, 340.3, 600.6, 1166.7", \ + " 148.0, 172.4, 233.8, 367.6, 620.3, 1177.8", \ + " 191.8, 217.6, 282.0, 415.5, 657.8, 1202.5", \ + " 256.8, 284.4, 352.1, 491.7, 725.8, 1252.2", \ + " 351.7, 382.0, 454.9, 601.9, 841.7, 1345.7" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.3 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 70.9, 105.9, 183.6, 321.2, 613.2", \ + " 65.0, 78.6, 113.7, 191.6, 329.3, 621.4", \ + " 70.5, 84.0, 119.2, 197.1, 334.8, 627.0", \ + " 77.3, 90.8, 125.9, 203.9, 341.7, 633.8", \ + " 87.1, 101.9, 137.2, 215.1, 352.9, 645.1", \ + " 97.6, 114.2, 152.9, 230.8, 368.5, 660.6", \ + " 111.2, 129.7, 172.7, 254.6, 391.9, 683.8", \ + " 129.6, 150.3, 197.9, 287.9, 427.0, 718.2", \ + " 156.0, 179.3, 232.2, 331.2, 480.8, 771.0", \ + " 193.7, 220.3, 280.1, 389.6, 553.4, 853.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.0, 141.2, 238.9, 460.6, 856.2, 1697.5", \ + " 105.6, 141.5, 239.0, 460.6, 856.2, 1697.5", \ + " 107.6, 142.9, 239.5, 460.7, 856.2, 1697.5", \ + " 112.3, 147.0, 242.2, 461.3, 856.3, 1697.5", \ + " 122.5, 156.2, 249.6, 466.0, 857.3, 1697.6", \ + " 141.6, 173.1, 263.7, 476.4, 863.3, 1697.9", \ + " 172.4, 205.2, 290.4, 497.3, 878.0, 1704.3", \ + " 216.8, 250.9, 338.3, 535.7, 907.2, 1722.0", \ + " 285.4, 320.2, 410.9, 603.9, 962.2, 1760.3", \ + " 386.6, 422.8, 516.4, 716.9, 1060.3, 1835.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 57.3, 83.8, 140.8, 239.8, 448.4", \ + " 50.3, 61.0, 87.6, 144.6, 243.6, 452.1", \ + " 53.1, 63.8, 90.6, 147.6, 246.6, 455.1", \ + " 56.8, 67.8, 94.6, 151.7, 250.8, 459.3", \ + " 61.7, 73.7, 101.9, 159.2, 258.4, 466.9", \ + " 66.8, 80.1, 110.9, 170.2, 269.4, 478.0", \ + " 71.8, 86.9, 121.2, 185.8, 286.4, 494.9", \ + " 75.4, 92.8, 132.1, 203.8, 311.3, 520.0", \ + " 76.2, 96.5, 142.2, 224.0, 342.5, 558.4", \ + " 71.9, 95.6, 149.2, 244.3, 378.3, 613.8" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.3, 112.4, 179.8, 331.8, 602.5, 1178.2", \ + " 87.8, 112.5, 179.1, 330.7, 601.4, 1177.2", \ + " 90.9, 115.1, 180.9, 331.2, 601.1, 1176.8", \ + " 96.8, 120.3, 184.9, 333.5, 601.6, 1176.5", \ + " 110.8, 132.9, 195.0, 340.3, 605.4, 1176.8", \ + " 131.7, 154.9, 213.9, 354.4, 614.5, 1180.6", \ + " 161.9, 186.4, 247.7, 381.7, 634.4, 1191.8", \ + " 205.5, 231.5, 296.0, 429.3, 672.0, 1216.5", \ + " 268.8, 297.1, 365.7, 505.5, 739.9, 1266.4", \ + " 359.9, 391.7, 466.7, 615.2, 855.3, 1360.0" ); }} +timing() { /* ring osc delay cgi2bv0x1, path b to z 123.3 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.7 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.3, 102.5, 138.6, 217.4, 355.6, 648.0", \ + " 94.9, 109.1, 145.3, 224.1, 362.4, 654.9", \ + " 99.8, 114.0, 150.2, 229.1, 367.5, 660.0", \ + " 105.8, 119.9, 156.1, 235.1, 373.5, 666.2", \ + " 114.1, 128.1, 164.1, 243.1, 381.7, 674.4", \ + " 122.5, 136.5, 172.5, 251.3, 389.9, 682.6", \ + " 131.6, 145.4, 181.1, 260.0, 398.4, 691.2", \ + " 140.7, 154.7, 190.2, 268.6, 407.1, 699.8", \ + " 149.5, 163.7, 199.3, 277.5, 415.7, 708.4", \ + " 156.2, 170.9, 207.2, 285.8, 423.9, 716.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.7, 140.0, 232.3, 441.6, 814.4, 1606.4", \ + " 105.8, 140.0, 232.4, 441.6, 814.4, 1606.4", \ + " 106.0, 140.1, 232.4, 441.6, 814.4, 1606.4", \ + " 106.5, 140.5, 232.5, 441.6, 814.3, 1606.3", \ + " 108.4, 142.0, 233.3, 441.7, 814.3, 1606.3", \ + " 111.4, 144.3, 234.7, 442.4, 814.5, 1606.2", \ + " 115.1, 147.7, 237.1, 443.4, 814.9, 1606.4", \ + " 121.0, 152.9, 240.8, 445.4, 815.7, 1606.8", \ + " 129.9, 161.3, 247.6, 449.6, 817.8, 1607.6", \ + " 142.6, 173.8, 259.1, 458.1, 823.1, 1610.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.9, 85.7, 112.2, 168.5, 266.8, 474.6", \ + " 82.5, 93.4, 119.9, 176.3, 274.6, 482.4", \ + " 88.6, 99.4, 126.0, 182.5, 280.8, 488.7", \ + " 96.5, 107.2, 133.9, 190.4, 288.9, 496.8", \ + " 108.3, 119.2, 145.9, 202.6, 301.1, 509.1", \ + " 121.9, 133.0, 160.1, 216.9, 315.5, 523.6", \ + " 139.0, 150.3, 177.7, 234.9, 333.6, 541.7", \ + " 160.4, 172.1, 199.8, 257.3, 356.2, 564.4", \ + " 188.0, 200.2, 228.6, 286.7, 385.6, 594.0", \ + " 223.7, 237.0, 266.6, 325.6, 424.9, 633.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.4, 88.0, 150.3, 292.5, 546.9, 1088.4", \ + " 65.4, 88.1, 150.3, 292.5, 546.9, 1088.4", \ + " 65.6, 88.2, 150.3, 292.5, 546.9, 1088.4", \ + " 66.1, 88.5, 150.5, 292.6, 546.9, 1088.4", \ + " 68.2, 90.1, 151.3, 292.8, 547.0, 1088.4", \ + " 71.7, 93.0, 153.0, 293.7, 547.2, 1088.4", \ + " 76.0, 96.7, 155.8, 295.0, 547.8, 1088.6", \ + " 82.4, 102.4, 159.6, 297.2, 548.7, 1088.9", \ + " 91.8, 111.3, 166.4, 300.9, 550.7, 1089.4", \ + " 105.2, 124.4, 177.8, 308.2, 554.6, 1091.0" ); }} +timing() { /* ring osc delay cgi2bv0x1, path b to z 134.5 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.7 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 101.1, 136.8, 215.3, 353.7, 646.8", \ + " 93.7, 107.8, 143.6, 222.1, 360.6, 653.8", \ + " 98.8, 112.9, 148.7, 227.3, 365.9, 659.1", \ + " 104.9, 119.0, 154.7, 233.5, 372.1, 665.4", \ + " 113.0, 127.0, 162.7, 241.5, 380.3, 673.7", \ + " 120.8, 134.8, 170.7, 249.5, 388.4, 681.9", \ + " 128.9, 143.1, 178.8, 257.8, 396.7, 690.4", \ + " 136.5, 151.0, 187.0, 265.8, 404.9, 698.6", \ + " 142.9, 157.9, 194.4, 273.3, 412.4, 706.3", \ + " 146.3, 162.0, 199.4, 278.8, 418.3, 712.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.4, 153.0, 244.7, 453.7, 826.4, 1618.5", \ + " 119.5, 153.0, 244.7, 453.7, 826.4, 1618.5", \ + " 119.6, 153.1, 244.7, 453.7, 826.4, 1618.5", \ + " 119.8, 153.2, 244.7, 453.6, 826.4, 1618.5", \ + " 120.8, 153.9, 245.0, 453.6, 826.3, 1618.5", \ + " 122.6, 155.2, 245.7, 453.9, 826.3, 1618.4", \ + " 125.1, 157.4, 246.9, 454.3, 826.5, 1618.5", \ + " 129.6, 160.7, 249.1, 455.2, 826.7, 1618.6", \ + " 137.1, 167.1, 253.5, 457.7, 827.7, 1618.9", \ + " 148.6, 177.4, 261.9, 462.8, 830.6, 1620.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.6, 104.8, 132.1, 189.6, 289.0, 497.7", \ + " 101.1, 112.3, 139.7, 197.3, 296.7, 505.4", \ + " 107.0, 118.2, 145.7, 203.4, 302.8, 511.6", \ + " 114.8, 126.0, 153.5, 211.3, 310.8, 519.7", \ + " 127.4, 138.6, 166.1, 224.0, 323.6, 532.6", \ + " 142.6, 153.9, 181.5, 239.4, 339.0, 548.0", \ + " 161.4, 172.9, 200.7, 258.9, 358.5, 567.5", \ + " 185.2, 196.8, 224.8, 283.0, 383.0, 591.9", \ + " 216.0, 228.0, 256.6, 314.9, 414.8, 624.1", \ + " 256.3, 269.0, 298.7, 358.0, 458.0, 667.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.1, 108.3, 170.7, 312.7, 566.9, 1108.6", \ + " 85.1, 108.3, 170.7, 312.7, 566.9, 1108.6", \ + " 85.2, 108.4, 170.7, 312.7, 566.9, 1108.6", \ + " 85.4, 108.5, 170.8, 312.7, 566.8, 1108.5", \ + " 86.8, 109.7, 171.4, 312.8, 566.7, 1108.3", \ + " 89.9, 112.5, 173.5, 313.9, 566.9, 1108.2", \ + " 93.9, 116.3, 176.8, 315.9, 568.0, 1108.4", \ + " 99.7, 121.7, 181.1, 318.9, 569.6, 1109.1", \ + " 108.5, 130.4, 188.5, 323.8, 572.4, 1110.2", \ + " 121.3, 143.6, 201.0, 333.4, 578.5, 1113.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 102.7 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 101.1, 136.8, 215.3, 353.7, 646.8", \ + " 93.7, 107.8, 143.6, 222.1, 360.6, 653.8", \ + " 98.8, 112.9, 148.7, 227.3, 365.9, 659.1", \ + " 104.9, 119.0, 154.7, 233.5, 372.1, 665.4", \ + " 113.0, 127.0, 162.7, 241.5, 380.3, 673.7", \ + " 120.8, 134.8, 170.7, 249.5, 388.4, 681.9", \ + " 128.9, 143.1, 178.8, 257.8, 396.7, 690.4", \ + " 136.5, 151.0, 187.0, 265.8, 404.9, 698.6", \ + " 142.9, 157.9, 194.4, 273.3, 412.4, 706.3", \ + " 146.3, 162.0, 199.4, 278.8, 418.3, 712.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.4, 153.0, 244.7, 453.7, 826.4, 1618.5", \ + " 119.5, 153.0, 244.7, 453.7, 826.4, 1618.5", \ + " 119.6, 153.1, 244.7, 453.7, 826.4, 1618.5", \ + " 119.8, 153.2, 244.7, 453.6, 826.4, 1618.5", \ + " 120.8, 153.9, 245.0, 453.6, 826.3, 1618.5", \ + " 122.6, 155.2, 245.7, 453.9, 826.3, 1618.4", \ + " 125.1, 157.4, 246.9, 454.3, 826.5, 1618.5", \ + " 129.6, 160.7, 249.1, 455.2, 826.7, 1618.6", \ + " 137.1, 167.1, 253.5, 457.7, 827.7, 1618.9", \ + " 148.6, 177.4, 261.9, 462.8, 830.6, 1620.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.6, 104.8, 132.1, 189.6, 289.0, 497.7", \ + " 101.1, 112.3, 139.7, 197.3, 296.7, 505.4", \ + " 107.0, 118.2, 145.7, 203.4, 302.8, 511.6", \ + " 114.8, 126.0, 153.5, 211.3, 310.8, 519.7", \ + " 127.4, 138.6, 166.1, 224.0, 323.6, 532.6", \ + " 142.6, 153.9, 181.5, 239.4, 339.0, 548.0", \ + " 161.4, 172.9, 200.7, 258.9, 358.5, 567.5", \ + " 185.2, 196.8, 224.8, 283.0, 383.0, 591.9", \ + " 216.0, 228.0, 256.6, 314.9, 414.8, 624.1", \ + " 256.3, 269.0, 298.7, 358.0, 458.0, 667.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.1, 108.3, 170.7, 312.7, 566.9, 1108.6", \ + " 85.1, 108.3, 170.7, 312.7, 566.9, 1108.6", \ + " 85.2, 108.4, 170.7, 312.7, 566.9, 1108.6", \ + " 85.4, 108.5, 170.8, 312.7, 566.8, 1108.5", \ + " 86.8, 109.7, 171.4, 312.8, 566.7, 1108.3", \ + " 89.9, 112.5, 173.5, 313.9, 566.9, 1108.2", \ + " 93.9, 116.3, 176.8, 315.9, 568.0, 1108.4", \ + " 99.7, 121.7, 181.1, 318.9, 569.6, 1109.1", \ + " 108.5, 130.4, 188.5, 323.8, 572.4, 1110.2", \ + " 121.3, 143.6, 201.0, 333.4, 578.5, 1113.1" ); }} +timing() { /* ring osc delay cgi2bv0x1, path c to z 75.7 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.6 ; */ +/* intrinsic_fall : 49.3 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 55.2, 90.7, 168.8, 306.6, 598.8", \ + " 47.2, 60.8, 96.5, 174.9, 312.9, 605.2", \ + " 52.7, 66.0, 101.3, 179.7, 317.7, 610.1", \ + " 60.4, 73.5, 108.2, 186.3, 324.3, 616.7", \ + " 70.8, 86.3, 121.3, 198.6, 336.2, 628.4", \ + " 83.5, 101.1, 140.7, 217.0, 353.9, 645.6", \ + " 100.1, 119.9, 165.0, 246.2, 381.4, 671.9", \ + " 121.5, 144.0, 194.9, 287.7, 423.6, 711.9", \ + " 149.8, 175.7, 233.6, 338.9, 489.2, 774.0", \ + " 187.7, 218.0, 284.7, 404.3, 576.6, 871.6" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 98.5, 134.8, 231.6, 449.4, 836.4, 1658.7", \ + " 101.0, 135.8, 230.9, 449.2, 836.4, 1658.7", \ + " 106.5, 140.3, 233.2, 448.9, 836.4, 1658.7", \ + " 115.2, 147.9, 238.6, 450.5, 836.2, 1658.7", \ + " 132.5, 163.8, 251.2, 457.9, 837.3, 1658.7", \ + " 160.9, 189.1, 273.1, 473.6, 845.7, 1658.5", \ + " 190.7, 228.7, 310.0, 503.2, 866.1, 1665.5", \ + " 233.1, 273.7, 368.2, 554.0, 905.2, 1688.5", \ + " 296.5, 339.5, 443.0, 636.9, 976.0, 1738.2", \ + " 389.9, 436.7, 547.7, 764.0, 1096.9, 1833.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 39.5, 65.5, 121.8, 219.9, 427.5", \ + " 35.4, 45.5, 71.8, 128.3, 226.6, 434.4", \ + " 40.1, 50.5, 76.5, 133.1, 231.5, 439.4", \ + " 44.2, 56.6, 83.1, 139.6, 238.0, 445.9", \ + " 49.3, 64.0, 94.7, 151.1, 249.4, 457.3", \ + " 54.3, 71.1, 107.2, 167.9, 265.9, 473.7", \ + " 58.9, 78.0, 119.9, 191.4, 291.2, 498.5", \ + " 61.9, 83.8, 132.2, 216.1, 328.9, 535.6", \ + " 61.7, 87.1, 143.3, 241.2, 374.8, 592.2", \ + " 55.8, 85.5, 151.2, 265.8, 422.9, 676.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.0, 90.7, 156.7, 305.5, 570.1, 1132.4", \ + " 70.2, 93.3, 157.2, 305.4, 570.0, 1132.4", \ + " 76.6, 98.9, 160.8, 305.9, 570.0, 1132.4", \ + " 86.5, 107.9, 167.8, 309.6, 570.1, 1132.4", \ + " 106.4, 126.0, 183.4, 320.3, 574.8, 1132.4", \ + " 127.8, 152.6, 208.8, 340.7, 588.2, 1135.4", \ + " 159.6, 186.0, 249.9, 377.1, 616.1, 1150.4", \ + " 204.9, 233.2, 302.0, 435.1, 666.3, 1184.9", \ + " 269.5, 301.0, 375.4, 521.9, 750.7, 1251.8", \ + " 361.9, 398.0, 480.9, 639.8, 884.8, 1371.9" ); }} +timing() { /* ring osc delay cgi2bv0x1, path c to z 81.1 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.6 ; */ +/* intrinsic_fall : 49.3 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.4, 49.4, 85.5, 164.6, 303.9, 598.5", \ + " 41.5, 55.2, 91.2, 170.6, 310.2, 605.0", \ + " 47.4, 60.4, 96.1, 175.4, 315.1, 609.9", \ + " 54.2, 68.3, 103.0, 182.1, 321.7, 616.6", \ + " 63.9, 80.3, 116.2, 194.4, 333.6, 628.3", \ + " 76.0, 94.5, 135.5, 212.9, 351.2, 645.4", \ + " 92.1, 112.8, 159.4, 242.2, 378.8, 671.8", \ + " 113.2, 136.7, 189.1, 283.7, 421.1, 711.8", \ + " 141.7, 168.5, 227.9, 335.1, 487.0, 773.9", \ + " 180.8, 211.6, 279.6, 401.0, 574.9, 871.6" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 132.5, 168.1, 263.8, 480.5, 866.8, 1688.4", \ + " 136.3, 169.9, 263.3, 480.3, 866.8, 1688.4", \ + " 142.4, 174.9, 266.0, 479.9, 866.7, 1688.4", \ + " 151.7, 183.1, 271.8, 481.8, 866.5, 1688.4", \ + " 169.4, 199.6, 285.0, 489.7, 867.8, 1688.4", \ + " 196.4, 225.3, 307.5, 505.8, 876.5, 1688.2", \ + " 237.1, 266.3, 344.9, 536.1, 897.3, 1695.5", \ + " 283.5, 319.2, 403.1, 587.4, 936.9, 1718.8", \ + " 351.6, 389.4, 484.7, 671.0, 1008.3, 1768.9", \ + " 451.7, 491.9, 594.1, 801.3, 1129.8, 1864.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 47.6, 73.4, 129.4, 227.7, 435.7", \ + " 43.6, 53.8, 79.7, 136.0, 234.5, 442.5", \ + " 48.7, 58.6, 84.5, 140.8, 239.4, 447.4", \ + " 54.6, 65.5, 91.0, 147.3, 245.8, 454.0", \ + " 61.5, 74.5, 102.8, 158.8, 257.2, 465.4", \ + " 68.0, 83.2, 116.8, 175.6, 273.8, 481.7", \ + " 73.9, 91.6, 131.1, 200.1, 299.1, 506.6", \ + " 78.5, 99.1, 145.1, 226.4, 336.9, 543.6", \ + " 80.3, 104.4, 158.1, 253.3, 384.4, 600.2", \ + " 76.9, 105.2, 168.3, 279.8, 434.3, 684.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.1, 94.7, 160.5, 309.2, 573.8, 1136.3", \ + " 72.4, 96.0, 160.5, 309.1, 573.8, 1136.3", \ + " 77.7, 100.5, 163.3, 309.2, 573.8, 1136.3", \ + " 86.2, 108.4, 169.5, 312.3, 573.7, 1136.3", \ + " 104.2, 124.9, 183.8, 322.2, 577.9, 1136.3", \ + " 124.9, 150.5, 207.9, 341.6, 590.5, 1139.0", \ + " 154.5, 182.4, 247.8, 376.8, 617.5, 1153.3", \ + " 197.1, 227.4, 298.8, 433.6, 666.6, 1187.0", \ + " 258.7, 292.6, 370.4, 519.4, 749.9, 1253.0", \ + " 347.5, 386.6, 473.6, 636.1, 882.8, 1372.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 53.6 ; */ +/* intrinsic_fall : 49.3 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.4, 49.4, 85.5, 164.6, 303.9, 598.5", \ + " 41.5, 55.2, 91.2, 170.6, 310.2, 605.0", \ + " 47.4, 60.4, 96.1, 175.4, 315.1, 609.9", \ + " 54.2, 68.3, 103.0, 182.1, 321.7, 616.6", \ + " 63.9, 80.3, 116.2, 194.4, 333.6, 628.3", \ + " 76.0, 94.5, 135.5, 212.9, 351.2, 645.4", \ + " 92.1, 112.8, 159.4, 242.2, 378.8, 671.8", \ + " 113.2, 136.7, 189.1, 283.7, 421.1, 711.8", \ + " 141.7, 168.5, 227.9, 335.1, 487.0, 773.9", \ + " 180.8, 211.6, 279.6, 401.0, 574.9, 871.6" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 132.5, 168.1, 263.8, 480.5, 866.8, 1688.4", \ + " 136.3, 169.9, 263.3, 480.3, 866.8, 1688.4", \ + " 142.4, 174.9, 266.0, 479.9, 866.7, 1688.4", \ + " 151.7, 183.1, 271.8, 481.8, 866.5, 1688.4", \ + " 169.4, 199.6, 285.0, 489.7, 867.8, 1688.4", \ + " 196.4, 225.3, 307.5, 505.8, 876.5, 1688.2", \ + " 237.1, 266.3, 344.9, 536.1, 897.3, 1695.5", \ + " 283.5, 319.2, 403.1, 587.4, 936.9, 1718.8", \ + " 351.6, 389.4, 484.7, 671.0, 1008.3, 1768.9", \ + " 451.7, 491.9, 594.1, 801.3, 1129.8, 1864.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 47.6, 73.4, 129.4, 227.7, 435.7", \ + " 43.6, 53.8, 79.7, 136.0, 234.5, 442.5", \ + " 48.7, 58.6, 84.5, 140.8, 239.4, 447.4", \ + " 54.6, 65.5, 91.0, 147.3, 245.8, 454.0", \ + " 61.5, 74.5, 102.8, 158.8, 257.2, 465.4", \ + " 68.0, 83.2, 116.8, 175.6, 273.8, 481.7", \ + " 73.9, 91.6, 131.1, 200.1, 299.1, 506.6", \ + " 78.5, 99.1, 145.1, 226.4, 336.9, 543.6", \ + " 80.3, 104.4, 158.1, 253.3, 384.4, 600.2", \ + " 76.9, 105.2, 168.3, 279.8, 434.3, 684.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.1, 94.7, 160.5, 309.2, 573.8, 1136.3", \ + " 72.4, 96.0, 160.5, 309.1, 573.8, 1136.3", \ + " 77.7, 100.5, 163.3, 309.2, 573.8, 1136.3", \ + " 86.2, 108.4, 169.5, 312.3, 573.7, 1136.3", \ + " 104.2, 124.9, 183.8, 322.2, 577.9, 1136.3", \ + " 124.9, 150.5, 207.9, 341.6, 590.5, 1139.0", \ + " 154.5, 182.4, 247.8, 376.8, 617.5, 1153.3", \ + " 197.1, 227.4, 298.8, 433.6, 666.6, 1187.0", \ + " 258.7, 292.6, 370.4, 519.4, 749.9, 1253.0", \ + " 347.5, 386.6, 473.6, 636.1, 882.8, 1372.0" ); }} +} +} +cell(cgi2bv0x2) { /* 2008-01-06:07h33 characteristic delay 23.3 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 2610 ; /* cgi2bv0x2 */ +cell_footprint : cgi2b ; +pin(a) { /* cgi2bv0x2 FO4 effort 2.73 logical effort 3.69 */ +direction : input ; +capacitance : 18.47 ; +rise_capacitance : 18.45 ; +fall_capacitance : 18.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2bv0x2 */ +internal_power(a) { /* cgi2bv0x2 89.52 nW/MHz */ +power(pwr_intran_x10) { +values( " 66.90, 66.26, 66.05, 65.90, 65.84, 66.07, 66.91, 68.90, 72.87, 80.16" ); }} +} +pin(b) { /* cgi2bv0x2 FO4 effort 2.27 */ +direction : input ; +capacitance : 7.77 ; +rise_capacitance : 7.95 ; +fall_capacitance : 7.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2bv0x2 */ +internal_power(b) { /* cgi2bv0x2 32.56 nW/MHz */ +power(pwr_intran_x10) { +values( " 16.31, 16.00, 16.04, 16.28, 16.96, 18.26, 20.59, 24.47, 30.82, 41.07" ); }} +} +pin(c) { /* cgi2bv0x2 FO4 effort 1.60 logical effort 1.75 */ +direction : input ; +capacitance : 8.76 ; +rise_capacitance : 8.28 ; +fall_capacitance : 9.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2bv0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 200 ; +max_fanout : 6 ; +function : "((a*b')+(a*c)+(b'*c))'" ; +internal_power(a_z_n) { /* cgi2bv0x2 35.27 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b_z_p) { /* cgi2bv0x2 69.63 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 18.15, 18.48, 18.93, 19.27, 19.42", \ + " 18.04, 18.36, 18.82, 19.21, 19.38", \ + " 17.91, 18.21, 18.69, 19.11, 19.33", \ + " 17.76, 18.05, 18.54, 19.00, 19.26", \ + " 17.62, 17.87, 18.34, 18.84, 19.15", \ + " 17.52, 17.74, 18.16, 18.68, 19.03", \ + " 17.50, 17.65, 18.00, 18.49, 18.88", \ + " 17.57, 17.64, 17.90, 18.32, 18.71", \ + " 17.83, 17.80, 17.91, 18.22, 18.58", \ + " 18.39, 18.21, 18.10, 18.23, 18.49" ); }} +internal_power(c_z_n) { /* cgi2bv0x2 25.81 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 12.74, 12.96, 13.21, 13.38, 13.44", \ + " 12.41, 12.62, 12.96, 13.27, 13.43", \ + " 12.45, 12.59, 12.88, 13.20, 13.39", \ + " 12.68, 12.73, 12.90, 13.17, 13.36", \ + " 13.36, 13.26, 13.20, 13.29, 13.41", \ + " 14.66, 14.37, 13.99, 13.74, 13.66", \ + " 16.98, 16.45, 15.62, 14.83, 14.34", \ + " 20.84, 20.01, 18.58, 17.02, 15.85", \ + " 27.12, 25.96, 23.77, 21.11, 18.90", \ + " 37.15, 35.64, 32.58, 28.44, 24.69" ); }} +timing() { /* ring osc delay cgi2bv0x2, path a to z 78.0 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 51.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.1, 72.1, 106.0, 181.1, 313.8, 595.5", \ + " 66.5, 79.6, 113.7, 189.0, 321.9, 603.6", \ + " 71.9, 84.9, 119.0, 194.4, 327.4, 609.1", \ + " 78.6, 91.7, 125.7, 201.1, 334.1, 615.9", \ + " 88.5, 102.8, 136.9, 212.1, 345.2, 627.1", \ + " 99.3, 115.2, 152.6, 227.8, 360.7, 642.5", \ + " 113.8, 131.4, 172.7, 251.8, 384.2, 665.7", \ + " 134.1, 153.5, 198.8, 285.5, 419.8, 700.3", \ + " 163.4, 185.1, 235.0, 329.7, 474.1, 753.8", \ + " 205.4, 230.1, 286.3, 390.5, 547.8, 837.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.2, 143.1, 237.4, 451.3, 832.8, 1644.0", \ + " 108.6, 143.4, 237.5, 451.3, 832.8, 1644.0", \ + " 110.6, 144.7, 237.9, 451.4, 832.8, 1644.0", \ + " 115.4, 148.9, 240.8, 452.0, 832.8, 1644.0", \ + " 125.7, 158.3, 248.4, 456.9, 833.9, 1644.0", \ + " 144.8, 175.4, 262.7, 467.6, 840.4, 1644.5", \ + " 176.2, 207.9, 289.7, 488.8, 855.4, 1651.5", \ + " 220.6, 253.6, 337.8, 527.4, 885.0, 1669.8", \ + " 287.9, 321.7, 409.5, 595.6, 940.2, 1708.7", \ + " 385.0, 420.5, 512.0, 706.7, 1037.9, 1784.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.2, 52.4, 75.3, 124.3, 209.3, 388.1", \ + " 46.8, 56.1, 79.1, 128.1, 213.1, 391.9", \ + " 49.6, 58.8, 81.9, 131.0, 216.1, 394.9", \ + " 52.8, 62.6, 85.9, 135.1, 220.2, 399.1", \ + " 56.9, 67.6, 92.8, 142.5, 227.7, 406.6", \ + " 61.0, 73.0, 100.6, 153.3, 238.6, 417.6", \ + " 64.3, 78.1, 109.3, 167.2, 255.4, 434.4", \ + " 65.6, 81.6, 117.7, 182.8, 278.8, 459.4", \ + " 63.0, 81.8, 124.1, 199.1, 306.1, 497.5", \ + " 53.8, 75.7, 125.5, 213.7, 336.3, 547.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.2, 100.7, 158.6, 288.9, 520.9, 1014.2", \ + " 80.0, 101.1, 158.2, 287.8, 519.8, 1013.3", \ + " 83.3, 104.0, 160.3, 288.7, 519.5, 1012.9", \ + " 89.5, 109.6, 164.6, 291.5, 520.6, 1012.6", \ + " 104.5, 122.8, 175.4, 299.1, 525.2, 1013.6", \ + " 124.4, 144.7, 195.4, 314.3, 535.6, 1018.7", \ + " 154.2, 175.7, 229.4, 343.4, 557.4, 1031.8", \ + " 197.4, 220.3, 276.8, 393.2, 597.8, 1059.7", \ + " 260.2, 285.1, 345.6, 468.2, 669.4, 1114.1", \ + " 350.8, 378.9, 445.4, 576.1, 786.3, 1214.1" ); }} +timing() { /* ring osc delay cgi2bv0x2, path a to z 76.2 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 51.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 73.4, 107.3, 182.4, 315.3, 597.0", \ + " 66.9, 80.0, 114.2, 189.5, 322.4, 604.2", \ + " 71.5, 84.6, 118.8, 194.2, 327.2, 609.0", \ + " 77.5, 90.6, 124.8, 200.2, 333.3, 615.2", \ + " 86.9, 101.2, 135.4, 210.8, 343.8, 625.7", \ + " 98.1, 113.8, 151.0, 226.2, 359.1, 640.9", \ + " 113.8, 130.9, 171.5, 250.4, 382.7, 664.1", \ + " 135.8, 154.5, 198.8, 284.6, 418.5, 698.9", \ + " 166.9, 187.8, 236.5, 329.9, 473.4, 752.6", \ + " 210.2, 234.1, 289.1, 391.8, 548.0, 836.5" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 113.9, 149.3, 244.3, 458.9, 840.9, 1652.7", \ + " 114.1, 149.3, 244.1, 458.5, 840.5, 1652.3", \ + " 116.9, 151.4, 244.8, 458.5, 840.4, 1652.2", \ + " 121.9, 155.9, 248.3, 459.7, 840.4, 1652.1", \ + " 133.0, 166.1, 256.7, 465.3, 842.1, 1652.0", \ + " 153.3, 184.4, 272.1, 476.7, 849.1, 1652.9", \ + " 186.2, 218.4, 300.6, 499.0, 864.8, 1660.3", \ + " 231.3, 264.8, 349.3, 538.7, 895.2, 1679.2", \ + " 295.9, 331.0, 420.2, 606.9, 951.2, 1718.7", \ + " 387.3, 424.9, 519.5, 716.7, 1049.0, 1794.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 48.4, 70.4, 118.4, 202.6, 380.8", \ + " 44.8, 53.5, 75.7, 123.8, 208.1, 386.3", \ + " 48.1, 56.8, 79.0, 127.2, 211.6, 389.9", \ + " 51.4, 60.9, 83.4, 131.6, 216.0, 394.4", \ + " 55.5, 66.0, 90.6, 139.4, 223.8, 402.2", \ + " 59.1, 71.0, 98.4, 150.5, 235.0, 413.4", \ + " 61.5, 75.5, 106.8, 164.4, 252.1, 430.4", \ + " 61.5, 77.9, 114.5, 179.8, 275.6, 455.6", \ + " 57.5, 76.7, 119.8, 195.6, 302.8, 494.0", \ + " 46.9, 69.3, 119.9, 209.2, 332.6, 543.9" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.3, 87.6, 145.0, 275.1, 506.9, 1000.1", \ + " 68.2, 89.0, 145.6, 275.1, 506.9, 1000.1", \ + " 72.0, 92.3, 148.0, 276.2, 507.0, 1000.1", \ + " 78.6, 98.1, 152.6, 279.2, 508.2, 1000.2", \ + " 93.6, 111.8, 163.6, 286.9, 512.9, 1001.2", \ + " 113.4, 133.5, 184.0, 302.3, 523.4, 1006.4", \ + " 143.1, 164.4, 218.0, 331.6, 545.3, 1019.5", \ + " 186.6, 209.2, 265.5, 381.9, 585.9, 1047.5", \ + " 250.7, 275.0, 334.7, 457.0, 657.9, 1102.0", \ + " 344.1, 371.1, 435.9, 565.4, 775.1, 1202.2" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 51.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.1, 72.1, 106.0, 181.1, 313.8, 595.5", \ + " 66.5, 79.6, 113.7, 189.0, 321.9, 603.6", \ + " 71.9, 84.9, 119.0, 194.4, 327.4, 609.1", \ + " 78.6, 91.7, 125.7, 201.1, 334.1, 615.9", \ + " 88.5, 102.8, 136.9, 212.1, 345.2, 627.1", \ + " 99.3, 115.2, 152.6, 227.8, 360.7, 642.5", \ + " 113.8, 131.4, 172.7, 251.8, 384.2, 665.7", \ + " 134.1, 153.5, 198.8, 285.5, 419.8, 700.3", \ + " 163.4, 185.1, 235.0, 329.7, 474.1, 753.8", \ + " 205.4, 230.1, 286.3, 390.5, 547.8, 837.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.2, 143.1, 237.4, 451.3, 832.8, 1644.0", \ + " 108.6, 143.4, 237.5, 451.3, 832.8, 1644.0", \ + " 110.6, 144.7, 237.9, 451.4, 832.8, 1644.0", \ + " 115.4, 148.9, 240.8, 452.0, 832.8, 1644.0", \ + " 125.7, 158.3, 248.4, 456.9, 833.9, 1644.0", \ + " 144.8, 175.4, 262.7, 467.6, 840.4, 1644.5", \ + " 176.2, 207.9, 289.7, 488.8, 855.4, 1651.5", \ + " 220.6, 253.6, 337.8, 527.4, 885.0, 1669.8", \ + " 287.9, 321.7, 409.5, 595.6, 940.2, 1708.7", \ + " 385.0, 420.5, 512.0, 706.7, 1037.9, 1784.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.2, 52.4, 75.3, 124.3, 209.3, 388.1", \ + " 46.8, 56.1, 79.1, 128.1, 213.1, 391.9", \ + " 49.6, 58.8, 81.9, 131.0, 216.1, 394.9", \ + " 52.8, 62.6, 85.9, 135.1, 220.2, 399.1", \ + " 56.9, 67.6, 92.8, 142.5, 227.7, 406.6", \ + " 61.0, 73.0, 100.6, 153.3, 238.6, 417.6", \ + " 64.3, 78.1, 109.3, 167.2, 255.4, 434.4", \ + " 65.6, 81.6, 117.7, 182.8, 278.8, 459.4", \ + " 63.0, 81.8, 124.1, 199.1, 306.1, 497.5", \ + " 53.8, 75.7, 125.5, 213.7, 336.3, 547.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.2, 100.7, 158.6, 288.9, 520.9, 1014.2", \ + " 80.0, 101.1, 158.2, 287.8, 519.8, 1013.3", \ + " 83.3, 104.0, 160.3, 288.7, 519.5, 1012.9", \ + " 89.5, 109.6, 164.6, 291.5, 520.6, 1012.6", \ + " 104.5, 122.8, 175.4, 299.1, 525.2, 1013.6", \ + " 124.4, 144.7, 195.4, 314.3, 535.6, 1018.7", \ + " 154.2, 175.7, 229.4, 343.4, 557.4, 1031.8", \ + " 197.4, 220.3, 276.8, 393.2, 597.8, 1059.7", \ + " 260.2, 285.1, 345.6, 468.2, 669.4, 1114.1", \ + " 350.8, 378.9, 445.4, 576.1, 786.3, 1214.1" ); }} +timing() { /* ring osc delay cgi2bv0x2, path b to z 111.3 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.9 ; */ +/* intrinsic_fall : 105.9 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.36 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.3, 103.1, 138.2, 214.4, 347.8, 629.9", \ + " 96.0, 109.8, 144.9, 221.2, 354.8, 637.0", \ + " 100.9, 114.7, 149.8, 226.2, 359.8, 642.1", \ + " 106.9, 120.5, 155.6, 232.0, 365.8, 648.2", \ + " 115.2, 128.7, 163.5, 239.9, 373.8, 656.2", \ + " 123.5, 136.9, 171.6, 247.8, 381.7, 664.2", \ + " 132.3, 145.5, 179.9, 256.0, 389.6, 672.2", \ + " 140.8, 154.1, 188.2, 263.7, 397.4, 679.8", \ + " 148.3, 161.8, 195.9, 271.1, 404.3, 686.6", \ + " 152.8, 166.7, 201.4, 276.7, 409.7, 691.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.8, 140.9, 230.0, 431.9, 791.3, 1555.1", \ + " 107.9, 141.0, 230.1, 431.9, 791.3, 1555.1", \ + " 108.1, 141.1, 230.1, 431.9, 791.3, 1555.1", \ + " 108.5, 141.4, 230.2, 431.8, 791.3, 1555.0", \ + " 110.4, 142.8, 230.9, 431.9, 791.2, 1555.0", \ + " 113.3, 145.2, 232.3, 432.6, 791.4, 1554.9", \ + " 117.2, 148.6, 234.7, 433.6, 791.8, 1555.0", \ + " 123.1, 154.0, 238.6, 435.7, 792.6, 1555.4", \ + " 132.0, 162.4, 245.6, 439.9, 794.7, 1556.2", \ + " 144.8, 174.9, 257.1, 448.6, 800.1, 1558.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.4, 85.0, 108.1, 156.8, 241.2, 419.5", \ + " 83.2, 92.7, 115.8, 164.6, 249.0, 427.3", \ + " 89.4, 98.9, 122.1, 170.8, 255.3, 433.6", \ + " 97.6, 107.0, 130.2, 179.1, 263.6, 442.0", \ + " 110.4, 119.9, 143.2, 192.1, 276.8, 455.2", \ + " 125.4, 135.1, 158.7, 207.8, 292.5, 471.0", \ + " 144.3, 154.2, 178.1, 227.6, 312.4, 490.8", \ + " 168.0, 178.4, 202.6, 252.4, 337.4, 515.9", \ + " 198.9, 209.9, 234.8, 285.2, 370.2, 548.9", \ + " 239.5, 251.4, 277.7, 329.0, 414.5, 593.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 82.6, 135.3, 256.6, 474.3, 938.2", \ + " 63.6, 82.7, 135.4, 256.6, 474.3, 938.2", \ + " 63.7, 82.8, 135.4, 256.7, 474.3, 938.2", \ + " 64.1, 83.1, 135.6, 256.7, 474.3, 938.2", \ + " 66.4, 84.8, 136.5, 257.0, 474.3, 938.2", \ + " 70.5, 88.2, 138.6, 258.1, 474.7, 938.2", \ + " 75.5, 92.8, 142.1, 259.8, 475.5, 938.4", \ + " 82.5, 99.3, 146.8, 262.7, 476.8, 938.8", \ + " 92.6, 108.8, 154.7, 267.3, 479.3, 939.6", \ + " 106.9, 122.8, 167.2, 275.9, 484.0, 941.6" ); }} +timing() { /* ring osc delay cgi2bv0x2, path b to z 120.8 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.9 ; */ +/* intrinsic_fall : 105.9 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.36 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.9, 99.7, 134.4, 210.2, 343.9, 626.6", \ + " 92.9, 106.6, 141.3, 217.2, 350.9, 633.7", \ + " 98.1, 111.7, 146.3, 222.4, 356.2, 639.1", \ + " 104.1, 117.6, 152.3, 228.4, 362.3, 645.3", \ + " 112.0, 125.5, 160.1, 236.2, 370.3, 653.4", \ + " 119.6, 133.1, 167.8, 243.9, 378.0, 661.3", \ + " 127.2, 140.8, 175.3, 251.6, 385.8, 669.1", \ + " 133.9, 147.9, 182.5, 258.5, 392.9, 676.3", \ + " 138.8, 153.1, 188.2, 264.3, 398.5, 682.2", \ + " 139.7, 154.7, 190.6, 267.0, 401.5, 685.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.0, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.0, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.1, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.3, 153.6, 242.0, 443.4, 802.9, 1566.7", \ + " 122.3, 154.4, 242.3, 443.4, 802.8, 1566.7", \ + " 124.3, 155.7, 243.0, 443.7, 802.8, 1566.6", \ + " 127.0, 158.1, 244.3, 444.1, 803.0, 1566.7", \ + " 131.9, 161.8, 246.7, 445.1, 803.2, 1566.8", \ + " 140.0, 168.7, 251.5, 447.6, 804.2, 1567.0", \ + " 152.3, 179.7, 260.4, 453.2, 807.2, 1568.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.6, 102.4, 126.1, 175.7, 261.0, 440.0", \ + " 100.2, 110.0, 133.8, 183.4, 268.8, 447.8", \ + " 106.3, 116.1, 139.9, 189.6, 275.0, 454.1", \ + " 114.4, 124.2, 148.0, 197.8, 283.3, 462.4", \ + " 127.9, 137.6, 161.5, 211.3, 296.8, 476.0", \ + " 144.4, 154.3, 178.2, 228.0, 313.5, 492.8", \ + " 165.1, 175.1, 199.3, 249.4, 334.8, 514.0", \ + " 191.1, 201.4, 225.9, 276.1, 361.8, 540.8", \ + " 225.2, 235.8, 261.0, 311.4, 397.0, 576.3", \ + " 270.1, 281.5, 307.8, 359.3, 445.1, 624.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.0, 98.8, 151.9, 272.9, 490.2, 954.1", \ + " 79.1, 98.8, 151.9, 272.9, 490.2, 954.1", \ + " 79.2, 98.9, 152.0, 272.9, 490.2, 954.1", \ + " 79.4, 99.1, 152.1, 272.9, 490.1, 954.0", \ + " 80.9, 100.3, 152.8, 273.2, 490.1, 953.9", \ + " 84.5, 103.6, 155.3, 274.5, 490.5, 953.8", \ + " 89.2, 108.2, 159.2, 277.0, 491.8, 954.1", \ + " 95.7, 114.4, 164.5, 280.8, 493.9, 955.0", \ + " 105.2, 123.9, 172.9, 286.7, 497.5, 956.5", \ + " 118.8, 137.9, 186.4, 297.5, 504.6, 959.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 102.9 ; */ +/* intrinsic_fall : 105.9 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.36 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.9, 99.7, 134.4, 210.2, 343.9, 626.6", \ + " 92.9, 106.6, 141.3, 217.2, 350.9, 633.7", \ + " 98.1, 111.7, 146.3, 222.4, 356.2, 639.1", \ + " 104.1, 117.6, 152.3, 228.4, 362.3, 645.3", \ + " 112.0, 125.5, 160.1, 236.2, 370.3, 653.4", \ + " 119.6, 133.1, 167.8, 243.9, 378.0, 661.3", \ + " 127.2, 140.8, 175.3, 251.6, 385.8, 669.1", \ + " 133.9, 147.9, 182.5, 258.5, 392.9, 676.3", \ + " 138.8, 153.1, 188.2, 264.3, 398.5, 682.2", \ + " 139.7, 154.7, 190.6, 267.0, 401.5, 685.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.0, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.0, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.1, 153.5, 242.0, 443.5, 802.9, 1566.8", \ + " 121.3, 153.6, 242.0, 443.4, 802.9, 1566.7", \ + " 122.3, 154.4, 242.3, 443.4, 802.8, 1566.7", \ + " 124.3, 155.7, 243.0, 443.7, 802.8, 1566.6", \ + " 127.0, 158.1, 244.3, 444.1, 803.0, 1566.7", \ + " 131.9, 161.8, 246.7, 445.1, 803.2, 1566.8", \ + " 140.0, 168.7, 251.5, 447.6, 804.2, 1567.0", \ + " 152.3, 179.7, 260.4, 453.2, 807.2, 1568.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.6, 102.4, 126.1, 175.7, 261.0, 440.0", \ + " 100.2, 110.0, 133.8, 183.4, 268.8, 447.8", \ + " 106.3, 116.1, 139.9, 189.6, 275.0, 454.1", \ + " 114.4, 124.2, 148.0, 197.8, 283.3, 462.4", \ + " 127.9, 137.6, 161.5, 211.3, 296.8, 476.0", \ + " 144.4, 154.3, 178.2, 228.0, 313.5, 492.8", \ + " 165.1, 175.1, 199.3, 249.4, 334.8, 514.0", \ + " 191.1, 201.4, 225.9, 276.1, 361.8, 540.8", \ + " 225.2, 235.8, 261.0, 311.4, 397.0, 576.3", \ + " 270.1, 281.5, 307.8, 359.3, 445.1, 624.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.0, 98.8, 151.9, 272.9, 490.2, 954.1", \ + " 79.1, 98.8, 151.9, 272.9, 490.2, 954.1", \ + " 79.2, 98.9, 152.0, 272.9, 490.2, 954.1", \ + " 79.4, 99.1, 152.1, 272.9, 490.1, 954.0", \ + " 80.9, 100.3, 152.8, 273.2, 490.1, 953.9", \ + " 84.5, 103.6, 155.3, 274.5, 490.5, 953.8", \ + " 89.2, 108.2, 159.2, 277.0, 491.8, 954.1", \ + " 95.7, 114.4, 164.5, 280.8, 493.9, 955.0", \ + " 105.2, 123.9, 172.9, 286.7, 497.5, 956.5", \ + " 118.8, 137.9, 186.4, 297.5, 504.6, 959.9" ); }} +timing() { /* ring osc delay cgi2bv0x2, path c to z 64.3 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 46.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 57.2, 91.7, 167.1, 300.1, 581.9", \ + " 49.5, 62.7, 97.2, 173.0, 306.3, 588.2", \ + " 54.9, 67.8, 102.0, 177.7, 311.0, 593.1", \ + " 62.8, 75.3, 108.9, 184.4, 317.6, 599.7", \ + " 73.8, 88.5, 122.1, 196.7, 329.5, 611.4", \ + " 87.2, 103.8, 141.8, 215.3, 347.2, 628.5", \ + " 105.0, 123.7, 166.7, 244.8, 375.0, 655.0", \ + " 128.3, 149.4, 197.7, 286.8, 417.8, 695.3", \ + " 159.5, 183.7, 238.3, 339.1, 484.2, 758.2", \ + " 201.9, 230.0, 292.8, 406.7, 572.5, 857.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.6, 137.4, 230.6, 440.4, 813.6, 1606.5", \ + " 104.7, 138.3, 230.0, 440.3, 813.6, 1606.5", \ + " 110.0, 142.6, 232.2, 440.0, 813.5, 1606.5", \ + " 118.4, 150.0, 237.6, 441.6, 813.4, 1606.5", \ + " 135.0, 165.4, 249.9, 449.2, 814.7, 1606.5", \ + " 161.9, 189.9, 271.3, 464.8, 823.3, 1606.4", \ + " 192.7, 229.2, 307.2, 494.0, 843.8, 1614.0", \ + " 233.8, 272.8, 363.9, 543.9, 882.8, 1637.6", \ + " 295.3, 336.6, 436.6, 624.8, 952.6, 1687.4", \ + " 386.3, 430.8, 537.9, 748.0, 1071.1, 1782.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 35.9, 58.4, 107.0, 191.3, 369.4", \ + " 33.4, 42.0, 64.7, 113.5, 198.0, 376.2", \ + " 37.6, 47.0, 69.4, 118.3, 202.9, 381.1", \ + " 41.3, 52.5, 76.0, 124.8, 209.3, 387.6", \ + " 45.8, 59.0, 87.0, 136.3, 220.8, 399.0", \ + " 50.0, 65.1, 98.0, 153.2, 237.3, 415.4", \ + " 53.4, 70.7, 108.8, 174.2, 262.7, 440.3", \ + " 54.6, 74.5, 118.5, 195.3, 298.6, 477.5", \ + " 51.6, 74.6, 126.0, 215.8, 338.2, 534.0", \ + " 41.4, 68.3, 128.6, 234.1, 378.3, 610.9" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.5, 83.7, 140.3, 267.7, 494.4, 976.4", \ + " 67.3, 87.0, 141.4, 267.6, 494.4, 976.4", \ + " 73.8, 92.8, 145.5, 268.9, 494.4, 976.4", \ + " 83.9, 102.2, 153.1, 273.4, 495.1, 976.4", \ + " 103.3, 120.8, 169.4, 285.3, 501.6, 976.5", \ + " 124.9, 146.4, 195.5, 307.2, 516.9, 982.2", \ + " 156.9, 179.8, 235.7, 345.1, 547.3, 1000.6", \ + " 202.4, 227.0, 287.1, 404.6, 600.5, 1039.2", \ + " 266.8, 294.6, 359.9, 488.8, 687.4, 1111.5", \ + " 358.7, 390.8, 464.6, 604.7, 821.6, 1237.5" ); }} +timing() { /* ring osc delay cgi2bv0x2, path c to z 68.0 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 46.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.0, 50.7, 85.6, 162.0, 296.5, 580.7", \ + " 42.9, 56.2, 91.1, 167.9, 302.7, 587.1", \ + " 48.8, 61.4, 95.9, 172.6, 307.5, 592.0", \ + " 55.9, 69.3, 102.9, 179.3, 314.0, 598.5", \ + " 65.9, 81.6, 116.2, 191.6, 325.9, 610.3", \ + " 78.6, 96.2, 135.7, 210.3, 343.6, 627.4", \ + " 95.8, 115.5, 160.1, 240.0, 371.5, 653.9", \ + " 118.6, 140.7, 190.7, 281.8, 414.3, 694.3", \ + " 149.6, 174.8, 231.3, 334.1, 481.0, 757.2", \ + " 192.6, 221.6, 286.1, 402.1, 569.6, 856.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 135.1, 169.3, 261.4, 470.1, 842.4, 1634.6", \ + " 138.5, 170.9, 260.8, 469.9, 842.4, 1634.6", \ + " 144.5, 175.8, 263.6, 469.5, 842.4, 1634.6", \ + " 153.5, 183.9, 269.4, 471.6, 842.2, 1634.6", \ + " 170.5, 199.9, 282.4, 479.6, 843.7, 1634.6", \ + " 196.5, 224.8, 304.4, 495.7, 852.7, 1634.6", \ + " 236.7, 264.5, 340.9, 525.6, 873.7, 1642.5", \ + " 281.5, 316.1, 397.5, 576.0, 913.1, 1666.4", \ + " 347.4, 384.0, 476.6, 657.6, 983.6, 1716.7", \ + " 444.4, 483.2, 582.2, 784.0, 1102.8, 1811.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.0, 42.8, 65.1, 113.2, 197.6, 375.9", \ + " 40.3, 49.1, 71.4, 119.8, 204.3, 382.7", \ + " 45.6, 54.0, 76.2, 124.6, 209.1, 387.6", \ + " 50.8, 60.6, 82.8, 131.1, 215.6, 394.1", \ + " 56.9, 68.6, 94.4, 142.6, 227.1, 405.5", \ + " 62.2, 76.0, 106.6, 159.5, 243.7, 421.9", \ + " 66.7, 82.8, 118.8, 181.8, 269.0, 446.8", \ + " 69.1, 87.9, 129.9, 204.3, 305.6, 483.9", \ + " 67.7, 89.7, 139.1, 226.4, 346.7, 540.6", \ + " 59.4, 85.3, 143.6, 246.4, 388.4, 618.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.1, 85.1, 141.5, 268.8, 495.5, 977.6", \ + " 67.1, 87.0, 141.8, 268.7, 495.5, 977.6", \ + " 72.6, 92.0, 145.2, 269.4, 495.5, 977.6", \ + " 81.5, 100.4, 152.1, 273.4, 495.9, 977.6", \ + " 99.7, 117.4, 167.3, 284.5, 501.8, 977.6", \ + " 119.6, 142.1, 192.3, 305.5, 516.4, 982.9", \ + " 149.2, 173.7, 231.4, 342.4, 546.0, 1000.6", \ + " 191.7, 218.5, 281.4, 400.8, 598.2, 1038.5", \ + " 252.8, 283.1, 352.1, 484.0, 684.2, 1110.0", \ + " 340.7, 375.9, 454.1, 598.3, 817.5, 1235.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 46.7 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.0, 50.7, 85.6, 162.0, 296.5, 580.7", \ + " 42.9, 56.2, 91.1, 167.9, 302.7, 587.1", \ + " 48.8, 61.4, 95.9, 172.6, 307.5, 592.0", \ + " 55.9, 69.3, 102.9, 179.3, 314.0, 598.5", \ + " 65.9, 81.6, 116.2, 191.6, 325.9, 610.3", \ + " 78.6, 96.2, 135.7, 210.3, 343.6, 627.4", \ + " 95.8, 115.5, 160.1, 240.0, 371.5, 653.9", \ + " 118.6, 140.7, 190.7, 281.8, 414.3, 694.3", \ + " 149.6, 174.8, 231.3, 334.1, 481.0, 757.2", \ + " 192.6, 221.6, 286.1, 402.1, 569.6, 856.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 135.1, 169.3, 261.4, 470.1, 842.4, 1634.6", \ + " 138.5, 170.9, 260.8, 469.9, 842.4, 1634.6", \ + " 144.5, 175.8, 263.6, 469.5, 842.4, 1634.6", \ + " 153.5, 183.9, 269.4, 471.6, 842.2, 1634.6", \ + " 170.5, 199.9, 282.4, 479.6, 843.7, 1634.6", \ + " 196.5, 224.8, 304.4, 495.7, 852.7, 1634.6", \ + " 236.7, 264.5, 340.9, 525.6, 873.7, 1642.5", \ + " 281.5, 316.1, 397.5, 576.0, 913.1, 1666.4", \ + " 347.4, 384.0, 476.6, 657.6, 983.6, 1716.7", \ + " 444.4, 483.2, 582.2, 784.0, 1102.8, 1811.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.0, 42.8, 65.1, 113.2, 197.6, 375.9", \ + " 40.3, 49.1, 71.4, 119.8, 204.3, 382.7", \ + " 45.6, 54.0, 76.2, 124.6, 209.1, 387.6", \ + " 50.8, 60.6, 82.8, 131.1, 215.6, 394.1", \ + " 56.9, 68.6, 94.4, 142.6, 227.1, 405.5", \ + " 62.2, 76.0, 106.6, 159.5, 243.7, 421.9", \ + " 66.7, 82.8, 118.8, 181.8, 269.0, 446.8", \ + " 69.1, 87.9, 129.9, 204.3, 305.6, 483.9", \ + " 67.7, 89.7, 139.1, 226.4, 346.7, 540.6", \ + " 59.4, 85.3, 143.6, 246.4, 388.4, 618.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.1, 85.1, 141.5, 268.8, 495.5, 977.6", \ + " 67.1, 87.0, 141.8, 268.7, 495.5, 977.6", \ + " 72.6, 92.0, 145.2, 269.4, 495.5, 977.6", \ + " 81.5, 100.4, 152.1, 273.4, 495.9, 977.6", \ + " 99.7, 117.4, 167.3, 284.5, 501.8, 977.6", \ + " 119.6, 142.1, 192.3, 305.5, 516.4, 982.9", \ + " 149.2, 173.7, 231.4, 342.4, 546.0, 1000.6", \ + " 191.7, 218.5, 281.4, 400.8, 598.2, 1038.5", \ + " 252.8, 283.1, 352.1, 484.0, 684.2, 1110.0", \ + " 340.7, 375.9, 454.1, 598.3, 817.5, 1235.0" ); }} +} +} +cell(cgi2bv0x3) { /* 2008-01-06:07h33 characteristic delay 22.2 ps */ +area : 25 ; /* tracks */ +cell_leakage_power : 3973 ; /* cgi2bv0x3 */ +cell_footprint : cgi2b ; +pin(a) { /* cgi2bv0x3 FO4 effort 2.62 logical effort 3.47 */ +direction : input ; +capacitance : 25.96 ; +rise_capacitance : 25.93 ; +fall_capacitance : 25.98 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2bv0x3 */ +} +pin(b) { /* cgi2bv0x3 FO4 effort 2.26 */ +direction : input ; +capacitance : 11.27 ; +rise_capacitance : 11.53 ; +fall_capacitance : 11.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2bv0x3 */ +internal_power(b) { /* cgi2bv0x3 48.57 nW/MHz */ +power(pwr_intran_x10) { +values( " 24.34, 23.89, 23.96, 24.29, 25.26, 27.14, 30.49, 36.09, 45.29, 60.15" ); }} +} +pin(c) { /* cgi2bv0x3 FO4 effort 1.58 logical effort 1.71 */ +direction : input ; +capacitance : 12.79 ; +rise_capacitance : 12.09 ; +fall_capacitance : 13.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2bv0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 300 ; +max_fanout : 8 ; +function : "((a*b')+(a*c)+(b'*c))'" ; +internal_power(a_z_n) { /* cgi2bv0x3 52.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 27.49, 27.55, 27.61, 27.61, 27.55", \ + " 26.39, 26.52, 26.69, 26.81, 26.83", \ + " 26.09, 26.21, 26.40, 26.57, 26.62", \ + " 25.93, 26.02, 26.20, 26.38, 26.46", \ + " 26.09, 26.10, 26.16, 26.27, 26.34", \ + " 27.02, 26.86, 26.65, 26.52, 26.45", \ + " 29.41, 28.94, 28.21, 27.51, 27.05", \ + " 34.20, 33.31, 31.75, 30.02, 28.73", \ + " 42.78, 41.41, 38.76, 35.40, 32.60", \ + " 57.00, 55.15, 51.29, 45.77, 40.56" ); }} +internal_power(b_z_p) { /* cgi2bv0x3 103.94 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 27.09, 27.57, 28.26, 28.78, 29.02", \ + " 26.93, 27.40, 28.11, 28.69, 28.96", \ + " 26.74, 27.19, 27.92, 28.55, 28.88", \ + " 26.53, 26.96, 27.68, 28.38, 28.77", \ + " 26.32, 26.70, 27.40, 28.15, 28.61", \ + " 26.20, 26.50, 27.13, 27.90, 28.43", \ + " 26.17, 26.38, 26.90, 27.63, 28.20", \ + " 26.30, 26.40, 26.75, 27.38, 27.96", \ + " 26.72, 26.65, 26.79, 27.24, 27.76", \ + " 27.59, 27.30, 27.10, 27.26, 27.65" ); }} +internal_power(c_z_n) { /* cgi2bv0x3 38.78 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 19.13, 19.46, 19.84, 20.08, 20.17", \ + " 18.65, 18.96, 19.46, 19.92, 20.16", \ + " 18.71, 18.92, 19.35, 19.82, 20.11", \ + " 19.06, 19.13, 19.39, 19.78, 20.07", \ + " 20.07, 19.92, 19.84, 19.96, 20.14", \ + " 22.00, 21.58, 21.01, 20.64, 20.51", \ + " 25.47, 24.68, 23.44, 22.27, 21.53", \ + " 31.24, 30.00, 27.87, 25.54, 23.79", \ + " 40.63, 38.88, 35.63, 31.66, 28.36", \ + " 55.63, 53.36, 48.80, 42.61, 37.00" ); }} +timing() { /* ring osc delay cgi2bv0x3, path a to z 76.7 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 52.1 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 71.3, 105.1, 180.2, 312.9, 594.6", \ + " 65.6, 78.7, 112.8, 188.1, 320.9, 602.6", \ + " 71.0, 84.1, 118.2, 193.5, 326.4, 608.2", \ + " 77.8, 90.9, 124.8, 200.2, 333.2, 615.0", \ + " 87.8, 102.0, 136.1, 211.3, 344.3, 626.1", \ + " 98.7, 114.5, 151.9, 227.0, 359.8, 641.6", \ + " 113.2, 130.8, 172.0, 251.0, 383.4, 664.8", \ + " 133.5, 152.9, 198.1, 284.8, 419.0, 699.5", \ + " 162.8, 184.5, 234.5, 329.1, 473.4, 753.0", \ + " 204.7, 229.5, 285.7, 389.9, 547.2, 836.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.2, 143.1, 237.3, 451.2, 832.6, 1643.9", \ + " 108.7, 143.4, 237.5, 451.2, 832.6, 1643.9", \ + " 110.9, 144.9, 237.9, 451.3, 832.6, 1643.9", \ + " 115.6, 149.1, 240.8, 451.9, 832.7, 1643.9", \ + " 125.8, 158.4, 248.5, 456.9, 833.8, 1643.9", \ + " 144.8, 175.3, 262.7, 467.5, 840.2, 1644.4", \ + " 175.8, 207.5, 289.6, 488.7, 855.3, 1651.3", \ + " 220.2, 253.1, 337.5, 527.3, 884.9, 1669.7", \ + " 287.5, 321.3, 409.1, 595.3, 940.0, 1708.5", \ + " 384.7, 420.2, 511.6, 706.3, 1037.7, 1783.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.4, 51.6, 74.6, 123.6, 208.6, 387.5", \ + " 46.1, 55.4, 78.4, 127.5, 212.4, 391.3", \ + " 49.0, 58.2, 81.3, 130.4, 215.4, 394.3", \ + " 52.2, 62.0, 85.3, 134.5, 219.6, 398.5", \ + " 56.4, 67.1, 92.2, 141.9, 227.1, 406.0", \ + " 60.6, 72.5, 100.1, 152.7, 238.0, 417.0", \ + " 63.9, 77.7, 108.9, 166.7, 254.8, 433.8", \ + " 65.2, 81.2, 117.3, 182.4, 278.3, 458.8", \ + " 62.6, 81.4, 123.7, 198.7, 305.7, 497.0", \ + " 53.4, 75.4, 125.1, 213.3, 335.9, 547.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 78.9, 100.5, 158.5, 288.9, 520.8, 1014.1", \ + " 79.8, 101.0, 158.1, 287.8, 519.8, 1013.2", \ + " 83.0, 103.8, 160.2, 288.7, 519.5, 1012.8", \ + " 89.2, 109.4, 164.5, 291.4, 520.6, 1012.6", \ + " 104.1, 122.6, 175.3, 299.0, 525.1, 1013.5", \ + " 124.0, 144.4, 195.2, 314.2, 535.5, 1018.5", \ + " 153.9, 175.4, 229.1, 343.2, 557.3, 1031.7", \ + " 197.2, 220.1, 276.7, 392.9, 597.7, 1059.6", \ + " 260.0, 284.9, 345.4, 468.0, 669.2, 1114.0", \ + " 350.4, 378.6, 445.2, 575.9, 786.1, 1214.0" ); }} +timing() { /* ring osc delay cgi2bv0x3, path a to z 76.3 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 52.1 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.7, 72.7, 106.6, 181.7, 314.5, 596.3", \ + " 66.3, 79.4, 113.5, 188.8, 321.7, 603.5", \ + " 70.9, 84.1, 118.2, 193.5, 326.5, 608.3", \ + " 77.0, 90.1, 124.2, 199.6, 332.6, 614.4", \ + " 86.3, 100.6, 134.8, 210.1, 343.1, 625.0", \ + " 97.4, 113.1, 150.3, 225.6, 358.4, 640.2", \ + " 112.8, 129.9, 170.7, 249.6, 382.0, 663.3", \ + " 134.2, 153.1, 197.5, 283.6, 417.7, 698.1", \ + " 164.4, 185.5, 234.5, 328.4, 472.2, 751.6", \ + " 206.2, 230.4, 285.9, 389.3, 546.1, 835.1" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 113.6, 149.0, 243.9, 458.5, 840.5, 1652.3", \ + " 113.8, 149.0, 243.7, 458.1, 840.1, 1651.9", \ + " 116.7, 151.2, 244.6, 458.2, 840.0, 1651.8", \ + " 121.6, 155.7, 248.0, 459.4, 840.0, 1651.7", \ + " 132.6, 165.8, 256.4, 464.9, 841.7, 1651.6", \ + " 153.0, 184.1, 271.8, 476.4, 848.7, 1652.5", \ + " 185.8, 218.1, 300.3, 498.7, 864.5, 1659.9", \ + " 231.1, 264.6, 349.2, 538.6, 895.1, 1678.9", \ + " 296.1, 331.2, 420.3, 607.1, 951.3, 1718.5", \ + " 388.1, 425.6, 520.2, 717.2, 1049.5, 1794.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.4, 49.2, 71.7, 120.7, 206.9, 389.2", \ + " 45.5, 54.4, 77.0, 126.2, 212.4, 394.8", \ + " 49.0, 57.9, 80.5, 129.8, 216.0, 398.4", \ + " 52.6, 62.2, 85.1, 134.4, 220.7, 403.1", \ + " 57.0, 67.7, 92.7, 142.4, 228.8, 411.2", \ + " 61.1, 73.2, 101.1, 154.1, 240.5, 422.9", \ + " 64.1, 78.3, 110.3, 169.0, 258.3, 440.6", \ + " 65.0, 81.7, 119.0, 185.6, 283.1, 466.8", \ + " 62.4, 81.9, 125.7, 203.0, 312.3, 506.8", \ + " 53.7, 76.4, 127.9, 218.8, 344.6, 560.0" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 68.1, 89.9, 148.7, 281.9, 519.4, 1024.4", \ + " 70.0, 91.3, 149.2, 281.9, 519.4, 1024.4", \ + " 73.7, 94.5, 151.7, 282.9, 519.4, 1024.4", \ + " 80.3, 100.4, 156.2, 285.9, 520.5, 1024.5", \ + " 95.5, 114.2, 167.3, 293.7, 525.1, 1025.3", \ + " 115.7, 136.2, 187.8, 309.1, 535.7, 1030.3", \ + " 145.8, 167.6, 222.2, 338.6, 557.6, 1043.4", \ + " 189.6, 212.8, 270.4, 389.0, 598.4, 1071.4", \ + " 254.1, 279.0, 340.2, 465.2, 670.6, 1126.1", \ + " 347.8, 375.6, 442.0, 574.7, 789.1, 1226.7" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 52.1 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 71.3, 105.1, 180.2, 312.9, 594.6", \ + " 65.6, 78.7, 112.8, 188.1, 320.9, 602.6", \ + " 71.0, 84.1, 118.2, 193.5, 326.4, 608.2", \ + " 77.8, 90.9, 124.8, 200.2, 333.2, 615.0", \ + " 87.8, 102.0, 136.1, 211.3, 344.3, 626.1", \ + " 98.7, 114.5, 151.9, 227.0, 359.8, 641.6", \ + " 113.2, 130.8, 172.0, 251.0, 383.4, 664.8", \ + " 133.5, 152.9, 198.1, 284.8, 419.0, 699.5", \ + " 162.8, 184.5, 234.5, 329.1, 473.4, 753.0", \ + " 204.7, 229.5, 285.7, 389.9, 547.2, 836.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.2, 143.1, 237.3, 451.2, 832.6, 1643.9", \ + " 108.7, 143.4, 237.5, 451.2, 832.6, 1643.9", \ + " 110.9, 144.9, 237.9, 451.3, 832.6, 1643.9", \ + " 115.6, 149.1, 240.8, 451.9, 832.7, 1643.9", \ + " 125.8, 158.4, 248.5, 456.9, 833.8, 1643.9", \ + " 144.8, 175.3, 262.7, 467.5, 840.2, 1644.4", \ + " 175.8, 207.5, 289.6, 488.7, 855.3, 1651.3", \ + " 220.2, 253.1, 337.5, 527.3, 884.9, 1669.7", \ + " 287.5, 321.3, 409.1, 595.3, 940.0, 1708.5", \ + " 384.7, 420.2, 511.6, 706.3, 1037.7, 1783.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.4, 51.6, 74.6, 123.6, 208.6, 387.5", \ + " 46.1, 55.4, 78.4, 127.5, 212.4, 391.3", \ + " 49.0, 58.2, 81.3, 130.4, 215.4, 394.3", \ + " 52.2, 62.0, 85.3, 134.5, 219.6, 398.5", \ + " 56.4, 67.1, 92.2, 141.9, 227.1, 406.0", \ + " 60.6, 72.5, 100.1, 152.7, 238.0, 417.0", \ + " 63.9, 77.7, 108.9, 166.7, 254.8, 433.8", \ + " 65.2, 81.2, 117.3, 182.4, 278.3, 458.8", \ + " 62.6, 81.4, 123.7, 198.7, 305.7, 497.0", \ + " 53.4, 75.4, 125.1, 213.3, 335.9, 547.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 78.9, 100.5, 158.5, 288.9, 520.8, 1014.1", \ + " 79.8, 101.0, 158.1, 287.8, 519.8, 1013.2", \ + " 83.0, 103.8, 160.2, 288.7, 519.5, 1012.8", \ + " 89.2, 109.4, 164.5, 291.4, 520.6, 1012.6", \ + " 104.1, 122.6, 175.3, 299.0, 525.1, 1013.5", \ + " 124.0, 144.4, 195.2, 314.2, 535.5, 1018.5", \ + " 153.9, 175.4, 229.1, 343.2, 557.3, 1031.7", \ + " 197.2, 220.1, 276.7, 392.9, 597.7, 1059.6", \ + " 260.0, 284.9, 345.4, 468.0, 669.2, 1114.0", \ + " 350.4, 378.6, 445.2, 575.9, 786.1, 1214.0" ); }} +timing() { /* ring osc delay cgi2bv0x3, path b to z 111.5 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.7 ; */ +/* intrinsic_fall : 107.0 ; */ +/* rise_resistance : 1.41 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.8, 103.6, 138.7, 214.9, 348.3, 630.4", \ + " 96.6, 110.3, 145.4, 221.8, 355.3, 637.5", \ + " 101.5, 115.2, 150.3, 226.7, 360.4, 642.7", \ + " 107.6, 121.2, 156.2, 232.7, 366.5, 648.8", \ + " 116.1, 129.6, 164.3, 240.8, 374.6, 657.1", \ + " 124.7, 138.1, 172.7, 248.9, 382.7, 665.3", \ + " 133.7, 147.0, 181.3, 257.3, 391.0, 673.5", \ + " 142.5, 155.8, 189.9, 265.4, 399.0, 681.4", \ + " 150.3, 163.9, 198.0, 273.1, 406.2, 688.5", \ + " 155.2, 169.2, 203.9, 279.1, 412.1, 694.0" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.6, 140.7, 229.7, 431.5, 790.9, 1554.6", \ + " 107.7, 140.7, 229.7, 431.5, 790.9, 1554.6", \ + " 107.8, 140.8, 229.8, 431.5, 790.9, 1554.6", \ + " 108.3, 141.1, 229.9, 431.5, 790.9, 1554.6", \ + " 110.2, 142.6, 230.6, 431.6, 790.8, 1554.5", \ + " 113.2, 145.0, 232.1, 432.2, 791.0, 1554.5", \ + " 117.2, 148.5, 234.6, 433.3, 791.4, 1554.6", \ + " 123.2, 154.0, 238.5, 435.4, 792.2, 1555.0", \ + " 132.2, 162.5, 245.6, 439.7, 794.3, 1555.7", \ + " 145.0, 175.1, 257.2, 448.4, 799.7, 1558.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.2, 85.7, 109.0, 157.7, 242.1, 420.4", \ + " 84.0, 93.5, 116.7, 165.5, 249.9, 428.2", \ + " 90.2, 99.7, 122.9, 171.7, 256.2, 434.5", \ + " 98.4, 107.9, 131.1, 180.0, 264.5, 442.9", \ + " 111.4, 120.9, 144.2, 193.2, 277.8, 456.2", \ + " 126.6, 136.3, 159.9, 209.1, 293.7, 472.2", \ + " 145.7, 155.6, 179.5, 229.0, 313.8, 492.3", \ + " 169.7, 180.0, 204.3, 254.2, 339.1, 517.6", \ + " 200.8, 211.9, 236.8, 287.2, 372.3, 550.9", \ + " 241.8, 253.8, 280.1, 331.4, 416.9, 595.5" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.0, 83.1, 135.7, 256.9, 474.5, 938.4", \ + " 64.1, 83.1, 135.7, 256.9, 474.5, 938.4", \ + " 64.1, 83.2, 135.8, 256.9, 474.5, 938.4", \ + " 64.6, 83.5, 135.9, 257.0, 474.5, 938.4", \ + " 66.8, 85.2, 136.8, 257.3, 474.5, 938.4", \ + " 71.1, 88.7, 139.0, 258.4, 474.9, 938.4", \ + " 76.2, 93.4, 142.6, 260.2, 475.7, 938.6", \ + " 83.3, 100.0, 147.5, 263.1, 477.1, 939.0", \ + " 93.4, 109.7, 155.5, 267.8, 479.6, 939.8", \ + " 107.8, 123.8, 168.1, 276.5, 484.4, 941.9" ); }} +timing() { /* ring osc delay cgi2bv0x3, path b to z 121.3 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.7 ; */ +/* intrinsic_fall : 107.0 ; */ +/* rise_resistance : 1.41 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.7, 100.4, 135.1, 211.0, 344.6, 627.4", \ + " 93.7, 107.3, 142.0, 218.0, 351.7, 634.5", \ + " 98.9, 112.5, 147.1, 223.1, 356.9, 639.8", \ + " 105.0, 118.5, 153.1, 229.3, 363.2, 646.2", \ + " 113.2, 126.6, 161.2, 237.3, 371.4, 654.5", \ + " 120.9, 134.5, 169.1, 245.2, 379.3, 662.6", \ + " 128.8, 142.4, 176.9, 253.1, 387.3, 670.7", \ + " 135.8, 149.8, 184.4, 260.4, 394.7, 678.1", \ + " 141.0, 155.4, 190.5, 266.5, 400.7, 684.3", \ + " 142.3, 157.4, 193.3, 269.6, 404.0, 687.7" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.9, 153.3, 241.8, 443.2, 802.7, 1566.5", \ + " 121.0, 153.4, 241.8, 443.2, 802.6, 1566.5", \ + " 121.0, 153.4, 241.8, 443.2, 802.6, 1566.5", \ + " 121.2, 153.5, 241.8, 443.2, 802.6, 1566.5", \ + " 122.3, 154.2, 242.1, 443.1, 802.5, 1566.4", \ + " 124.3, 155.6, 242.8, 443.4, 802.6, 1566.4", \ + " 127.1, 158.1, 244.2, 443.8, 802.7, 1566.4", \ + " 132.0, 161.8, 246.6, 444.9, 802.9, 1566.5", \ + " 140.1, 168.8, 251.5, 447.5, 803.9, 1566.8", \ + " 152.4, 179.8, 260.4, 453.0, 807.0, 1568.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 103.5, 127.4, 177.0, 262.3, 441.4", \ + " 101.4, 111.2, 135.0, 184.7, 270.1, 449.1", \ + " 107.4, 117.3, 141.1, 190.9, 276.3, 455.4", \ + " 115.6, 125.4, 149.3, 199.1, 284.6, 463.8", \ + " 129.1, 138.9, 162.8, 212.7, 298.2, 477.5", \ + " 145.9, 155.9, 179.8, 229.6, 315.2, 494.4", \ + " 166.8, 176.9, 201.2, 251.3, 336.7, 516.0", \ + " 193.1, 203.5, 228.0, 278.2, 364.0, 543.0", \ + " 227.5, 238.2, 263.4, 313.9, 399.5, 578.8", \ + " 272.8, 284.2, 310.6, 362.2, 448.0, 627.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.2, 99.0, 152.1, 273.0, 490.2, 954.1", \ + " 79.3, 99.1, 152.1, 273.0, 490.2, 954.1", \ + " 79.4, 99.1, 152.2, 273.1, 490.2, 954.0", \ + " 79.7, 99.4, 152.3, 273.1, 490.2, 954.0", \ + " 81.1, 100.5, 153.0, 273.3, 490.2, 953.9", \ + " 84.7, 103.8, 155.5, 274.6, 490.5, 953.8", \ + " 89.6, 108.5, 159.5, 277.3, 491.9, 954.1", \ + " 96.1, 114.9, 164.9, 281.1, 494.1, 955.0", \ + " 105.7, 124.5, 173.4, 287.1, 497.8, 956.5", \ + " 119.5, 138.6, 187.1, 298.0, 504.9, 960.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 103.7 ; */ +/* intrinsic_fall : 107.0 ; */ +/* rise_resistance : 1.41 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.7, 100.4, 135.1, 211.0, 344.6, 627.4", \ + " 93.7, 107.3, 142.0, 218.0, 351.7, 634.5", \ + " 98.9, 112.5, 147.1, 223.1, 356.9, 639.8", \ + " 105.0, 118.5, 153.1, 229.3, 363.2, 646.2", \ + " 113.2, 126.6, 161.2, 237.3, 371.4, 654.5", \ + " 120.9, 134.5, 169.1, 245.2, 379.3, 662.6", \ + " 128.8, 142.4, 176.9, 253.1, 387.3, 670.7", \ + " 135.8, 149.8, 184.4, 260.4, 394.7, 678.1", \ + " 141.0, 155.4, 190.5, 266.5, 400.7, 684.3", \ + " 142.3, 157.4, 193.3, 269.6, 404.0, 687.7" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.9, 153.3, 241.8, 443.2, 802.7, 1566.5", \ + " 121.0, 153.4, 241.8, 443.2, 802.6, 1566.5", \ + " 121.0, 153.4, 241.8, 443.2, 802.6, 1566.5", \ + " 121.2, 153.5, 241.8, 443.2, 802.6, 1566.5", \ + " 122.3, 154.2, 242.1, 443.1, 802.5, 1566.4", \ + " 124.3, 155.6, 242.8, 443.4, 802.6, 1566.4", \ + " 127.1, 158.1, 244.2, 443.8, 802.7, 1566.4", \ + " 132.0, 161.8, 246.6, 444.9, 802.9, 1566.5", \ + " 140.1, 168.8, 251.5, 447.5, 803.9, 1566.8", \ + " 152.4, 179.8, 260.4, 453.0, 807.0, 1568.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 103.5, 127.4, 177.0, 262.3, 441.4", \ + " 101.4, 111.2, 135.0, 184.7, 270.1, 449.1", \ + " 107.4, 117.3, 141.1, 190.9, 276.3, 455.4", \ + " 115.6, 125.4, 149.3, 199.1, 284.6, 463.8", \ + " 129.1, 138.9, 162.8, 212.7, 298.2, 477.5", \ + " 145.9, 155.9, 179.8, 229.6, 315.2, 494.4", \ + " 166.8, 176.9, 201.2, 251.3, 336.7, 516.0", \ + " 193.1, 203.5, 228.0, 278.2, 364.0, 543.0", \ + " 227.5, 238.2, 263.4, 313.9, 399.5, 578.8", \ + " 272.8, 284.2, 310.6, 362.2, 448.0, 627.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.2, 99.0, 152.1, 273.0, 490.2, 954.1", \ + " 79.3, 99.1, 152.1, 273.0, 490.2, 954.1", \ + " 79.4, 99.1, 152.2, 273.1, 490.2, 954.0", \ + " 79.7, 99.4, 152.3, 273.1, 490.2, 954.0", \ + " 81.1, 100.5, 153.0, 273.3, 490.2, 953.9", \ + " 84.7, 103.8, 155.5, 274.6, 490.5, 953.8", \ + " 89.6, 108.5, 159.5, 277.3, 491.9, 954.1", \ + " 96.1, 114.9, 164.9, 281.1, 494.1, 955.0", \ + " 105.7, 124.5, 173.4, 287.1, 497.8, 956.5", \ + " 119.5, 138.6, 187.1, 298.0, 504.9, 960.0" ); }} +timing() { /* ring osc delay cgi2bv0x3, path c to z 63.6 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.4 ; */ +/* intrinsic_fall : 46.9 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 57.0, 91.4, 166.9, 299.8, 581.6", \ + " 49.3, 62.4, 96.9, 172.7, 306.0, 588.0", \ + " 54.7, 67.6, 101.7, 177.5, 310.8, 592.8", \ + " 62.7, 75.1, 108.7, 184.1, 317.3, 599.4", \ + " 73.6, 88.4, 121.9, 196.4, 329.2, 611.1", \ + " 87.1, 103.7, 141.6, 215.1, 347.0, 628.3", \ + " 104.9, 123.6, 166.5, 244.6, 374.8, 654.8", \ + " 128.2, 149.3, 197.6, 286.6, 417.6, 695.1", \ + " 159.4, 183.6, 238.2, 339.0, 484.0, 758.0", \ + " 201.8, 229.9, 292.7, 406.6, 572.3, 857.1" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.2, 137.1, 230.3, 440.2, 813.4, 1606.3", \ + " 104.4, 138.0, 229.7, 440.1, 813.4, 1606.3", \ + " 109.8, 142.4, 232.0, 439.8, 813.3, 1606.3", \ + " 118.1, 149.8, 237.3, 441.4, 813.2, 1606.3", \ + " 134.8, 165.2, 249.7, 449.0, 814.5, 1606.3", \ + " 161.7, 189.7, 271.1, 464.6, 823.2, 1606.2", \ + " 192.5, 228.9, 307.1, 493.9, 843.7, 1613.8", \ + " 233.7, 272.7, 363.7, 543.7, 882.6, 1637.4", \ + " 295.2, 336.5, 436.4, 624.7, 952.5, 1687.3", \ + " 386.2, 430.8, 537.8, 747.9, 1071.0, 1781.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 35.8, 58.3, 106.9, 191.2, 369.3", \ + " 33.4, 42.0, 64.6, 113.5, 198.0, 376.2", \ + " 37.6, 47.0, 69.4, 118.3, 202.8, 381.1", \ + " 41.3, 52.5, 76.0, 124.7, 209.3, 387.6", \ + " 45.8, 59.0, 87.0, 136.3, 220.7, 399.0", \ + " 50.0, 65.2, 98.0, 153.2, 237.3, 415.4", \ + " 53.4, 70.8, 108.8, 174.2, 262.7, 440.3", \ + " 54.6, 74.5, 118.6, 195.3, 298.6, 477.4", \ + " 51.6, 74.7, 126.1, 215.8, 338.3, 534.0", \ + " 41.4, 68.4, 128.7, 234.1, 378.4, 611.0" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.5, 83.8, 140.5, 267.9, 494.6, 976.6", \ + " 67.3, 87.1, 141.5, 267.8, 494.6, 976.6", \ + " 73.9, 93.0, 145.6, 269.0, 494.5, 976.6", \ + " 84.1, 102.3, 153.2, 273.5, 495.3, 976.6", \ + " 103.5, 120.9, 169.5, 285.5, 501.7, 976.6", \ + " 125.1, 146.6, 195.7, 307.4, 517.1, 982.4", \ + " 157.2, 180.0, 235.9, 345.3, 547.6, 1000.8", \ + " 202.6, 227.3, 287.3, 404.8, 600.7, 1039.4", \ + " 267.0, 294.8, 360.2, 489.0, 687.6, 1111.7", \ + " 358.9, 391.0, 464.8, 604.9, 821.8, 1237.7" ); }} +timing() { /* ring osc delay cgi2bv0x3, path c to z 67.9 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.4 ; */ +/* intrinsic_fall : 46.9 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 50.5, 85.3, 161.7, 296.2, 580.4", \ + " 42.8, 56.0, 90.9, 167.6, 302.4, 586.8", \ + " 48.6, 61.2, 95.7, 172.4, 307.2, 591.7", \ + " 55.7, 69.1, 102.7, 179.0, 313.7, 598.3", \ + " 65.8, 81.4, 116.0, 191.4, 325.7, 610.0", \ + " 78.4, 96.0, 135.5, 210.1, 343.4, 627.1", \ + " 95.5, 115.2, 159.8, 239.7, 371.3, 653.7", \ + " 118.2, 140.4, 190.4, 281.5, 414.1, 694.0", \ + " 149.0, 174.3, 230.8, 333.7, 480.7, 756.9", \ + " 191.7, 220.7, 285.4, 401.5, 569.1, 856.0" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 134.6, 168.9, 261.0, 469.7, 842.1, 1634.3", \ + " 138.1, 170.5, 260.5, 469.5, 842.1, 1634.3", \ + " 144.0, 175.4, 263.2, 469.2, 842.0, 1634.3", \ + " 153.1, 183.4, 269.0, 471.2, 841.9, 1634.3", \ + " 170.2, 199.6, 282.0, 479.2, 843.4, 1634.3", \ + " 196.3, 224.5, 304.1, 495.4, 852.4, 1634.3", \ + " 236.6, 264.4, 340.7, 525.3, 873.3, 1642.2", \ + " 281.7, 316.2, 397.5, 575.9, 912.9, 1666.1", \ + " 347.9, 384.5, 477.0, 657.9, 983.6, 1716.5", \ + " 445.6, 484.3, 583.2, 784.7, 1103.2, 1811.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.7, 43.6, 66.4, 115.6, 201.9, 384.3", \ + " 40.9, 49.8, 72.7, 122.1, 208.5, 391.0", \ + " 46.1, 54.7, 77.4, 126.9, 213.4, 395.9", \ + " 51.4, 61.3, 83.9, 133.3, 219.8, 402.3", \ + " 57.5, 69.4, 95.5, 144.7, 231.1, 413.6", \ + " 63.0, 76.9, 107.8, 161.5, 247.6, 429.9", \ + " 67.6, 83.8, 120.1, 183.9, 272.7, 454.6", \ + " 70.2, 89.1, 131.5, 206.7, 309.2, 491.3", \ + " 69.0, 91.2, 141.0, 229.1, 350.7, 547.5", \ + " 61.2, 87.3, 146.0, 249.6, 392.9, 625.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.8, 87.3, 145.0, 275.5, 507.7, 1001.4", \ + " 68.7, 89.2, 145.4, 275.3, 507.7, 1001.4", \ + " 74.2, 94.0, 148.7, 276.0, 507.6, 1001.4", \ + " 83.0, 102.3, 155.4, 279.8, 508.0, 1001.4", \ + " 101.4, 119.3, 170.4, 290.8, 513.6, 1001.3", \ + " 121.1, 144.0, 195.2, 311.5, 528.0, 1006.3", \ + " 150.7, 175.6, 234.4, 348.0, 557.1, 1023.5", \ + " 193.2, 220.4, 284.3, 406.0, 608.8, 1060.8", \ + " 254.4, 285.1, 355.1, 489.3, 694.1, 1131.3", \ + " 342.5, 378.1, 457.2, 603.7, 827.1, 1255.2" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.4 ; */ +/* intrinsic_fall : 46.9 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 50.5, 85.3, 161.7, 296.2, 580.4", \ + " 42.8, 56.0, 90.9, 167.6, 302.4, 586.8", \ + " 48.6, 61.2, 95.7, 172.4, 307.2, 591.7", \ + " 55.7, 69.1, 102.7, 179.0, 313.7, 598.3", \ + " 65.8, 81.4, 116.0, 191.4, 325.7, 610.0", \ + " 78.4, 96.0, 135.5, 210.1, 343.4, 627.1", \ + " 95.5, 115.2, 159.8, 239.7, 371.3, 653.7", \ + " 118.2, 140.4, 190.4, 281.5, 414.1, 694.0", \ + " 149.0, 174.3, 230.8, 333.7, 480.7, 756.9", \ + " 191.7, 220.7, 285.4, 401.5, 569.1, 856.0" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 134.6, 168.9, 261.0, 469.7, 842.1, 1634.3", \ + " 138.1, 170.5, 260.5, 469.5, 842.1, 1634.3", \ + " 144.0, 175.4, 263.2, 469.2, 842.0, 1634.3", \ + " 153.1, 183.4, 269.0, 471.2, 841.9, 1634.3", \ + " 170.2, 199.6, 282.0, 479.2, 843.4, 1634.3", \ + " 196.3, 224.5, 304.1, 495.4, 852.4, 1634.3", \ + " 236.6, 264.4, 340.7, 525.3, 873.3, 1642.2", \ + " 281.7, 316.2, 397.5, 575.9, 912.9, 1666.1", \ + " 347.9, 384.5, 477.0, 657.9, 983.6, 1716.5", \ + " 445.6, 484.3, 583.2, 784.7, 1103.2, 1811.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.7, 43.6, 66.4, 115.6, 201.9, 384.3", \ + " 40.9, 49.8, 72.7, 122.1, 208.5, 391.0", \ + " 46.1, 54.7, 77.4, 126.9, 213.4, 395.9", \ + " 51.4, 61.3, 83.9, 133.3, 219.8, 402.3", \ + " 57.5, 69.4, 95.5, 144.7, 231.1, 413.6", \ + " 63.0, 76.9, 107.8, 161.5, 247.6, 429.9", \ + " 67.6, 83.8, 120.1, 183.9, 272.7, 454.6", \ + " 70.2, 89.1, 131.5, 206.7, 309.2, 491.3", \ + " 69.0, 91.2, 141.0, 229.1, 350.7, 547.5", \ + " 61.2, 87.3, 146.0, 249.6, 392.9, 625.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.8, 87.3, 145.0, 275.5, 507.7, 1001.4", \ + " 68.7, 89.2, 145.4, 275.3, 507.7, 1001.4", \ + " 74.2, 94.0, 148.7, 276.0, 507.6, 1001.4", \ + " 83.0, 102.3, 155.4, 279.8, 508.0, 1001.4", \ + " 101.4, 119.3, 170.4, 290.8, 513.6, 1001.3", \ + " 121.1, 144.0, 195.2, 311.5, 528.0, 1006.3", \ + " 150.7, 175.6, 234.4, 348.0, 557.1, 1023.5", \ + " 193.2, 220.4, 284.3, 406.0, 608.8, 1060.8", \ + " 254.4, 285.1, 355.1, 489.3, 694.1, 1131.3", \ + " 342.5, 378.1, 457.2, 603.7, 827.1, 1255.2" ); }} +} +} +cell(cgi2cv0x05) { /* 2008-01-06:07h34 */ +area : 9 ; /* tracks */ +cell_leakage_power : 878 ; /* cgi2cv0x05 */ +cell_footprint : cgi2c ; +pin(a) { /* cgi2cv0x05 FO4 effort 2.78 logical effort 3.63 */ +direction : input ; +capacitance : 4.94 ; +rise_capacitance : 4.94 ; +fall_capacitance : 4.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2cv0x05 */ +} +pin(b) { /* cgi2cv0x05 FO4 effort 2.77 logical effort 3.67 */ +direction : input ; +capacitance : 4.95 ; +rise_capacitance : 4.78 ; +fall_capacitance : 5.12 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2cv0x05 */ +} +pin(c) { /* cgi2cv0x05 FO4 effort 2.47 */ +direction : input ; +capacitance : 3.69 ; +rise_capacitance : 3.74 ; +fall_capacitance : 3.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2cv0x05 */ +internal_power(c) { /* cgi2cv0x05 9.63 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.67, 4.56, 4.64, 4.81, 5.23, 5.95, 7.17, 9.10, 12.15, 16.94" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 55 ; +max_fanout : 2 ; +function : "((a*b)+(a*c')+(b*c'))'" ; +internal_power(a_z_n) { /* cgi2cv0x05 10.83 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 5.68, 5.68, 5.69, 5.69, 5.67", \ + " 5.47, 5.49, 5.52, 5.54, 5.53", \ + " 5.40, 5.42, 5.46, 5.49, 5.49", \ + " 5.36, 5.38, 5.42, 5.45, 5.46", \ + " 5.37, 5.37, 5.39, 5.42, 5.43", \ + " 5.50, 5.48, 5.45, 5.45, 5.44", \ + " 5.87, 5.80, 5.69, 5.59, 5.53", \ + " 6.66, 6.51, 6.25, 5.98, 5.78", \ + " 8.14, 7.89, 7.42, 6.85, 6.40", \ + " 10.66, 10.31, 9.59, 8.59, 7.70" ); }} +internal_power(b_z_n) { /* cgi2cv0x05 9.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.19, 5.25, 5.32, 5.36, 5.37", \ + " 4.93, 5.02, 5.16, 5.26, 5.31", \ + " 4.84, 4.93, 5.07, 5.20, 5.27", \ + " 4.79, 4.86, 4.99, 5.14, 5.23", \ + " 4.81, 4.85, 4.95, 5.08, 5.19", \ + " 4.99, 4.98, 5.00, 5.09, 5.17", \ + " 5.44, 5.36, 5.26, 5.22, 5.24", \ + " 6.30, 6.13, 5.86, 5.62, 5.48", \ + " 7.84, 7.57, 7.07, 6.51, 6.10", \ + " 10.42, 10.03, 9.26, 8.26, 7.41" ); }} +internal_power(c_z_p) { /* cgi2cv0x05 17.00 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.64, 3.70, 3.76, 3.81, 3.82", \ + " 3.59, 3.65, 3.73, 3.79, 3.81", \ + " 3.56, 3.62, 3.71, 3.78, 3.80", \ + " 3.54, 3.60, 3.68, 3.76, 3.79", \ + " 3.52, 3.57, 3.65, 3.73, 3.78", \ + " 3.50, 3.54, 3.62, 3.70, 3.75", \ + " 3.50, 3.53, 3.59, 3.67, 3.73", \ + " 3.52, 3.53, 3.57, 3.64, 3.70", \ + " 3.58, 3.57, 3.57, 3.62, 3.67", \ + " 3.71, 3.66, 3.62, 3.62, 3.65" ); }} +timing() { /* ring osc delay cgi2cv0x05, path a to z 89.9 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.4 ; */ +/* intrinsic_fall : 60.4 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 76.2, 113.2, 195.3, 340.6, 648.7", \ + " 68.9, 83.2, 120.4, 202.7, 348.0, 656.1", \ + " 73.7, 88.0, 125.2, 207.6, 353.0, 661.2", \ + " 80.0, 94.2, 131.4, 213.8, 359.3, 667.5", \ + " 90.0, 105.1, 142.2, 224.5, 370.0, 678.2", \ + " 101.5, 118.2, 158.0, 240.0, 385.3, 693.5", \ + " 117.0, 135.4, 179.1, 264.0, 408.8, 716.6", \ + " 138.1, 158.4, 206.2, 298.7, 444.2, 751.1", \ + " 167.4, 190.1, 242.9, 344.0, 498.7, 804.1", \ + " 207.6, 233.7, 293.3, 404.8, 573.8, 886.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.1, 159.8, 263.8, 498.7, 916.7, 1804.6", \ + " 121.4, 159.9, 263.6, 498.4, 916.3, 1804.2", \ + " 124.3, 162.0, 264.3, 498.4, 916.2, 1804.1", \ + " 129.5, 166.6, 267.7, 499.3, 916.2, 1804.0", \ + " 140.8, 176.9, 276.0, 504.5, 917.4, 1804.0", \ + " 161.2, 195.3, 291.3, 515.6, 923.7, 1804.3", \ + " 195.1, 229.0, 319.5, 537.3, 938.7, 1810.6", \ + " 241.4, 277.4, 368.1, 576.5, 968.1, 1828.1", \ + " 308.5, 346.1, 441.9, 644.7, 1023.2, 1865.9", \ + " 403.6, 444.0, 545.4, 757.2, 1120.5, 1939.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.3, 60.8, 87.9, 147.6, 252.7, 475.4", \ + " 55.5, 66.1, 93.5, 153.3, 258.5, 481.1", \ + " 58.7, 69.4, 96.9, 156.8, 262.0, 484.8", \ + " 62.6, 73.6, 101.2, 161.2, 266.5, 489.3", \ + " 67.3, 79.5, 108.6, 168.8, 274.2, 497.1", \ + " 72.0, 85.7, 117.7, 179.8, 285.3, 508.2", \ + " 76.2, 92.0, 127.9, 195.7, 302.2, 525.1", \ + " 78.8, 97.2, 138.6, 214.0, 327.4, 550.2", \ + " 78.6, 100.2, 148.5, 234.6, 359.5, 588.5", \ + " 73.8, 98.9, 155.5, 255.7, 396.6, 645.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 81.0, 107.9, 180.0, 342.9, 632.9, 1249.6", \ + " 81.9, 108.4, 180.2, 342.9, 632.9, 1249.6", \ + " 84.8, 111.0, 181.8, 343.2, 633.0, 1249.6", \ + " 90.1, 115.6, 185.4, 345.4, 633.3, 1249.6", \ + " 102.9, 127.0, 194.4, 351.5, 636.6, 1249.7", \ + " 123.3, 148.2, 211.9, 364.4, 644.9, 1252.9", \ + " 152.9, 179.1, 244.7, 390.0, 663.2, 1262.9", \ + " 196.6, 224.2, 293.0, 436.1, 698.8, 1285.9", \ + " 262.2, 291.3, 363.4, 512.2, 764.3, 1333.1", \ + " 359.1, 390.5, 467.1, 623.0, 878.2, 1423.0" ); }} +timing() { /* ring osc delay cgi2cv0x05, path a to z 92.3 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.4 ; */ +/* intrinsic_fall : 60.4 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.4, 78.4, 115.1, 196.9, 341.9, 649.9", \ + " 71.9, 86.0, 122.8, 204.8, 350.0, 658.0", \ + " 77.4, 91.5, 128.4, 210.4, 355.6, 663.7", \ + " 84.2, 98.3, 135.2, 217.3, 362.6, 670.7", \ + " 94.6, 109.5, 146.5, 228.6, 373.9, 682.1", \ + " 105.6, 122.5, 162.2, 244.2, 389.5, 697.6", \ + " 119.4, 138.3, 182.6, 267.8, 412.8, 720.7", \ + " 137.6, 158.9, 208.1, 301.6, 447.6, 755.0", \ + " 163.6, 187.6, 242.4, 345.4, 501.2, 807.4", \ + " 201.2, 228.5, 290.3, 404.2, 575.0, 889.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 114.9, 153.3, 256.5, 490.6, 908.0, 1795.5", \ + " 115.5, 153.6, 256.7, 490.6, 908.0, 1795.5", \ + " 117.0, 154.5, 257.1, 490.7, 908.0, 1795.5", \ + " 121.3, 158.2, 259.2, 491.2, 908.1, 1795.5", \ + " 130.7, 166.8, 266.0, 495.1, 908.7, 1795.5", \ + " 148.1, 182.4, 279.2, 504.8, 914.0, 1795.7", \ + " 178.8, 212.6, 304.4, 524.6, 927.7, 1800.9", \ + " 222.4, 258.5, 350.2, 561.3, 955.6, 1817.4", \ + " 290.7, 327.5, 423.4, 627.6, 1008.7, 1853.9", \ + " 394.1, 431.8, 530.0, 740.5, 1104.6, 1926.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.8, 61.0, 89.3, 150.2, 256.2, 479.7", \ + " 53.8, 65.0, 93.4, 154.3, 260.2, 483.6", \ + " 56.8, 68.1, 96.4, 157.4, 263.4, 486.7", \ + " 61.0, 72.3, 100.6, 161.6, 267.7, 491.0", \ + " 66.6, 78.9, 108.3, 169.3, 275.3, 498.7", \ + " 72.6, 86.2, 118.1, 180.5, 286.5, 509.9", \ + " 78.7, 94.1, 129.5, 196.9, 303.6, 526.8", \ + " 83.6, 101.4, 141.7, 216.3, 329.2, 552.1", \ + " 86.1, 106.8, 153.6, 238.2, 362.1, 590.7", \ + " 83.8, 108.0, 162.8, 261.1, 400.5, 647.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.2, 122.0, 194.0, 356.8, 646.9, 1263.7", \ + " 95.7, 122.0, 193.2, 355.7, 645.8, 1262.8", \ + " 98.7, 124.6, 195.0, 356.1, 645.5, 1262.4", \ + " 104.6, 129.7, 198.8, 358.3, 645.9, 1262.1", \ + " 118.4, 142.1, 208.7, 364.8, 649.3, 1262.1", \ + " 140.2, 164.2, 227.3, 378.4, 657.9, 1265.5", \ + " 171.0, 196.6, 260.7, 404.9, 676.8, 1275.8", \ + " 215.2, 242.4, 310.1, 451.7, 713.3, 1299.2", \ + " 279.2, 308.7, 380.7, 528.4, 779.6, 1347.1", \ + " 371.3, 404.4, 482.8, 639.4, 893.7, 1437.9" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 78.4 ; */ +/* intrinsic_fall : 60.4 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.4, 78.4, 115.1, 196.9, 341.9, 649.9", \ + " 71.9, 86.0, 122.8, 204.8, 350.0, 658.0", \ + " 77.4, 91.5, 128.4, 210.4, 355.6, 663.7", \ + " 84.2, 98.3, 135.2, 217.3, 362.6, 670.7", \ + " 94.6, 109.5, 146.5, 228.6, 373.9, 682.1", \ + " 105.6, 122.5, 162.2, 244.2, 389.5, 697.6", \ + " 119.4, 138.3, 182.6, 267.8, 412.8, 720.7", \ + " 137.6, 158.9, 208.1, 301.6, 447.6, 755.0", \ + " 163.6, 187.6, 242.4, 345.4, 501.2, 807.4", \ + " 201.2, 228.5, 290.3, 404.2, 575.0, 889.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 114.9, 153.3, 256.5, 490.6, 908.0, 1795.5", \ + " 115.5, 153.6, 256.7, 490.6, 908.0, 1795.5", \ + " 117.0, 154.5, 257.1, 490.7, 908.0, 1795.5", \ + " 121.3, 158.2, 259.2, 491.2, 908.1, 1795.5", \ + " 130.7, 166.8, 266.0, 495.1, 908.7, 1795.5", \ + " 148.1, 182.4, 279.2, 504.8, 914.0, 1795.7", \ + " 178.8, 212.6, 304.4, 524.6, 927.7, 1800.9", \ + " 222.4, 258.5, 350.2, 561.3, 955.6, 1817.4", \ + " 290.7, 327.5, 423.4, 627.6, 1008.7, 1853.9", \ + " 394.1, 431.8, 530.0, 740.5, 1104.6, 1926.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.8, 61.0, 89.3, 150.2, 256.2, 479.7", \ + " 53.8, 65.0, 93.4, 154.3, 260.2, 483.6", \ + " 56.8, 68.1, 96.4, 157.4, 263.4, 486.7", \ + " 61.0, 72.3, 100.6, 161.6, 267.7, 491.0", \ + " 66.6, 78.9, 108.3, 169.3, 275.3, 498.7", \ + " 72.6, 86.2, 118.1, 180.5, 286.5, 509.9", \ + " 78.7, 94.1, 129.5, 196.9, 303.6, 526.8", \ + " 83.6, 101.4, 141.7, 216.3, 329.2, 552.1", \ + " 86.1, 106.8, 153.6, 238.2, 362.1, 590.7", \ + " 83.8, 108.0, 162.8, 261.1, 400.5, 647.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.2, 122.0, 194.0, 356.8, 646.9, 1263.7", \ + " 95.7, 122.0, 193.2, 355.7, 645.8, 1262.8", \ + " 98.7, 124.6, 195.0, 356.1, 645.5, 1262.4", \ + " 104.6, 129.7, 198.8, 358.3, 645.9, 1262.1", \ + " 118.4, 142.1, 208.7, 364.8, 649.3, 1262.1", \ + " 140.2, 164.2, 227.3, 378.4, 657.9, 1265.5", \ + " 171.0, 196.6, 260.7, 404.9, 676.8, 1275.8", \ + " 215.2, 242.4, 310.1, 451.7, 713.3, 1299.2", \ + " 279.2, 308.7, 380.7, 528.4, 779.6, 1347.1", \ + " 371.3, 404.4, 482.8, 639.4, 893.7, 1437.9" ); }} +timing() { /* ring osc delay cgi2cv0x05, path b to z 81.8 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 61.1 ; */ +/* rise_resistance : 7.36 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.9, 73.6, 111.4, 194.0, 339.6, 647.9", \ + " 63.5, 78.3, 116.4, 199.6, 345.6, 654.1", \ + " 68.3, 82.9, 120.9, 204.2, 350.3, 658.9", \ + " 75.5, 89.8, 127.4, 210.6, 356.7, 665.4", \ + " 88.2, 103.2, 139.9, 222.4, 368.3, 677.0", \ + " 102.7, 119.9, 159.2, 240.4, 385.6, 693.9", \ + " 121.7, 141.1, 185.9, 269.1, 412.7, 719.9", \ + " 146.7, 168.2, 218.6, 312.1, 454.4, 759.4", \ + " 180.5, 204.8, 261.0, 366.9, 519.8, 821.0", \ + " 226.7, 254.5, 318.2, 437.0, 611.9, 918.2" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.3, 160.0, 264.0, 498.8, 916.7, 1804.6", \ + " 121.0, 159.1, 263.4, 498.4, 916.3, 1804.2", \ + " 125.4, 162.5, 264.1, 498.3, 916.2, 1804.1", \ + " 133.2, 169.2, 268.7, 499.0, 916.2, 1804.0", \ + " 149.5, 184.1, 280.4, 505.9, 917.1, 1804.0", \ + " 176.4, 209.2, 301.6, 521.1, 925.4, 1803.9", \ + " 217.9, 251.1, 338.9, 550.4, 945.7, 1811.7", \ + " 264.0, 305.8, 398.4, 602.0, 985.1, 1835.5", \ + " 332.1, 377.2, 484.8, 687.6, 1057.3, 1886.3", \ + " 432.5, 481.5, 598.5, 823.9, 1181.8, 1983.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.8, 52.5, 79.6, 139.1, 244.0, 466.5", \ + " 46.5, 57.5, 85.2, 145.2, 250.4, 473.0", \ + " 49.7, 60.6, 88.4, 148.7, 254.0, 476.7", \ + " 51.9, 64.5, 92.5, 152.9, 258.4, 481.3", \ + " 54.4, 67.8, 99.6, 160.3, 266.0, 489.0", \ + " 56.7, 71.9, 106.1, 171.0, 276.9, 500.0", \ + " 57.5, 75.4, 114.2, 185.1, 293.5, 516.7", \ + " 55.5, 76.8, 122.1, 201.0, 318.0, 541.6", \ + " 48.8, 74.0, 127.8, 218.8, 347.2, 579.5", \ + " 34.5, 64.2, 128.0, 235.6, 381.3, 634.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 73.7, 100.7, 173.0, 336.1, 626.3, 1243.1", \ + " 74.0, 100.5, 172.8, 336.0, 626.2, 1243.0", \ + " 77.2, 103.1, 173.9, 335.8, 626.1, 1243.0", \ + " 83.3, 108.1, 177.4, 337.6, 625.9, 1242.9", \ + " 99.1, 120.6, 186.6, 343.6, 628.9, 1242.6", \ + " 119.6, 145.6, 204.9, 356.4, 636.9, 1245.4", \ + " 147.5, 174.1, 240.9, 382.1, 655.1, 1255.1", \ + " 191.4, 218.2, 287.6, 429.3, 690.6, 1277.8", \ + " 258.7, 286.2, 356.7, 507.2, 756.5, 1324.8", \ + " 358.5, 387.4, 461.0, 615.8, 873.2, 1414.8" ); }} +timing() { /* ring osc delay cgi2cv0x05, path b to z 97.9 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 61.1 ; */ +/* rise_resistance : 7.36 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 74.1, 111.3, 193.7, 339.4, 648.3", \ + " 65.8, 80.5, 118.2, 201.1, 347.2, 656.4", \ + " 71.0, 85.5, 123.2, 206.3, 352.7, 661.9", \ + " 77.8, 92.1, 129.6, 212.8, 359.3, 668.8", \ + " 86.9, 103.4, 140.6, 223.5, 370.2, 679.9", \ + " 96.2, 114.2, 156.2, 238.7, 385.3, 695.1", \ + " 108.3, 128.4, 174.4, 262.1, 408.1, 717.7", \ + " 123.7, 146.7, 198.1, 294.2, 442.5, 751.5", \ + " 144.7, 171.3, 229.7, 335.7, 495.3, 803.3", \ + " 174.3, 205.3, 272.4, 391.3, 565.3, 884.1" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 138.2, 176.4, 279.5, 513.6, 931.2, 1818.9", \ + " 138.3, 176.3, 279.3, 513.4, 931.1, 1818.8", \ + " 138.9, 176.4, 279.3, 513.3, 930.9, 1818.8", \ + " 143.7, 180.1, 280.6, 513.2, 930.7, 1818.7", \ + " 154.0, 189.1, 287.6, 516.4, 930.6, 1818.4", \ + " 173.4, 205.8, 301.1, 526.0, 935.3, 1818.0", \ + " 213.5, 239.4, 327.1, 545.9, 948.9, 1822.6", \ + " 255.4, 292.2, 376.0, 583.2, 976.8, 1838.8", \ + " 320.2, 356.6, 454.5, 651.1, 1030.2, 1875.2", \ + " 423.9, 459.2, 556.6, 771.6, 1127.1, 1948.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.9, 66.4, 95.1, 156.3, 262.5, 486.0", \ + " 60.2, 71.9, 100.9, 162.4, 268.8, 492.4", \ + " 64.7, 76.3, 105.4, 167.1, 273.6, 497.3", \ + " 71.3, 82.6, 111.6, 173.3, 279.9, 503.7", \ + " 81.3, 94.0, 122.8, 184.5, 291.2, 515.1", \ + " 90.9, 105.8, 139.1, 200.9, 307.5, 531.3", \ + " 100.5, 117.8, 157.0, 226.3, 332.4, 556.0", \ + " 109.7, 129.7, 175.2, 256.8, 369.9, 592.7", \ + " 117.9, 141.0, 193.6, 289.0, 422.1, 649.0", \ + " 123.8, 150.6, 211.6, 322.4, 479.0, 735.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 96.6, 122.9, 194.4, 356.9, 646.9, 1263.7", \ + " 94.8, 121.1, 193.2, 355.9, 645.9, 1262.9", \ + " 97.5, 123.1, 193.4, 355.5, 645.6, 1262.5", \ + " 103.9, 128.8, 197.4, 356.5, 645.3, 1262.2", \ + " 118.8, 142.9, 209.0, 364.0, 647.6, 1261.9", \ + " 145.4, 167.4, 231.2, 381.0, 658.3, 1263.7", \ + " 175.9, 204.7, 269.6, 414.1, 683.1, 1276.8", \ + " 220.3, 252.0, 326.9, 470.4, 730.1, 1308.9", \ + " 284.8, 320.1, 402.7, 560.7, 812.9, 1373.1", \ + " 378.7, 418.9, 510.9, 684.9, 947.8, 1491.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.1 ; */ +/* intrinsic_fall : 61.1 ; */ +/* rise_resistance : 7.36 ; */ +/* fall_resistance : 5.38 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 74.1, 111.3, 193.7, 339.4, 648.3", \ + " 65.8, 80.5, 118.2, 201.1, 347.2, 656.4", \ + " 71.0, 85.5, 123.2, 206.3, 352.7, 661.9", \ + " 77.8, 92.1, 129.6, 212.8, 359.3, 668.8", \ + " 86.9, 103.4, 140.6, 223.5, 370.2, 679.9", \ + " 96.2, 114.2, 156.2, 238.7, 385.3, 695.1", \ + " 108.3, 128.4, 174.4, 262.1, 408.1, 717.7", \ + " 123.7, 146.7, 198.1, 294.2, 442.5, 751.5", \ + " 144.7, 171.3, 229.7, 335.7, 495.3, 803.3", \ + " 174.3, 205.3, 272.4, 391.3, 565.3, 884.1" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 138.2, 176.4, 279.5, 513.6, 931.2, 1818.9", \ + " 138.3, 176.3, 279.3, 513.4, 931.1, 1818.8", \ + " 138.9, 176.4, 279.3, 513.3, 930.9, 1818.8", \ + " 143.7, 180.1, 280.6, 513.2, 930.7, 1818.7", \ + " 154.0, 189.1, 287.6, 516.4, 930.6, 1818.4", \ + " 173.4, 205.8, 301.1, 526.0, 935.3, 1818.0", \ + " 213.5, 239.4, 327.1, 545.9, 948.9, 1822.6", \ + " 255.4, 292.2, 376.0, 583.2, 976.8, 1838.8", \ + " 320.2, 356.6, 454.5, 651.1, 1030.2, 1875.2", \ + " 423.9, 459.2, 556.6, 771.6, 1127.1, 1948.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.9, 66.4, 95.1, 156.3, 262.5, 486.0", \ + " 60.2, 71.9, 100.9, 162.4, 268.8, 492.4", \ + " 64.7, 76.3, 105.4, 167.1, 273.6, 497.3", \ + " 71.3, 82.6, 111.6, 173.3, 279.9, 503.7", \ + " 81.3, 94.0, 122.8, 184.5, 291.2, 515.1", \ + " 90.9, 105.8, 139.1, 200.9, 307.5, 531.3", \ + " 100.5, 117.8, 157.0, 226.3, 332.4, 556.0", \ + " 109.7, 129.7, 175.2, 256.8, 369.9, 592.7", \ + " 117.9, 141.0, 193.6, 289.0, 422.1, 649.0", \ + " 123.8, 150.6, 211.6, 322.4, 479.0, 735.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 96.6, 122.9, 194.4, 356.9, 646.9, 1263.7", \ + " 94.8, 121.1, 193.2, 355.9, 645.9, 1262.9", \ + " 97.5, 123.1, 193.4, 355.5, 645.6, 1262.5", \ + " 103.9, 128.8, 197.4, 356.5, 645.3, 1262.2", \ + " 118.8, 142.9, 209.0, 364.0, 647.6, 1261.9", \ + " 145.4, 167.4, 231.2, 381.0, 658.3, 1263.7", \ + " 175.9, 204.7, 269.6, 414.1, 683.1, 1276.8", \ + " 220.3, 252.0, 326.9, 470.4, 730.1, 1308.9", \ + " 284.8, 320.1, 402.7, 560.7, 812.9, 1373.1", \ + " 378.7, 418.9, 510.9, 684.9, 947.8, 1491.0" ); }} +timing() { /* ring osc delay cgi2cv0x05, path c to z 95.8 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.2 ; */ +/* intrinsic_fall : 85.5 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.3, 79.6, 116.7, 198.9, 344.1, 652.1", \ + " 71.4, 85.8, 123.0, 205.3, 350.6, 658.7", \ + " 75.1, 89.4, 126.7, 209.1, 354.5, 662.6", \ + " 78.7, 93.0, 130.2, 212.7, 358.1, 666.3", \ + " 82.8, 97.1, 134.3, 216.8, 362.3, 670.5", \ + " 86.6, 100.7, 138.0, 220.5, 366.0, 674.2", \ + " 90.0, 104.0, 141.0, 223.6, 369.1, 677.4", \ + " 92.1, 106.1, 143.1, 225.5, 371.2, 679.4", \ + " 91.2, 105.6, 142.9, 225.6, 371.2, 679.5", \ + " 85.2, 100.1, 138.0, 221.8, 368.1, 676.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.6, 140.5, 239.1, 460.6, 853.7, 1688.7", \ + " 103.5, 140.5, 239.1, 460.6, 853.7, 1688.7", \ + " 103.8, 140.5, 239.0, 460.6, 853.7, 1688.7", \ + " 104.5, 141.1, 239.2, 460.6, 853.7, 1688.7", \ + " 105.9, 142.0, 239.7, 460.9, 853.7, 1688.7", \ + " 107.7, 143.6, 240.5, 461.2, 854.1, 1688.7", \ + " 110.9, 146.0, 242.1, 461.9, 854.5, 1689.0", \ + " 116.2, 150.6, 245.1, 463.5, 855.3, 1689.4", \ + " 123.9, 158.0, 251.3, 467.4, 857.8, 1690.5", \ + " 135.0, 168.9, 261.7, 475.5, 863.6, 1694.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 72.8, 100.7, 160.6, 265.5, 488.0", \ + " 69.4, 80.6, 108.6, 168.6, 273.6, 496.1", \ + " 75.4, 86.6, 114.6, 174.6, 279.7, 502.2", \ + " 82.6, 93.8, 121.8, 181.9, 287.0, 509.6", \ + " 93.1, 104.6, 132.7, 192.8, 298.0, 520.6", \ + " 105.7, 117.2, 145.7, 206.0, 311.2, 533.8", \ + " 122.2, 134.0, 162.6, 223.2, 328.5, 551.1", \ + " 143.7, 155.9, 184.8, 245.4, 351.1, 573.7", \ + " 172.1, 185.0, 214.9, 276.0, 381.6, 604.7", \ + " 210.3, 224.1, 255.6, 318.4, 424.7, 648.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.3, 99.0, 165.8, 318.3, 590.9, 1170.9", \ + " 74.5, 99.1, 165.9, 318.3, 590.9, 1170.9", \ + " 75.0, 99.4, 166.0, 318.4, 590.9, 1170.9", \ + " 76.4, 100.4, 166.5, 318.5, 590.9, 1170.9", \ + " 79.2, 102.8, 168.1, 319.2, 591.0, 1170.9", \ + " 82.1, 105.6, 170.2, 320.4, 591.7, 1170.9", \ + " 86.4, 109.3, 173.1, 322.0, 592.6, 1171.5", \ + " 92.7, 115.1, 177.3, 324.7, 593.9, 1172.2", \ + " 101.9, 124.1, 185.0, 329.7, 596.8, 1173.4", \ + " 114.8, 137.0, 197.3, 339.5, 603.2, 1176.8" ); }} +timing() { /* ring osc delay cgi2cv0x05, path c to z 98.4 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.2 ; */ +/* intrinsic_fall : 85.5 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 73.9, 111.5, 194.7, 341.4, 651.8", \ + " 65.5, 80.0, 117.8, 201.1, 348.0, 658.5", \ + " 69.2, 83.7, 121.5, 204.9, 351.8, 662.3", \ + " 72.9, 87.2, 125.0, 208.4, 355.5, 666.0", \ + " 77.1, 91.4, 129.1, 212.6, 359.6, 670.2", \ + " 81.0, 95.1, 132.7, 216.2, 363.3, 674.0", \ + " 84.4, 98.5, 135.8, 219.4, 366.5, 677.1", \ + " 86.4, 100.6, 137.9, 221.3, 368.5, 679.1", \ + " 85.5, 100.1, 137.7, 221.4, 368.5, 679.2", \ + " 79.3, 94.5, 132.9, 217.6, 365.5, 676.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 134.3, 170.5, 268.0, 488.5, 880.9, 1715.2", \ + " 134.3, 170.5, 268.0, 488.5, 880.9, 1715.2", \ + " 134.8, 170.6, 267.9, 488.5, 880.9, 1715.2", \ + " 135.8, 171.3, 268.2, 488.4, 880.9, 1715.2", \ + " 137.4, 172.4, 268.7, 488.7, 880.9, 1715.2", \ + " 139.9, 174.2, 269.6, 489.1, 881.2, 1715.2", \ + " 143.5, 177.2, 271.3, 489.8, 881.6, 1715.5", \ + " 149.4, 182.3, 274.7, 491.5, 882.5, 1715.9", \ + " 158.3, 190.5, 281.4, 495.6, 885.0, 1717.0", \ + " 171.0, 202.7, 292.4, 504.0, 891.0, 1720.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 81.1, 108.7, 168.6, 273.9, 496.6", \ + " 78.1, 89.0, 116.6, 176.7, 282.0, 504.8", \ + " 84.1, 95.0, 122.6, 182.7, 288.1, 510.9", \ + " 91.4, 102.2, 129.9, 190.0, 295.4, 518.3", \ + " 102.1, 113.1, 140.8, 200.9, 306.4, 529.2", \ + " 114.8, 125.9, 153.9, 214.2, 319.6, 542.5", \ + " 131.4, 142.7, 170.7, 231.4, 336.9, 559.8", \ + " 153.1, 164.7, 193.0, 253.6, 359.5, 582.4", \ + " 182.0, 194.1, 223.2, 284.2, 390.1, 613.4", \ + " 220.7, 233.6, 264.2, 326.6, 433.2, 656.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.7, 102.9, 170.3, 323.2, 596.1, 1176.3", \ + " 77.8, 102.9, 170.3, 323.2, 596.1, 1176.3", \ + " 78.0, 103.1, 170.4, 323.2, 596.1, 1176.4", \ + " 79.0, 103.7, 170.7, 323.3, 596.1, 1176.4", \ + " 81.1, 105.7, 172.0, 323.9, 596.1, 1176.4", \ + " 83.5, 108.0, 173.9, 325.0, 596.7, 1176.4", \ + " 86.9, 110.9, 176.3, 326.5, 597.6, 1176.9", \ + " 92.2, 115.8, 180.0, 328.9, 598.9, 1177.6", \ + " 100.1, 123.7, 186.8, 333.4, 601.5, 1178.8", \ + " 111.6, 135.5, 198.3, 342.6, 607.7, 1182.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 72.2 ; */ +/* intrinsic_fall : 85.5 ; */ +/* rise_resistance : 7.35 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 73.9, 111.5, 194.7, 341.4, 651.8", \ + " 65.5, 80.0, 117.8, 201.1, 348.0, 658.5", \ + " 69.2, 83.7, 121.5, 204.9, 351.8, 662.3", \ + " 72.9, 87.2, 125.0, 208.4, 355.5, 666.0", \ + " 77.1, 91.4, 129.1, 212.6, 359.6, 670.2", \ + " 81.0, 95.1, 132.7, 216.2, 363.3, 674.0", \ + " 84.4, 98.5, 135.8, 219.4, 366.5, 677.1", \ + " 86.4, 100.6, 137.9, 221.3, 368.5, 679.1", \ + " 85.5, 100.1, 137.7, 221.4, 368.5, 679.2", \ + " 79.3, 94.5, 132.9, 217.6, 365.5, 676.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 134.3, 170.5, 268.0, 488.5, 880.9, 1715.2", \ + " 134.3, 170.5, 268.0, 488.5, 880.9, 1715.2", \ + " 134.8, 170.6, 267.9, 488.5, 880.9, 1715.2", \ + " 135.8, 171.3, 268.2, 488.4, 880.9, 1715.2", \ + " 137.4, 172.4, 268.7, 488.7, 880.9, 1715.2", \ + " 139.9, 174.2, 269.6, 489.1, 881.2, 1715.2", \ + " 143.5, 177.2, 271.3, 489.8, 881.6, 1715.5", \ + " 149.4, 182.3, 274.7, 491.5, 882.5, 1715.9", \ + " 158.3, 190.5, 281.4, 495.6, 885.0, 1717.0", \ + " 171.0, 202.7, 292.4, 504.0, 891.0, 1720.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 81.1, 108.7, 168.6, 273.9, 496.6", \ + " 78.1, 89.0, 116.6, 176.7, 282.0, 504.8", \ + " 84.1, 95.0, 122.6, 182.7, 288.1, 510.9", \ + " 91.4, 102.2, 129.9, 190.0, 295.4, 518.3", \ + " 102.1, 113.1, 140.8, 200.9, 306.4, 529.2", \ + " 114.8, 125.9, 153.9, 214.2, 319.6, 542.5", \ + " 131.4, 142.7, 170.7, 231.4, 336.9, 559.8", \ + " 153.1, 164.7, 193.0, 253.6, 359.5, 582.4", \ + " 182.0, 194.1, 223.2, 284.2, 390.1, 613.4", \ + " 220.7, 233.6, 264.2, 326.6, 433.2, 656.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.7, 102.9, 170.3, 323.2, 596.1, 1176.3", \ + " 77.8, 102.9, 170.3, 323.2, 596.1, 1176.3", \ + " 78.0, 103.1, 170.4, 323.2, 596.1, 1176.4", \ + " 79.0, 103.7, 170.7, 323.3, 596.1, 1176.4", \ + " 81.1, 105.7, 172.0, 323.9, 596.1, 1176.4", \ + " 83.5, 108.0, 173.9, 325.0, 596.7, 1176.4", \ + " 86.9, 110.9, 176.3, 326.5, 597.6, 1176.9", \ + " 92.2, 115.8, 180.0, 328.9, 598.9, 1177.6", \ + " 100.1, 123.7, 186.8, 333.4, 601.5, 1178.8", \ + " 111.6, 135.5, 198.3, 342.6, 607.7, 1182.0" ); }} +} +} +cell(cgi2cv0x1) { /* 2008-01-06:07h34 */ +area : 9 ; /* tracks */ +cell_leakage_power : 1374 ; /* cgi2cv0x1 */ +cell_footprint : cgi2c ; +pin(a) { /* cgi2cv0x1 FO4 effort 2.65 logical effort 3.50 */ +direction : input ; +capacitance : 8.09 ; +rise_capacitance : 8.10 ; +fall_capacitance : 8.08 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2cv0x1 */ +} +pin(b) { /* cgi2cv0x1 FO4 effort 2.66 logical effort 3.59 */ +direction : input ; +capacitance : 8.22 ; +rise_capacitance : 7.95 ; +fall_capacitance : 8.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2cv0x1 */ +} +pin(c) { /* cgi2cv0x1 FO4 effort 2.21 */ +direction : input ; +capacitance : 4.99 ; +rise_capacitance : 5.04 ; +fall_capacitance : 4.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2cv0x1 */ +internal_power(c) { /* cgi2cv0x1 13.53 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.63, 6.47, 6.55, 6.76, 7.28, 8.20, 9.75, 12.24, 16.21, 22.44" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 94 ; +max_fanout : 4 ; +function : "((a*b)+(a*c')+(b*c'))'" ; +internal_power(a_z_n) { /* cgi2cv0x1 16.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 8.78, 8.80, 8.81, 8.80, 8.78", \ + " 8.44, 8.47, 8.52, 8.55, 8.55", \ + " 8.33, 8.37, 8.43, 8.47, 8.48", \ + " 8.27, 8.30, 8.36, 8.41, 8.43", \ + " 8.30, 8.31, 8.34, 8.37, 8.39", \ + " 8.57, 8.52, 8.47, 8.44, 8.42", \ + " 9.27, 9.13, 8.91, 8.71, 8.58", \ + " 10.70, 10.42, 9.95, 9.43, 9.06", \ + " 13.31, 12.87, 12.03, 11.01, 10.18", \ + " 17.67, 17.06, 15.82, 14.09, 12.51" ); }} +internal_power(b_z_n) { /* cgi2cv0x1 15.25 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 7.92, 8.04, 8.16, 8.23, 8.24", \ + " 7.50, 7.65, 7.88, 8.06, 8.14", \ + " 7.36, 7.50, 7.74, 7.96, 8.08", \ + " 7.30, 7.41, 7.62, 7.87, 8.02", \ + " 7.38, 7.43, 7.57, 7.78, 7.95", \ + " 7.74, 7.70, 7.70, 7.81, 7.94", \ + " 8.56, 8.40, 8.20, 8.08, 8.07", \ + " 10.11, 9.80, 9.30, 8.82, 8.54", \ + " 12.82, 12.33, 11.45, 10.43, 9.66", \ + " 17.27, 16.61, 15.29, 13.53, 12.00" ); }} +internal_power(c_z_p) { /* cgi2cv0x1 25.57 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 5.91, 6.03, 6.16, 6.25, 6.27", \ + " 5.84, 5.95, 6.11, 6.22, 6.26", \ + " 5.80, 5.90, 6.06, 6.19, 6.25", \ + " 5.76, 5.86, 6.02, 6.16, 6.23", \ + " 5.73, 5.81, 5.96, 6.11, 6.19", \ + " 5.72, 5.78, 5.91, 6.06, 6.15", \ + " 5.74, 5.77, 5.86, 6.00, 6.11", \ + " 5.80, 5.79, 5.84, 5.95, 6.05", \ + " 5.93, 5.88, 5.87, 5.93, 6.01", \ + " 6.19, 6.08, 5.97, 5.95, 5.99" ); }} +timing() { /* ring osc delay cgi2cv0x1, path a to z 78.3 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 55.8 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.5, 70.0, 105.1, 183.0, 320.7, 612.8", \ + " 63.6, 77.1, 112.4, 190.4, 328.1, 620.3", \ + " 68.4, 82.0, 117.3, 195.3, 333.1, 625.3", \ + " 74.8, 88.3, 123.5, 201.6, 339.4, 631.7", \ + " 84.2, 99.0, 134.4, 212.3, 350.2, 642.4", \ + " 95.3, 111.6, 150.1, 227.9, 365.6, 657.7", \ + " 110.4, 128.3, 170.5, 252.0, 389.2, 680.9", \ + " 131.0, 150.8, 197.1, 286.1, 424.7, 715.5", \ + " 159.8, 182.0, 233.2, 330.6, 479.1, 768.8", \ + " 199.3, 224.8, 282.9, 390.5, 552.8, 851.6" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.6, 146.2, 244.7, 467.4, 863.6, 1705.4", \ + " 110.1, 146.4, 244.6, 467.0, 863.2, 1705.0", \ + " 113.3, 148.9, 245.6, 467.1, 863.1, 1704.9", \ + " 118.6, 153.6, 249.2, 468.3, 863.1, 1704.9", \ + " 130.3, 164.1, 257.7, 473.8, 864.7, 1704.8", \ + " 151.5, 183.1, 273.4, 485.3, 871.6, 1705.5", \ + " 184.4, 217.6, 302.4, 507.7, 887.2, 1712.5", \ + " 230.5, 264.8, 351.9, 547.8, 917.6, 1731.1", \ + " 297.0, 332.9, 424.4, 617.0, 973.9, 1770.3", \ + " 391.0, 429.7, 526.7, 729.2, 1072.8, 1846.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.9, 55.9, 81.3, 137.1, 235.3, 443.1", \ + " 50.7, 60.8, 86.5, 142.4, 240.6, 448.5", \ + " 53.8, 64.0, 89.7, 145.7, 244.0, 452.0", \ + " 57.2, 67.9, 93.9, 150.0, 248.4, 456.4", \ + " 61.5, 73.3, 101.2, 157.6, 256.0, 464.1", \ + " 65.6, 78.9, 109.6, 168.6, 267.1, 475.2", \ + " 69.2, 84.5, 119.2, 183.8, 284.1, 492.1", \ + " 71.0, 88.9, 129.0, 201.2, 308.9, 517.2", \ + " 69.8, 90.8, 137.6, 220.4, 339.5, 555.6", \ + " 63.6, 88.0, 142.8, 239.5, 374.5, 610.7" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 73.9, 99.0, 166.2, 318.2, 588.8, 1164.4", \ + " 75.3, 99.9, 166.6, 318.2, 588.8, 1164.4", \ + " 78.4, 102.7, 168.5, 318.8, 588.9, 1164.4", \ + " 84.1, 107.7, 172.5, 321.3, 589.5, 1164.4", \ + " 98.0, 120.0, 182.2, 328.1, 593.3, 1164.7", \ + " 117.8, 141.4, 200.9, 341.8, 602.4, 1168.6", \ + " 147.6, 172.4, 234.3, 368.8, 622.0, 1179.7", \ + " 191.4, 217.5, 282.3, 416.3, 659.2, 1204.3", \ + " 256.5, 284.2, 352.3, 492.4, 727.0, 1253.9", \ + " 351.5, 381.9, 455.0, 602.3, 842.5, 1347.1" ); }} +timing() { /* ring osc delay cgi2cv0x1, path a to z 80.8 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 55.8 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.8, 73.1, 107.9, 185.5, 323.0, 615.0", \ + " 67.2, 80.6, 115.6, 193.4, 331.0, 623.1", \ + " 72.5, 85.9, 121.0, 198.8, 336.5, 628.6", \ + " 79.1, 92.6, 127.6, 205.5, 343.2, 635.4", \ + " 88.6, 103.4, 138.7, 216.5, 354.3, 646.5", \ + " 99.0, 115.5, 154.1, 232.0, 369.8, 661.9", \ + " 112.4, 130.8, 173.8, 255.7, 393.1, 685.0", \ + " 130.6, 151.2, 198.8, 288.9, 428.1, 719.4", \ + " 156.9, 180.2, 233.0, 332.0, 481.7, 772.1", \ + " 194.6, 221.2, 280.8, 390.3, 554.2, 854.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.8, 142.1, 240.1, 462.1, 857.9, 1699.3", \ + " 106.4, 142.6, 240.3, 462.1, 857.9, 1699.3", \ + " 108.4, 143.8, 240.8, 462.2, 857.9, 1699.3", \ + " 112.9, 147.8, 243.4, 462.9, 858.0, 1699.3", \ + " 122.9, 156.9, 250.7, 467.5, 859.0, 1699.3", \ + " 141.6, 173.5, 264.7, 477.8, 865.0, 1699.8", \ + " 172.2, 205.3, 291.2, 498.7, 879.7, 1706.1", \ + " 216.6, 251.0, 338.9, 536.9, 908.8, 1723.8", \ + " 285.4, 320.4, 411.5, 605.0, 963.7, 1762.0", \ + " 386.9, 423.2, 517.0, 717.8, 1061.6, 1836.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 55.8, 82.2, 138.9, 237.8, 446.3", \ + " 49.3, 59.8, 86.2, 142.9, 241.8, 450.2", \ + " 52.3, 62.8, 89.3, 146.1, 245.0, 453.4", \ + " 56.2, 67.1, 93.5, 150.4, 249.3, 457.7", \ + " 61.4, 73.2, 101.1, 158.0, 257.0, 465.4", \ + " 66.8, 79.9, 110.3, 169.3, 268.2, 476.5", \ + " 72.0, 86.9, 121.0, 185.1, 285.3, 493.5", \ + " 75.7, 93.0, 132.1, 203.4, 310.6, 518.9", \ + " 76.7, 96.8, 142.3, 223.8, 342.0, 557.5", \ + " 72.5, 96.0, 149.4, 244.3, 378.1, 613.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.2, 110.1, 177.3, 329.2, 599.9, 1175.6", \ + " 86.1, 110.5, 176.8, 328.2, 598.9, 1174.7", \ + " 89.5, 113.3, 178.7, 328.8, 598.6, 1174.3", \ + " 95.8, 118.9, 182.9, 331.2, 599.2, 1174.0", \ + " 110.3, 132.0, 193.4, 338.3, 603.1, 1174.3", \ + " 131.5, 154.4, 212.8, 352.6, 612.4, 1178.3", \ + " 162.1, 186.2, 247.0, 380.3, 632.4, 1189.5", \ + " 205.8, 231.6, 295.6, 428.4, 670.4, 1214.4", \ + " 269.2, 297.3, 365.6, 504.9, 738.7, 1264.6", \ + " 360.1, 391.9, 466.7, 614.8, 854.5, 1358.5" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 55.8 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.8, 73.1, 107.9, 185.5, 323.0, 615.0", \ + " 67.2, 80.6, 115.6, 193.4, 331.0, 623.1", \ + " 72.5, 85.9, 121.0, 198.8, 336.5, 628.6", \ + " 79.1, 92.6, 127.6, 205.5, 343.2, 635.4", \ + " 88.6, 103.4, 138.7, 216.5, 354.3, 646.5", \ + " 99.0, 115.5, 154.1, 232.0, 369.8, 661.9", \ + " 112.4, 130.8, 173.8, 255.7, 393.1, 685.0", \ + " 130.6, 151.2, 198.8, 288.9, 428.1, 719.4", \ + " 156.9, 180.2, 233.0, 332.0, 481.7, 772.1", \ + " 194.6, 221.2, 280.8, 390.3, 554.2, 854.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.8, 142.1, 240.1, 462.1, 857.9, 1699.3", \ + " 106.4, 142.6, 240.3, 462.1, 857.9, 1699.3", \ + " 108.4, 143.8, 240.8, 462.2, 857.9, 1699.3", \ + " 112.9, 147.8, 243.4, 462.9, 858.0, 1699.3", \ + " 122.9, 156.9, 250.7, 467.5, 859.0, 1699.3", \ + " 141.6, 173.5, 264.7, 477.8, 865.0, 1699.8", \ + " 172.2, 205.3, 291.2, 498.7, 879.7, 1706.1", \ + " 216.6, 251.0, 338.9, 536.9, 908.8, 1723.8", \ + " 285.4, 320.4, 411.5, 605.0, 963.7, 1762.0", \ + " 386.9, 423.2, 517.0, 717.8, 1061.6, 1836.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 55.8, 82.2, 138.9, 237.8, 446.3", \ + " 49.3, 59.8, 86.2, 142.9, 241.8, 450.2", \ + " 52.3, 62.8, 89.3, 146.1, 245.0, 453.4", \ + " 56.2, 67.1, 93.5, 150.4, 249.3, 457.7", \ + " 61.4, 73.2, 101.1, 158.0, 257.0, 465.4", \ + " 66.8, 79.9, 110.3, 169.3, 268.2, 476.5", \ + " 72.0, 86.9, 121.0, 185.1, 285.3, 493.5", \ + " 75.7, 93.0, 132.1, 203.4, 310.6, 518.9", \ + " 76.7, 96.8, 142.3, 223.8, 342.0, 557.5", \ + " 72.5, 96.0, 149.4, 244.3, 378.1, 613.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.2, 110.1, 177.3, 329.2, 599.9, 1175.6", \ + " 86.1, 110.5, 176.8, 328.2, 598.9, 1174.7", \ + " 89.5, 113.3, 178.7, 328.8, 598.6, 1174.3", \ + " 95.8, 118.9, 182.9, 331.2, 599.2, 1174.0", \ + " 110.3, 132.0, 193.4, 338.3, 603.1, 1174.3", \ + " 131.5, 154.4, 212.8, 352.6, 612.4, 1178.3", \ + " 162.1, 186.2, 247.0, 380.3, 632.4, 1189.5", \ + " 205.8, 231.6, 295.6, 428.4, 670.4, 1214.4", \ + " 269.2, 297.3, 365.6, 504.9, 738.7, 1264.6", \ + " 360.1, 391.9, 466.7, 614.8, 854.5, 1358.5" ); }} +timing() { /* ring osc delay cgi2cv0x1, path b to z 70.2 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 56.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 67.2, 103.1, 181.5, 319.5, 611.8", \ + " 57.9, 72.0, 108.2, 187.1, 325.5, 618.0", \ + " 62.8, 76.7, 112.7, 191.7, 330.2, 622.9", \ + " 70.3, 83.8, 119.4, 198.2, 336.7, 629.4", \ + " 82.2, 97.3, 132.0, 210.1, 348.3, 641.0", \ + " 96.2, 113.1, 151.6, 228.3, 365.7, 658.0", \ + " 114.7, 133.5, 177.2, 257.3, 393.1, 684.2", \ + " 139.1, 160.1, 209.0, 299.6, 435.1, 723.9", \ + " 172.2, 195.9, 250.6, 352.9, 501.0, 785.9", \ + " 217.6, 244.9, 306.9, 421.8, 590.7, 883.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.7, 146.3, 244.8, 467.5, 863.7, 1705.5", \ + " 110.0, 145.8, 244.3, 467.1, 863.3, 1705.1", \ + " 114.7, 149.6, 245.5, 466.9, 863.2, 1705.0", \ + " 122.8, 156.6, 250.4, 468.2, 863.1, 1704.9", \ + " 139.6, 172.0, 262.6, 475.6, 864.6, 1704.8", \ + " 167.2, 197.6, 284.4, 491.4, 873.6, 1705.1", \ + " 205.1, 240.4, 322.3, 521.6, 894.8, 1714.1", \ + " 250.4, 291.0, 382.3, 574.1, 935.5, 1739.3", \ + " 317.4, 361.2, 465.3, 660.3, 1009.1, 1791.9", \ + " 416.5, 464.1, 577.0, 795.3, 1134.9, 1891.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 47.6, 73.1, 128.7, 226.6, 434.3", \ + " 42.0, 52.3, 78.3, 134.4, 232.6, 440.4", \ + " 45.0, 55.3, 81.4, 137.7, 236.1, 444.0", \ + " 46.4, 58.4, 85.4, 141.9, 240.4, 448.4", \ + " 48.6, 61.6, 91.7, 149.2, 247.9, 456.1", \ + " 50.2, 65.1, 98.0, 160.0, 258.8, 467.1", \ + " 50.2, 67.8, 105.5, 173.1, 275.5, 483.9", \ + " 47.1, 68.1, 112.4, 188.1, 299.3, 508.8", \ + " 39.2, 64.0, 116.5, 204.5, 327.1, 546.8", \ + " 23.3, 52.4, 114.7, 219.0, 359.1, 599.8" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.5, 91.8, 159.2, 311.4, 582.2, 1157.8", \ + " 67.3, 91.9, 158.9, 311.2, 582.1, 1157.7", \ + " 70.8, 94.8, 160.5, 311.1, 582.0, 1157.7", \ + " 77.4, 100.1, 164.4, 313.4, 582.0, 1157.6", \ + " 95.5, 113.8, 174.3, 320.0, 585.5, 1157.4", \ + " 113.0, 137.5, 193.8, 333.6, 594.4, 1161.0", \ + " 141.5, 166.3, 230.1, 360.7, 613.7, 1171.8", \ + " 185.8, 210.9, 275.9, 409.8, 650.9, 1196.1", \ + " 252.7, 278.6, 345.0, 486.3, 719.1, 1245.4", \ + " 351.1, 378.4, 448.6, 594.4, 837.2, 1338.8" ); }} +timing() { /* ring osc delay cgi2cv0x1, path b to z 85.3 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 56.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 68.5, 103.8, 181.9, 320.0, 612.9", \ + " 60.9, 74.8, 110.6, 189.3, 327.8, 621.0", \ + " 66.0, 79.8, 115.5, 194.3, 333.1, 626.4", \ + " 72.6, 86.3, 121.8, 200.6, 339.6, 633.0", \ + " 80.5, 96.8, 132.6, 211.2, 350.2, 643.9", \ + " 89.4, 106.9, 147.9, 226.4, 365.2, 659.0", \ + " 100.9, 120.7, 165.3, 249.9, 388.1, 681.7", \ + " 116.1, 138.6, 188.6, 281.0, 422.7, 715.5", \ + " 137.1, 163.2, 219.9, 322.0, 474.9, 767.7", \ + " 166.7, 197.0, 262.3, 377.0, 544.1, 848.9" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.1, 165.2, 262.9, 484.9, 880.8, 1722.5", \ + " 129.1, 165.1, 262.7, 484.7, 880.7, 1722.5", \ + " 130.5, 165.5, 262.7, 484.5, 880.5, 1722.4", \ + " 135.4, 169.7, 264.7, 484.5, 880.3, 1722.3", \ + " 146.2, 179.2, 272.1, 488.5, 880.4, 1722.0", \ + " 167.1, 196.9, 286.3, 498.8, 886.1, 1721.6", \ + " 209.5, 232.9, 313.8, 519.8, 900.6, 1727.5", \ + " 248.2, 283.2, 365.2, 558.5, 929.8, 1745.0", \ + " 314.3, 348.4, 441.2, 628.4, 984.9, 1783.2", \ + " 417.2, 450.5, 542.7, 747.5, 1083.9, 1858.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.0, 60.8, 87.5, 144.6, 243.7, 452.2", \ + " 55.3, 66.3, 93.3, 150.7, 250.0, 458.7", \ + " 59.9, 70.7, 97.8, 155.4, 254.8, 463.5", \ + " 66.6, 77.1, 104.1, 161.7, 261.1, 470.0", \ + " 75.5, 87.9, 115.4, 172.9, 272.4, 481.3", \ + " 84.1, 98.6, 130.9, 189.4, 288.8, 497.6", \ + " 92.6, 109.4, 147.4, 214.4, 313.8, 522.4", \ + " 100.4, 119.8, 163.9, 242.8, 351.4, 559.2", \ + " 107.0, 129.5, 180.5, 272.5, 400.9, 615.6", \ + " 110.8, 136.9, 196.1, 303.0, 453.8, 700.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.6, 111.0, 177.6, 329.3, 599.9, 1175.6", \ + " 85.2, 109.5, 176.5, 328.3, 599.0, 1174.8", \ + " 88.4, 112.0, 177.2, 327.9, 598.6, 1174.4", \ + " 95.3, 118.2, 181.7, 329.6, 598.4, 1174.1", \ + " 110.8, 133.0, 194.1, 337.8, 601.6, 1173.8", \ + " 135.7, 158.3, 217.0, 355.8, 613.3, 1176.7", \ + " 165.8, 193.3, 256.1, 390.0, 639.5, 1191.4", \ + " 209.4, 239.8, 311.4, 447.2, 688.1, 1225.4", \ + " 272.9, 306.9, 385.9, 536.7, 772.4, 1292.1", \ + " 365.5, 404.4, 492.7, 658.5, 908.4, 1413.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.0 ; */ +/* intrinsic_fall : 56.3 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.15 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 68.5, 103.8, 181.9, 320.0, 612.9", \ + " 60.9, 74.8, 110.6, 189.3, 327.8, 621.0", \ + " 66.0, 79.8, 115.5, 194.3, 333.1, 626.4", \ + " 72.6, 86.3, 121.8, 200.6, 339.6, 633.0", \ + " 80.5, 96.8, 132.6, 211.2, 350.2, 643.9", \ + " 89.4, 106.9, 147.9, 226.4, 365.2, 659.0", \ + " 100.9, 120.7, 165.3, 249.9, 388.1, 681.7", \ + " 116.1, 138.6, 188.6, 281.0, 422.7, 715.5", \ + " 137.1, 163.2, 219.9, 322.0, 474.9, 767.7", \ + " 166.7, 197.0, 262.3, 377.0, 544.1, 848.9" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.1, 165.2, 262.9, 484.9, 880.8, 1722.5", \ + " 129.1, 165.1, 262.7, 484.7, 880.7, 1722.5", \ + " 130.5, 165.5, 262.7, 484.5, 880.5, 1722.4", \ + " 135.4, 169.7, 264.7, 484.5, 880.3, 1722.3", \ + " 146.2, 179.2, 272.1, 488.5, 880.4, 1722.0", \ + " 167.1, 196.9, 286.3, 498.8, 886.1, 1721.6", \ + " 209.5, 232.9, 313.8, 519.8, 900.6, 1727.5", \ + " 248.2, 283.2, 365.2, 558.5, 929.8, 1745.0", \ + " 314.3, 348.4, 441.2, 628.4, 984.9, 1783.2", \ + " 417.2, 450.5, 542.7, 747.5, 1083.9, 1858.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.0, 60.8, 87.5, 144.6, 243.7, 452.2", \ + " 55.3, 66.3, 93.3, 150.7, 250.0, 458.7", \ + " 59.9, 70.7, 97.8, 155.4, 254.8, 463.5", \ + " 66.6, 77.1, 104.1, 161.7, 261.1, 470.0", \ + " 75.5, 87.9, 115.4, 172.9, 272.4, 481.3", \ + " 84.1, 98.6, 130.9, 189.4, 288.8, 497.6", \ + " 92.6, 109.4, 147.4, 214.4, 313.8, 522.4", \ + " 100.4, 119.8, 163.9, 242.8, 351.4, 559.2", \ + " 107.0, 129.5, 180.5, 272.5, 400.9, 615.6", \ + " 110.8, 136.9, 196.1, 303.0, 453.8, 700.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 86.6, 111.0, 177.6, 329.3, 599.9, 1175.6", \ + " 85.2, 109.5, 176.5, 328.3, 599.0, 1174.8", \ + " 88.4, 112.0, 177.2, 327.9, 598.6, 1174.4", \ + " 95.3, 118.2, 181.7, 329.6, 598.4, 1174.1", \ + " 110.8, 133.0, 194.1, 337.8, 601.6, 1173.8", \ + " 135.7, 158.3, 217.0, 355.8, 613.3, 1176.7", \ + " 165.8, 193.3, 256.1, 390.0, 639.5, 1191.4", \ + " 209.4, 239.8, 311.4, 447.2, 688.1, 1225.4", \ + " 272.9, 306.9, 385.9, 536.7, 772.4, 1292.1", \ + " 365.5, 404.4, 492.7, 658.5, 908.4, 1413.1" ); }} +timing() { /* ring osc delay cgi2cv0x1, path c to z 90.5 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.4 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.5, 80.2, 115.6, 193.7, 331.4, 623.6", \ + " 72.8, 86.6, 122.1, 200.3, 338.1, 630.3", \ + " 77.1, 90.8, 126.3, 204.6, 342.5, 634.7", \ + " 81.6, 95.2, 130.7, 209.0, 347.0, 639.2", \ + " 87.1, 100.7, 136.1, 214.4, 352.4, 644.7", \ + " 92.6, 106.0, 141.4, 219.7, 357.7, 650.1", \ + " 98.3, 111.7, 146.7, 225.0, 363.0, 655.4", \ + " 103.5, 117.0, 152.0, 230.1, 368.2, 660.5", \ + " 107.3, 121.1, 156.5, 234.7, 372.6, 665.0", \ + " 107.9, 122.4, 158.4, 237.6, 376.1, 668.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.1, 130.9, 224.1, 434.0, 806.7, 1598.3", \ + " 96.2, 130.9, 224.1, 434.0, 806.7, 1598.3", \ + " 96.4, 131.0, 224.1, 434.0, 806.7, 1598.3", \ + " 97.4, 131.7, 224.3, 433.9, 806.7, 1598.3", \ + " 99.1, 132.9, 225.0, 434.2, 806.7, 1598.3", \ + " 101.2, 134.9, 226.0, 434.7, 807.0, 1598.3", \ + " 104.8, 137.6, 227.9, 435.4, 807.5, 1598.6", \ + " 110.5, 142.7, 231.3, 437.3, 808.3, 1599.1", \ + " 118.7, 150.6, 237.9, 441.4, 810.8, 1600.2", \ + " 130.5, 162.1, 248.9, 450.0, 816.8, 1603.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.5, 70.1, 96.4, 152.5, 250.5, 458.2", \ + " 67.2, 77.9, 104.3, 160.4, 258.5, 466.2", \ + " 73.1, 83.8, 110.2, 166.4, 264.6, 472.3", \ + " 80.2, 90.9, 117.3, 173.6, 271.8, 479.6", \ + " 90.4, 101.4, 128.0, 184.2, 282.5, 490.3", \ + " 102.5, 113.6, 140.5, 197.0, 295.2, 503.0", \ + " 118.1, 129.4, 156.4, 213.1, 311.5, 519.3", \ + " 137.9, 149.6, 177.1, 233.8, 332.4, 540.3", \ + " 163.5, 175.9, 204.3, 261.6, 360.2, 568.5", \ + " 197.0, 210.3, 240.5, 299.4, 398.8, 607.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.1, 90.9, 153.1, 295.1, 549.4, 1090.7", \ + " 68.3, 91.0, 153.1, 295.1, 549.4, 1090.7", \ + " 68.8, 91.4, 153.3, 295.2, 549.4, 1090.7", \ + " 70.4, 92.5, 153.8, 295.3, 549.4, 1090.7", \ + " 73.4, 95.1, 155.6, 296.1, 549.5, 1090.7", \ + " 76.8, 98.4, 157.9, 297.5, 550.3, 1090.7", \ + " 81.6, 102.5, 161.2, 299.4, 551.3, 1091.4", \ + " 88.6, 109.0, 166.1, 302.5, 552.9, 1092.1", \ + " 98.4, 118.7, 174.6, 308.1, 556.1, 1093.5", \ + " 112.1, 132.6, 187.8, 318.8, 563.2, 1097.2" ); }} +timing() { /* ring osc delay cgi2cv0x1, path c to z 93.4 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.4 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.5, 74.5, 110.5, 189.5, 328.8, 623.2", \ + " 66.9, 80.8, 116.9, 196.1, 335.4, 630.0", \ + " 71.2, 85.1, 121.1, 200.4, 339.8, 634.4", \ + " 75.8, 89.5, 125.4, 204.8, 344.3, 638.9", \ + " 81.4, 95.0, 130.9, 210.2, 349.7, 644.4", \ + " 87.0, 100.5, 136.2, 215.4, 355.0, 649.7", \ + " 92.7, 106.2, 141.6, 220.8, 360.4, 655.1", \ + " 98.0, 111.6, 146.9, 225.9, 365.5, 660.1", \ + " 101.7, 115.7, 151.4, 230.5, 370.0, 664.7", \ + " 102.1, 116.9, 153.4, 233.4, 373.5, 668.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 127.1, 161.0, 253.0, 461.7, 833.7, 1624.7", \ + " 127.2, 161.0, 252.9, 461.7, 833.7, 1624.7", \ + " 127.6, 161.2, 252.9, 461.7, 833.7, 1624.7", \ + " 128.8, 162.0, 253.2, 461.7, 833.7, 1624.7", \ + " 130.9, 163.5, 254.0, 462.0, 833.7, 1624.7", \ + " 133.9, 165.7, 255.1, 462.5, 834.1, 1624.7", \ + " 137.7, 169.0, 257.2, 463.3, 834.5, 1625.0", \ + " 144.2, 174.6, 261.0, 465.2, 835.4, 1625.5", \ + " 153.7, 183.4, 268.1, 469.6, 837.9, 1626.6", \ + " 167.2, 196.3, 279.8, 478.5, 844.0, 1630.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.1, 78.4, 104.3, 160.4, 258.7, 466.6", \ + " 75.9, 86.2, 112.2, 168.4, 266.7, 474.7", \ + " 81.8, 92.1, 118.1, 174.3, 272.8, 480.8", \ + " 88.9, 99.2, 125.2, 181.5, 280.0, 488.1", \ + " 99.4, 109.9, 135.9, 192.2, 290.7, 498.8", \ + " 111.6, 122.1, 148.5, 204.9, 303.4, 511.5", \ + " 127.3, 138.0, 164.4, 221.1, 319.7, 527.8", \ + " 147.3, 158.3, 185.1, 241.7, 340.7, 548.8", \ + " 173.3, 184.9, 212.4, 269.6, 368.5, 577.0", \ + " 207.2, 219.8, 248.9, 307.5, 407.0, 615.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.3, 94.6, 157.5, 300.0, 554.6, 1096.2", \ + " 71.3, 94.7, 157.5, 300.0, 554.6, 1096.2", \ + " 71.6, 94.9, 157.6, 300.1, 554.6, 1096.2", \ + " 72.6, 95.6, 158.0, 300.2, 554.6, 1096.2", \ + " 74.9, 97.7, 159.4, 300.8, 554.7, 1096.2", \ + " 77.6, 100.4, 161.4, 302.0, 555.4, 1096.2", \ + " 81.4, 103.7, 164.3, 303.7, 556.3, 1096.8", \ + " 87.2, 109.2, 168.4, 306.5, 557.8, 1097.5", \ + " 95.7, 117.8, 176.0, 311.6, 560.8, 1098.9", \ + " 107.9, 130.3, 188.3, 321.6, 567.5, 1102.4" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.4 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.5, 74.5, 110.5, 189.5, 328.8, 623.2", \ + " 66.9, 80.8, 116.9, 196.1, 335.4, 630.0", \ + " 71.2, 85.1, 121.1, 200.4, 339.8, 634.4", \ + " 75.8, 89.5, 125.4, 204.8, 344.3, 638.9", \ + " 81.4, 95.0, 130.9, 210.2, 349.7, 644.4", \ + " 87.0, 100.5, 136.2, 215.4, 355.0, 649.7", \ + " 92.7, 106.2, 141.6, 220.8, 360.4, 655.1", \ + " 98.0, 111.6, 146.9, 225.9, 365.5, 660.1", \ + " 101.7, 115.7, 151.4, 230.5, 370.0, 664.7", \ + " 102.1, 116.9, 153.4, 233.4, 373.5, 668.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 127.1, 161.0, 253.0, 461.7, 833.7, 1624.7", \ + " 127.2, 161.0, 252.9, 461.7, 833.7, 1624.7", \ + " 127.6, 161.2, 252.9, 461.7, 833.7, 1624.7", \ + " 128.8, 162.0, 253.2, 461.7, 833.7, 1624.7", \ + " 130.9, 163.5, 254.0, 462.0, 833.7, 1624.7", \ + " 133.9, 165.7, 255.1, 462.5, 834.1, 1624.7", \ + " 137.7, 169.0, 257.2, 463.3, 834.5, 1625.0", \ + " 144.2, 174.6, 261.0, 465.2, 835.4, 1625.5", \ + " 153.7, 183.4, 268.1, 469.6, 837.9, 1626.6", \ + " 167.2, 196.3, 279.8, 478.5, 844.0, 1630.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.1, 78.4, 104.3, 160.4, 258.7, 466.6", \ + " 75.9, 86.2, 112.2, 168.4, 266.7, 474.7", \ + " 81.8, 92.1, 118.1, 174.3, 272.8, 480.8", \ + " 88.9, 99.2, 125.2, 181.5, 280.0, 488.1", \ + " 99.4, 109.9, 135.9, 192.2, 290.7, 498.8", \ + " 111.6, 122.1, 148.5, 204.9, 303.4, 511.5", \ + " 127.3, 138.0, 164.4, 221.1, 319.7, 527.8", \ + " 147.3, 158.3, 185.1, 241.7, 340.7, 548.8", \ + " 173.3, 184.9, 212.4, 269.6, 368.5, 577.0", \ + " 207.2, 219.8, 248.9, 307.5, 407.0, 615.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.3, 94.6, 157.5, 300.0, 554.6, 1096.2", \ + " 71.3, 94.7, 157.5, 300.0, 554.6, 1096.2", \ + " 71.6, 94.9, 157.6, 300.1, 554.6, 1096.2", \ + " 72.6, 95.6, 158.0, 300.2, 554.6, 1096.2", \ + " 74.9, 97.7, 159.4, 300.8, 554.7, 1096.2", \ + " 77.6, 100.4, 161.4, 302.0, 555.4, 1096.2", \ + " 81.4, 103.7, 164.3, 303.7, 556.3, 1096.8", \ + " 87.2, 109.2, 168.4, 306.5, 557.8, 1097.5", \ + " 95.7, 117.8, 176.0, 311.6, 560.8, 1098.9", \ + " 107.9, 130.3, 188.3, 321.6, 567.5, 1102.4" ); }} +} +} +cell(cgi2cv0x2) { /* 2008-01-06:07h34 */ +area : 16 ; /* tracks */ +cell_leakage_power : 2610 ; /* cgi2cv0x2 */ +cell_footprint : cgi2c ; +pin(a) { /* cgi2cv0x2 FO4 effort 2.73 logical effort 3.69 */ +direction : input ; +capacitance : 18.47 ; +rise_capacitance : 18.46 ; +fall_capacitance : 18.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2cv0x2 */ +} +pin(b) { /* cgi2cv0x2 FO4 effort 2.53 logical effort 3.38 */ +direction : input ; +capacitance : 16.81 ; +rise_capacitance : 16.17 ; +fall_capacitance : 17.45 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2cv0x2 */ +} +pin(c) { /* cgi2cv0x2 FO4 effort 1.92 */ +direction : input ; +capacitance : 7.96 ; +rise_capacitance : 8.08 ; +fall_capacitance : 7.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2cv0x2 */ +internal_power(c) { /* cgi2cv0x2 23.24 nW/MHz */ +power(pwr_intran_x10) { +values( " 11.30, 11.07, 11.23, 11.62, 12.54, 14.13, 16.84, 21.16, 28.01, 38.78" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 197 ; +max_fanout : 6 ; +function : "((a*b)+(a*c')+(b*c'))'" ; +internal_power(a_z_n) { /* cgi2cv0x2 35.36 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 18.61, 18.65, 18.69, 18.70, 18.66", \ + " 17.83, 17.92, 18.05, 18.14, 18.15", \ + " 17.60, 17.69, 17.83, 17.96, 18.00", \ + " 17.47, 17.55, 17.68, 17.82, 17.89", \ + " 17.56, 17.58, 17.64, 17.73, 17.80", \ + " 18.17, 18.07, 17.95, 17.89, 17.86", \ + " 19.78, 19.48, 19.00, 18.55, 18.26", \ + " 23.02, 22.43, 21.39, 20.24, 19.39", \ + " 28.81, 27.90, 26.12, 23.87, 22.00", \ + " 38.40, 37.17, 34.59, 30.88, 27.38" ); }} +internal_power(b_z_n) { /* cgi2cv0x2 31.86 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 16.57, 16.82, 17.09, 17.25, 17.29", \ + " 15.68, 15.99, 16.46, 16.86, 17.06", \ + " 15.41, 15.68, 16.16, 16.65, 16.93", \ + " 15.30, 15.50, 15.93, 16.44, 16.78", \ + " 15.51, 15.59, 15.85, 16.27, 16.63", \ + " 16.35, 16.25, 16.21, 16.38, 16.64", \ + " 18.24, 17.89, 17.40, 17.07, 17.00", \ + " 21.73, 21.07, 19.96, 18.84, 18.13", \ + " 27.73, 26.73, 24.84, 22.57, 20.79", \ + " 37.54, 36.19, 33.44, 29.64, 26.21" ); }} +internal_power(c_z_p) { /* cgi2cv0x2 50.06 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 13.21, 13.45, 13.75, 13.95, 14.02", \ + " 13.04, 13.28, 13.61, 13.87, 13.99", \ + " 12.95, 13.17, 13.52, 13.81, 13.95", \ + " 12.87, 13.07, 13.41, 13.73, 13.90", \ + " 12.81, 12.98, 13.29, 13.62, 13.82", \ + " 12.79, 12.91, 13.17, 13.50, 13.72", \ + " 12.84, 12.89, 13.08, 13.37, 13.61", \ + " 12.99, 12.97, 13.05, 13.27, 13.49", \ + " 13.31, 13.19, 13.12, 13.22, 13.40", \ + " 13.92, 13.66, 13.38, 13.29, 13.37" ); }} +timing() { /* ring osc delay cgi2cv0x2, path a to z 70.8 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.8 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.8, 70.8, 104.8, 179.9, 312.8, 594.5", \ + " 64.6, 77.7, 111.9, 187.2, 320.2, 601.9", \ + " 69.4, 82.6, 116.7, 192.1, 325.1, 607.0", \ + " 75.8, 88.8, 122.9, 198.3, 331.4, 613.3", \ + " 85.4, 99.7, 133.8, 209.1, 342.1, 624.0", \ + " 96.8, 112.5, 149.7, 224.7, 357.5, 639.3", \ + " 112.8, 129.8, 170.4, 249.1, 381.3, 662.5", \ + " 135.0, 153.6, 197.8, 283.5, 417.3, 697.5", \ + " 166.1, 187.0, 235.6, 328.9, 472.3, 751.3", \ + " 209.4, 233.3, 288.3, 390.9, 547.0, 835.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.4, 146.6, 241.6, 456.3, 838.4, 1650.1", \ + " 111.7, 146.7, 241.4, 455.9, 837.9, 1649.7", \ + " 114.9, 149.2, 242.3, 455.9, 837.8, 1649.6", \ + " 120.2, 154.0, 246.0, 457.2, 837.8, 1649.5", \ + " 131.8, 164.5, 254.6, 462.9, 839.5, 1649.4", \ + " 152.8, 183.4, 270.3, 474.5, 846.6, 1650.3", \ + " 185.7, 217.7, 299.2, 496.9, 862.4, 1657.7", \ + " 230.9, 264.1, 348.2, 536.9, 893.0, 1676.7", \ + " 295.3, 330.2, 419.1, 605.4, 949.2, 1716.3", \ + " 386.5, 424.1, 518.5, 715.3, 1047.2, 1792.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 50.9, 72.9, 120.9, 205.1, 383.3", \ + " 46.9, 55.6, 77.8, 125.9, 210.2, 388.4", \ + " 49.7, 58.6, 80.9, 129.1, 213.4, 391.7", \ + " 52.7, 62.3, 84.9, 133.3, 217.7, 396.1", \ + " 56.3, 66.9, 91.7, 140.7, 225.3, 403.7", \ + " 59.6, 71.6, 99.2, 151.6, 236.3, 414.8", \ + " 61.8, 75.8, 107.3, 165.2, 253.2, 431.7", \ + " 61.6, 78.1, 114.8, 180.3, 276.4, 456.7", \ + " 57.4, 76.7, 119.9, 195.9, 303.3, 494.9", \ + " 46.7, 69.2, 119.9, 209.4, 332.9, 544.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 68.1, 89.6, 147.2, 277.3, 509.2, 1002.4", \ + " 69.7, 90.9, 147.7, 277.4, 509.2, 1002.4", \ + " 73.0, 93.8, 150.0, 278.4, 509.3, 1002.4", \ + " 79.2, 99.2, 154.3, 281.3, 510.5, 1002.4", \ + " 93.6, 112.3, 164.9, 288.9, 515.1, 1003.5", \ + " 113.0, 133.5, 184.7, 303.9, 525.5, 1008.6", \ + " 142.4, 164.1, 218.3, 332.8, 547.1, 1021.7", \ + " 185.7, 208.7, 265.6, 382.6, 587.4, 1049.5", \ + " 249.9, 274.5, 334.6, 457.4, 658.9, 1103.7", \ + " 343.6, 370.7, 435.7, 565.6, 775.8, 1203.6" ); }} +timing() { /* ring osc delay cgi2cv0x2, path a to z 73.2 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.8 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 74.5, 108.2, 183.1, 315.7, 597.3", \ + " 68.9, 81.8, 115.7, 190.8, 323.6, 605.3", \ + " 74.0, 87.0, 120.9, 196.1, 329.0, 610.7", \ + " 80.5, 93.5, 127.4, 202.6, 335.6, 617.4", \ + " 90.1, 104.2, 138.3, 213.5, 346.5, 628.4", \ + " 100.7, 116.5, 153.8, 229.0, 361.9, 643.7", \ + " 114.9, 132.4, 173.6, 252.8, 385.3, 666.8", \ + " 134.9, 154.3, 199.5, 286.3, 420.7, 701.4", \ + " 164.2, 185.8, 235.7, 330.4, 474.9, 754.7", \ + " 206.1, 230.8, 286.9, 391.0, 548.5, 838.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.3, 143.5, 238.1, 452.4, 834.1, 1645.5", \ + " 108.9, 143.9, 238.3, 452.4, 834.1, 1645.5", \ + " 110.8, 145.1, 238.8, 452.5, 834.1, 1645.5", \ + " 115.4, 149.2, 241.5, 453.2, 834.2, 1645.5", \ + " 125.5, 158.5, 249.1, 458.1, 835.4, 1645.5", \ + " 144.3, 175.2, 263.3, 468.7, 841.8, 1646.1", \ + " 175.5, 207.5, 290.1, 489.8, 856.8, 1653.0", \ + " 220.0, 253.2, 338.0, 528.3, 886.3, 1671.3", \ + " 287.6, 321.6, 409.7, 596.3, 941.3, 1710.1", \ + " 384.9, 420.5, 512.2, 707.3, 1038.8, 1785.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 50.3, 72.9, 121.6, 206.4, 385.2", \ + " 45.3, 54.4, 77.0, 125.7, 210.5, 389.2", \ + " 48.4, 57.4, 80.1, 128.9, 213.7, 392.4", \ + " 51.8, 61.5, 84.4, 133.2, 218.0, 396.7", \ + " 56.3, 66.9, 91.6, 140.9, 225.7, 404.4", \ + " 60.7, 72.5, 99.8, 152.0, 236.9, 415.6", \ + " 64.3, 77.9, 108.8, 166.3, 254.0, 432.5", \ + " 65.6, 81.5, 117.4, 182.1, 277.7, 457.8", \ + " 63.2, 81.8, 123.9, 198.7, 305.3, 496.3", \ + " 54.0, 75.8, 125.3, 213.4, 335.8, 546.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.0, 97.2, 154.7, 284.9, 516.8, 1010.2", \ + " 77.3, 98.1, 154.6, 283.9, 515.9, 1009.4", \ + " 81.1, 101.3, 156.9, 285.0, 515.7, 1009.0", \ + " 87.9, 107.4, 161.6, 288.0, 516.9, 1008.8", \ + " 103.4, 121.4, 173.0, 295.9, 521.6, 1009.8", \ + " 123.8, 143.7, 193.7, 311.6, 532.3, 1015.1", \ + " 154.0, 175.1, 228.1, 341.3, 554.5, 1028.4", \ + " 197.4, 219.9, 276.0, 391.7, 595.4, 1056.5", \ + " 260.1, 284.9, 345.0, 467.0, 667.6, 1111.4", \ + " 350.3, 378.5, 444.9, 575.2, 785.0, 1211.9" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.8 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 74.5, 108.2, 183.1, 315.7, 597.3", \ + " 68.9, 81.8, 115.7, 190.8, 323.6, 605.3", \ + " 74.0, 87.0, 120.9, 196.1, 329.0, 610.7", \ + " 80.5, 93.5, 127.4, 202.6, 335.6, 617.4", \ + " 90.1, 104.2, 138.3, 213.5, 346.5, 628.4", \ + " 100.7, 116.5, 153.8, 229.0, 361.9, 643.7", \ + " 114.9, 132.4, 173.6, 252.8, 385.3, 666.8", \ + " 134.9, 154.3, 199.5, 286.3, 420.7, 701.4", \ + " 164.2, 185.8, 235.7, 330.4, 474.9, 754.7", \ + " 206.1, 230.8, 286.9, 391.0, 548.5, 838.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.3, 143.5, 238.1, 452.4, 834.1, 1645.5", \ + " 108.9, 143.9, 238.3, 452.4, 834.1, 1645.5", \ + " 110.8, 145.1, 238.8, 452.5, 834.1, 1645.5", \ + " 115.4, 149.2, 241.5, 453.2, 834.2, 1645.5", \ + " 125.5, 158.5, 249.1, 458.1, 835.4, 1645.5", \ + " 144.3, 175.2, 263.3, 468.7, 841.8, 1646.1", \ + " 175.5, 207.5, 290.1, 489.8, 856.8, 1653.0", \ + " 220.0, 253.2, 338.0, 528.3, 886.3, 1671.3", \ + " 287.6, 321.6, 409.7, 596.3, 941.3, 1710.1", \ + " 384.9, 420.5, 512.2, 707.3, 1038.8, 1785.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 50.3, 72.9, 121.6, 206.4, 385.2", \ + " 45.3, 54.4, 77.0, 125.7, 210.5, 389.2", \ + " 48.4, 57.4, 80.1, 128.9, 213.7, 392.4", \ + " 51.8, 61.5, 84.4, 133.2, 218.0, 396.7", \ + " 56.3, 66.9, 91.6, 140.9, 225.7, 404.4", \ + " 60.7, 72.5, 99.8, 152.0, 236.9, 415.6", \ + " 64.3, 77.9, 108.8, 166.3, 254.0, 432.5", \ + " 65.6, 81.5, 117.4, 182.1, 277.7, 457.8", \ + " 63.2, 81.8, 123.9, 198.7, 305.3, 496.3", \ + " 54.0, 75.8, 125.3, 213.4, 335.8, 546.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.0, 97.2, 154.7, 284.9, 516.8, 1010.2", \ + " 77.3, 98.1, 154.6, 283.9, 515.9, 1009.4", \ + " 81.1, 101.3, 156.9, 285.0, 515.7, 1009.0", \ + " 87.9, 107.4, 161.6, 288.0, 516.9, 1008.8", \ + " 103.4, 121.4, 173.0, 295.9, 521.6, 1009.8", \ + " 123.8, 143.7, 193.7, 311.6, 532.3, 1015.1", \ + " 154.0, 175.1, 228.1, 341.3, 554.5, 1028.4", \ + " 197.4, 219.9, 276.0, 391.7, 595.4, 1056.5", \ + " 260.1, 284.9, 345.0, 467.0, 667.6, 1111.4", \ + " 350.3, 378.5, 444.9, 575.2, 785.0, 1211.9" ); }} +timing() { /* ring osc delay cgi2cv0x2, path b to z 63.2 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.6 ; */ +/* intrinsic_fall : 52.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 68.3, 103.0, 178.9, 312.0, 594.0", \ + " 59.2, 72.8, 107.9, 184.3, 317.9, 600.2", \ + " 64.1, 77.5, 112.4, 188.8, 322.5, 604.9", \ + " 71.7, 84.6, 119.0, 195.2, 328.9, 611.4", \ + " 84.0, 98.4, 131.8, 207.1, 340.6, 623.0", \ + " 98.5, 114.6, 151.6, 225.5, 358.0, 639.9", \ + " 117.9, 135.8, 177.7, 254.8, 385.6, 666.2", \ + " 143.8, 163.7, 210.3, 297.7, 428.2, 706.3", \ + " 179.3, 201.7, 253.7, 351.9, 495.1, 769.1", \ + " 228.5, 254.2, 313.0, 422.8, 585.5, 868.5" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.8, 147.0, 241.9, 456.5, 838.5, 1650.2", \ + " 111.7, 146.3, 241.3, 456.0, 838.0, 1649.7", \ + " 116.3, 149.9, 242.3, 455.8, 837.9, 1649.6", \ + " 124.1, 156.8, 247.2, 457.1, 837.8, 1649.5", \ + " 140.2, 171.7, 259.2, 464.5, 839.4, 1649.4", \ + " 166.7, 196.4, 280.5, 480.2, 848.6, 1649.9", \ + " 204.2, 237.8, 317.5, 510.1, 869.9, 1659.3", \ + " 248.0, 287.2, 375.9, 561.6, 910.2, 1685.0", \ + " 312.8, 355.0, 456.1, 645.8, 983.0, 1737.6", \ + " 408.8, 454.5, 564.0, 776.8, 1106.4, 1836.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.1, 43.9, 65.9, 113.7, 197.7, 375.7", \ + " 39.5, 48.4, 70.9, 119.1, 203.4, 381.5", \ + " 41.8, 51.3, 73.8, 122.3, 206.7, 384.9", \ + " 42.9, 53.5, 77.7, 126.3, 210.9, 389.3", \ + " 44.6, 56.2, 82.9, 133.6, 218.3, 396.9", \ + " 45.4, 58.9, 88.5, 143.8, 229.2, 407.9", \ + " 44.1, 60.2, 94.5, 155.1, 245.8, 424.5", \ + " 39.1, 58.3, 99.1, 168.0, 267.1, 449.4", \ + " 28.3, 51.1, 99.7, 180.8, 291.5, 487.0", \ + " 8.0, 34.9, 92.7, 189.5, 318.1, 534.0" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 63.1, 84.7, 142.4, 272.7, 504.7, 998.0", \ + " 64.2, 85.2, 142.1, 272.4, 504.5, 997.9", \ + " 67.7, 88.1, 144.3, 272.9, 504.4, 997.8", \ + " 74.6, 93.8, 148.5, 275.6, 505.1, 997.7", \ + " 92.3, 108.5, 159.1, 283.0, 509.5, 998.3", \ + " 109.2, 130.5, 180.0, 297.8, 519.6, 1003.2", \ + " 137.6, 159.3, 214.9, 326.9, 541.0, 1016.0", \ + " 181.4, 203.5, 260.3, 379.3, 581.1, 1043.5", \ + " 247.2, 270.3, 328.8, 452.2, 653.5, 1097.5", \ + " 343.8, 368.2, 430.8, 559.1, 771.2, 1197.4" ); }} +timing() { /* ring osc delay cgi2cv0x2, path b to z 75.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.6 ; */ +/* intrinsic_fall : 52.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 68.0, 102.1, 177.5, 310.8, 593.3", \ + " 60.7, 74.1, 108.7, 184.7, 318.5, 601.3", \ + " 65.7, 78.9, 113.4, 189.6, 323.5, 606.5", \ + " 72.2, 85.3, 119.5, 195.7, 329.8, 613.0", \ + " 79.8, 95.5, 130.2, 206.1, 340.3, 623.7", \ + " 89.0, 105.8, 145.3, 221.2, 355.2, 638.6", \ + " 101.4, 120.1, 163.1, 245.0, 378.2, 661.3", \ + " 118.3, 139.6, 187.3, 276.3, 413.3, 695.4", \ + " 142.4, 166.8, 220.5, 318.3, 465.9, 748.2", \ + " 176.3, 204.7, 266.3, 375.6, 536.3, 830.7" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 130.2, 165.1, 259.4, 473.5, 855.3, 1667.0", \ + " 130.2, 164.9, 259.1, 473.3, 855.2, 1666.9", \ + " 131.8, 165.6, 259.1, 473.1, 855.0, 1666.8", \ + " 136.8, 169.9, 261.5, 473.1, 854.8, 1666.7", \ + " 147.7, 179.6, 269.2, 477.6, 855.1, 1666.4", \ + " 168.8, 197.7, 283.8, 488.3, 861.3, 1666.2", \ + " 211.5, 234.1, 311.8, 509.7, 876.3, 1672.7", \ + " 250.6, 284.3, 363.6, 548.9, 906.0, 1690.9", \ + " 315.9, 348.9, 438.2, 619.0, 961.5, 1729.8", \ + " 415.0, 447.5, 537.1, 735.6, 1060.3, 1805.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.9, 53.2, 76.1, 125.1, 210.0, 388.8", \ + " 49.5, 58.9, 82.1, 131.3, 216.4, 395.3", \ + " 54.3, 63.5, 86.7, 136.0, 221.2, 400.2", \ + " 60.8, 70.0, 93.0, 142.3, 227.6, 406.7", \ + " 68.5, 79.7, 104.5, 153.7, 239.0, 418.0", \ + " 75.7, 88.8, 118.3, 170.3, 255.4, 434.4", \ + " 82.3, 97.6, 132.4, 193.7, 280.6, 459.2", \ + " 87.6, 105.4, 145.8, 218.1, 317.6, 496.2", \ + " 90.6, 111.3, 158.2, 242.7, 360.6, 552.7", \ + " 89.1, 113.3, 168.0, 266.6, 405.1, 632.1" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.2, 98.0, 155.0, 284.9, 516.8, 1010.2", \ + " 76.6, 97.2, 153.9, 284.0, 516.0, 1009.4", \ + " 80.4, 100.4, 155.7, 283.8, 515.6, 1009.1", \ + " 87.9, 107.3, 161.0, 286.7, 515.7, 1008.8", \ + " 104.5, 123.0, 174.6, 296.4, 520.7, 1008.7", \ + " 127.7, 149.7, 198.8, 316.2, 534.6, 1014.2", \ + " 157.8, 182.0, 239.6, 352.4, 563.4, 1032.2", \ + " 201.2, 228.1, 291.5, 411.4, 615.5, 1070.4", \ + " 264.4, 294.7, 364.9, 498.5, 702.9, 1142.7", \ + " 356.3, 391.2, 470.3, 617.6, 840.5, 1270.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.6 ; */ +/* intrinsic_fall : 52.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 68.0, 102.1, 177.5, 310.8, 593.3", \ + " 60.7, 74.1, 108.7, 184.7, 318.5, 601.3", \ + " 65.7, 78.9, 113.4, 189.6, 323.5, 606.5", \ + " 72.2, 85.3, 119.5, 195.7, 329.8, 613.0", \ + " 79.8, 95.5, 130.2, 206.1, 340.3, 623.7", \ + " 89.0, 105.8, 145.3, 221.2, 355.2, 638.6", \ + " 101.4, 120.1, 163.1, 245.0, 378.2, 661.3", \ + " 118.3, 139.6, 187.3, 276.3, 413.3, 695.4", \ + " 142.4, 166.8, 220.5, 318.3, 465.9, 748.2", \ + " 176.3, 204.7, 266.3, 375.6, 536.3, 830.7" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 130.2, 165.1, 259.4, 473.5, 855.3, 1667.0", \ + " 130.2, 164.9, 259.1, 473.3, 855.2, 1666.9", \ + " 131.8, 165.6, 259.1, 473.1, 855.0, 1666.8", \ + " 136.8, 169.9, 261.5, 473.1, 854.8, 1666.7", \ + " 147.7, 179.6, 269.2, 477.6, 855.1, 1666.4", \ + " 168.8, 197.7, 283.8, 488.3, 861.3, 1666.2", \ + " 211.5, 234.1, 311.8, 509.7, 876.3, 1672.7", \ + " 250.6, 284.3, 363.6, 548.9, 906.0, 1690.9", \ + " 315.9, 348.9, 438.2, 619.0, 961.5, 1729.8", \ + " 415.0, 447.5, 537.1, 735.6, 1060.3, 1805.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.9, 53.2, 76.1, 125.1, 210.0, 388.8", \ + " 49.5, 58.9, 82.1, 131.3, 216.4, 395.3", \ + " 54.3, 63.5, 86.7, 136.0, 221.2, 400.2", \ + " 60.8, 70.0, 93.0, 142.3, 227.6, 406.7", \ + " 68.5, 79.7, 104.5, 153.7, 239.0, 418.0", \ + " 75.7, 88.8, 118.3, 170.3, 255.4, 434.4", \ + " 82.3, 97.6, 132.4, 193.7, 280.6, 459.2", \ + " 87.6, 105.4, 145.8, 218.1, 317.6, 496.2", \ + " 90.6, 111.3, 158.2, 242.7, 360.6, 552.7", \ + " 89.1, 113.3, 168.0, 266.6, 405.1, 632.1" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.2, 98.0, 155.0, 284.9, 516.8, 1010.2", \ + " 76.6, 97.2, 153.9, 284.0, 516.0, 1009.4", \ + " 80.4, 100.4, 155.7, 283.8, 515.6, 1009.1", \ + " 87.9, 107.3, 161.0, 286.7, 515.7, 1008.8", \ + " 104.5, 123.0, 174.6, 296.4, 520.7, 1008.7", \ + " 127.7, 149.7, 198.8, 316.2, 534.6, 1014.2", \ + " 157.8, 182.0, 239.6, 352.4, 563.4, 1032.2", \ + " 201.2, 228.1, 291.5, 411.4, 615.5, 1070.4", \ + " 264.4, 294.7, 364.9, 498.5, 702.9, 1142.7", \ + " 356.3, 391.2, 470.3, 617.6, 840.5, 1270.1" ); }} +timing() { /* ring osc delay cgi2cv0x2, path c to z 84.8 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.3 ; */ +/* intrinsic_fall : 83.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.3, 80.6, 114.9, 190.3, 323.3, 605.0", \ + " 73.6, 86.9, 121.3, 196.9, 329.9, 611.7", \ + " 77.6, 90.9, 125.3, 201.0, 334.1, 616.0", \ + " 81.8, 94.9, 129.3, 205.0, 338.2, 620.1", \ + " 86.8, 99.9, 134.2, 209.8, 343.1, 625.1", \ + " 91.7, 104.6, 138.7, 214.4, 347.6, 629.6", \ + " 96.4, 109.3, 143.1, 218.6, 351.8, 633.8", \ + " 100.3, 113.2, 146.8, 222.1, 355.3, 637.2", \ + " 102.0, 115.1, 149.0, 224.3, 357.3, 639.3", \ + " 99.5, 113.0, 147.4, 223.5, 357.0, 638.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.3, 132.9, 222.8, 425.1, 784.5, 1547.9", \ + " 99.4, 132.9, 222.8, 425.1, 784.5, 1547.9", \ + " 99.6, 133.0, 222.8, 425.1, 784.5, 1547.9", \ + " 100.5, 133.6, 223.0, 425.1, 784.5, 1547.9", \ + " 102.1, 134.8, 223.6, 425.4, 784.5, 1547.9", \ + " 104.2, 136.7, 224.6, 425.8, 784.9, 1547.9", \ + " 107.8, 139.4, 226.5, 426.6, 785.3, 1548.3", \ + " 113.4, 144.5, 229.9, 428.5, 786.2, 1548.7", \ + " 121.5, 152.3, 236.6, 432.8, 788.8, 1549.9", \ + " 133.3, 163.8, 247.5, 441.4, 794.9, 1553.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.8, 68.2, 91.0, 139.4, 223.5, 401.5", \ + " 66.7, 76.0, 98.9, 147.3, 231.5, 409.6", \ + " 72.7, 82.1, 105.0, 153.5, 237.7, 415.8", \ + " 80.2, 89.5, 112.4, 160.9, 245.2, 423.4", \ + " 91.1, 100.7, 123.7, 172.2, 256.5, 434.6", \ + " 104.1, 113.8, 137.1, 185.8, 270.0, 448.2", \ + " 121.0, 130.9, 154.3, 203.2, 287.6, 465.7", \ + " 142.7, 152.9, 176.8, 225.7, 310.2, 488.4", \ + " 171.2, 181.9, 206.7, 256.2, 340.7, 519.2", \ + " 208.9, 220.5, 246.9, 297.9, 383.3, 561.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.4, 84.7, 137.6, 258.9, 476.6, 940.4", \ + " 65.5, 84.8, 137.6, 258.9, 476.6, 940.4", \ + " 66.0, 85.1, 137.8, 259.0, 476.6, 940.4", \ + " 67.7, 86.4, 138.4, 259.2, 476.6, 940.4", \ + " 71.0, 89.2, 140.4, 260.1, 476.8, 940.4", \ + " 74.7, 92.8, 143.0, 261.7, 477.7, 940.5", \ + " 79.8, 97.3, 146.6, 263.9, 478.8, 941.2", \ + " 87.2, 104.2, 152.1, 267.5, 480.7, 942.1", \ + " 97.6, 114.4, 161.1, 273.7, 484.5, 943.7", \ + " 111.9, 128.9, 175.0, 285.1, 492.3, 948.0" ); }} +timing() { /* ring osc delay cgi2cv0x2, path c to z 86.4 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.3 ; */ +/* intrinsic_fall : 83.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 73.9, 108.8, 185.2, 319.6, 603.6", \ + " 66.7, 80.2, 115.1, 191.7, 326.2, 610.3", \ + " 70.8, 84.2, 119.1, 195.7, 330.4, 614.6", \ + " 75.0, 88.3, 123.1, 199.8, 334.5, 618.7", \ + " 80.1, 93.3, 128.0, 204.6, 339.3, 623.7", \ + " 85.1, 98.1, 132.6, 209.1, 343.9, 628.2", \ + " 90.0, 102.9, 137.0, 213.4, 348.1, 632.4", \ + " 93.9, 106.9, 140.8, 216.9, 351.6, 635.8", \ + " 95.6, 108.9, 143.0, 219.2, 353.6, 637.9", \ + " 92.9, 106.8, 141.5, 218.4, 353.4, 637.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.5, 161.3, 249.9, 451.2, 809.8, 1572.5", \ + " 128.6, 161.3, 249.9, 451.2, 809.8, 1572.5", \ + " 129.1, 161.5, 249.9, 451.1, 809.8, 1572.5", \ + " 130.2, 162.3, 250.2, 451.1, 809.8, 1572.5", \ + " 132.2, 163.7, 250.9, 451.5, 809.8, 1572.5", \ + " 135.2, 165.9, 252.0, 451.9, 810.1, 1572.5", \ + " 139.1, 169.3, 254.2, 452.8, 810.6, 1572.9", \ + " 145.5, 174.9, 258.0, 454.8, 811.5, 1573.3", \ + " 154.9, 183.6, 265.2, 459.2, 814.2, 1574.5", \ + " 168.4, 196.4, 276.9, 468.3, 820.4, 1578.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.3, 75.2, 97.6, 145.9, 230.3, 408.6", \ + " 74.2, 83.1, 105.6, 153.9, 238.4, 416.7", \ + " 80.2, 89.2, 111.7, 160.0, 244.5, 422.9", \ + " 87.7, 96.7, 119.1, 167.5, 252.0, 430.4", \ + " 98.8, 107.9, 130.4, 178.8, 263.3, 441.7", \ + " 111.9, 121.1, 143.8, 192.4, 276.8, 455.2", \ + " 128.8, 138.2, 161.1, 209.8, 294.4, 472.7", \ + " 150.6, 160.3, 183.5, 232.3, 317.0, 495.5", \ + " 179.3, 189.5, 213.6, 262.8, 347.5, 526.3", \ + " 217.3, 228.3, 253.9, 304.6, 390.1, 568.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.2, 86.2, 139.8, 261.7, 479.6, 943.7", \ + " 66.3, 86.3, 139.9, 261.7, 479.6, 943.7", \ + " 66.6, 86.5, 140.0, 261.7, 479.6, 943.7", \ + " 67.6, 87.3, 140.4, 261.9, 479.7, 943.7", \ + " 70.2, 89.5, 142.0, 262.6, 479.8, 943.7", \ + " 73.1, 92.5, 144.3, 264.0, 480.6, 943.8", \ + " 77.3, 96.1, 147.4, 266.0, 481.7, 944.5", \ + " 83.3, 102.0, 152.1, 269.3, 483.4, 945.3", \ + " 92.3, 111.0, 160.3, 274.9, 487.0, 946.9", \ + " 105.0, 124.1, 173.1, 285.7, 494.4, 951.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.3 ; */ +/* intrinsic_fall : 83.2 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 73.9, 108.8, 185.2, 319.6, 603.6", \ + " 66.7, 80.2, 115.1, 191.7, 326.2, 610.3", \ + " 70.8, 84.2, 119.1, 195.7, 330.4, 614.6", \ + " 75.0, 88.3, 123.1, 199.8, 334.5, 618.7", \ + " 80.1, 93.3, 128.0, 204.6, 339.3, 623.7", \ + " 85.1, 98.1, 132.6, 209.1, 343.9, 628.2", \ + " 90.0, 102.9, 137.0, 213.4, 348.1, 632.4", \ + " 93.9, 106.9, 140.8, 216.9, 351.6, 635.8", \ + " 95.6, 108.9, 143.0, 219.2, 353.6, 637.9", \ + " 92.9, 106.8, 141.5, 218.4, 353.4, 637.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.5, 161.3, 249.9, 451.2, 809.8, 1572.5", \ + " 128.6, 161.3, 249.9, 451.2, 809.8, 1572.5", \ + " 129.1, 161.5, 249.9, 451.1, 809.8, 1572.5", \ + " 130.2, 162.3, 250.2, 451.1, 809.8, 1572.5", \ + " 132.2, 163.7, 250.9, 451.5, 809.8, 1572.5", \ + " 135.2, 165.9, 252.0, 451.9, 810.1, 1572.5", \ + " 139.1, 169.3, 254.2, 452.8, 810.6, 1572.9", \ + " 145.5, 174.9, 258.0, 454.8, 811.5, 1573.3", \ + " 154.9, 183.6, 265.2, 459.2, 814.2, 1574.5", \ + " 168.4, 196.4, 276.9, 468.3, 820.4, 1578.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.3, 75.2, 97.6, 145.9, 230.3, 408.6", \ + " 74.2, 83.1, 105.6, 153.9, 238.4, 416.7", \ + " 80.2, 89.2, 111.7, 160.0, 244.5, 422.9", \ + " 87.7, 96.7, 119.1, 167.5, 252.0, 430.4", \ + " 98.8, 107.9, 130.4, 178.8, 263.3, 441.7", \ + " 111.9, 121.1, 143.8, 192.4, 276.8, 455.2", \ + " 128.8, 138.2, 161.1, 209.8, 294.4, 472.7", \ + " 150.6, 160.3, 183.5, 232.3, 317.0, 495.5", \ + " 179.3, 189.5, 213.6, 262.8, 347.5, 526.3", \ + " 217.3, 228.3, 253.9, 304.6, 390.1, 568.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.2, 86.2, 139.8, 261.7, 479.6, 943.7", \ + " 66.3, 86.3, 139.9, 261.7, 479.6, 943.7", \ + " 66.6, 86.5, 140.0, 261.7, 479.6, 943.7", \ + " 67.6, 87.3, 140.4, 261.9, 479.7, 943.7", \ + " 70.2, 89.5, 142.0, 262.6, 479.8, 943.7", \ + " 73.1, 92.5, 144.3, 264.0, 480.6, 943.8", \ + " 77.3, 96.1, 147.4, 266.0, 481.7, 944.5", \ + " 83.3, 102.0, 152.1, 269.3, 483.4, 945.3", \ + " 92.3, 111.0, 160.3, 274.9, 487.0, 946.9", \ + " 105.0, 124.1, 173.1, 285.7, 494.4, 951.0" ); }} +} +} +cell(cgi2cv0x3) { /* 2008-01-06:07h34 */ +area : 25 ; /* tracks */ +cell_leakage_power : 3973 ; /* cgi2cv0x3 */ +cell_footprint : cgi2c ; +pin(a) { /* cgi2cv0x3 FO4 effort 2.62 logical effort 3.47 */ +direction : input ; +capacitance : 25.99 ; +rise_capacitance : 25.97 ; +fall_capacitance : 26.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of cgi2cv0x3 */ +} +pin(b) { /* cgi2cv0x3 FO4 effort 2.54 logical effort 3.41 */ +direction : input ; +capacitance : 25.43 ; +rise_capacitance : 24.48 ; +fall_capacitance : 26.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of cgi2cv0x3 */ +} +pin(c) { /* cgi2cv0x3 FO4 effort 1.91 */ +direction : input ; +capacitance : 11.57 ; +rise_capacitance : 11.75 ; +fall_capacitance : 11.39 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of cgi2cv0x3 */ +internal_power(c) { /* cgi2cv0x3 34.03 nW/MHz */ +power(pwr_intran_x10) { +values( " 16.55, 16.22, 16.45, 17.01, 18.35, 20.66, 24.60, 30.88, 40.85, 56.51" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 296 ; +max_fanout : 8 ; +function : "((a*b)+(a*c')+(b*c'))'" ; +internal_power(a_z_n) { /* cgi2cv0x3 52.62 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 27.66, 27.71, 27.77, 27.77, 27.71", \ + " 26.54, 26.66, 26.83, 26.95, 26.96", \ + " 26.21, 26.33, 26.53, 26.69, 26.75", \ + " 26.03, 26.13, 26.31, 26.50, 26.58", \ + " 26.18, 26.19, 26.26, 26.38, 26.46", \ + " 27.10, 26.94, 26.74, 26.63, 26.57", \ + " 29.49, 29.02, 28.30, 27.62, 27.17", \ + " 34.28, 33.39, 31.84, 30.12, 28.84", \ + " 42.87, 41.50, 38.85, 35.50, 32.71", \ + " 57.09, 55.25, 51.39, 45.87, 40.67" ); }} +internal_power(b_z_n) { /* cgi2cv0x3 47.64 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 24.77, 25.13, 25.54, 25.78, 25.84", \ + " 23.45, 23.90, 24.60, 25.21, 25.51", \ + " 23.04, 23.45, 24.16, 24.88, 25.30", \ + " 22.89, 23.19, 23.82, 24.58, 25.09", \ + " 23.23, 23.34, 23.71, 24.34, 24.87", \ + " 24.49, 24.34, 24.27, 24.51, 24.88", \ + " 27.33, 26.80, 26.06, 25.55, 25.43", \ + " 32.56, 31.57, 29.90, 28.22, 27.14", \ + " 41.56, 40.06, 37.22, 33.81, 31.13", \ + " 56.28, 54.25, 50.12, 44.41, 39.26" ); }} +internal_power(c_z_p) { /* cgi2cv0x3 74.41 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 19.89, 20.24, 20.69, 20.99, 21.09", \ + " 19.63, 19.99, 20.49, 20.87, 21.04", \ + " 19.49, 19.83, 20.34, 20.78, 20.99", \ + " 19.39, 19.69, 20.19, 20.66, 20.91", \ + " 19.30, 19.54, 20.00, 20.50, 20.79", \ + " 19.27, 19.44, 19.83, 20.32, 20.65", \ + " 19.34, 19.42, 19.69, 20.13, 20.48", \ + " 19.56, 19.53, 19.65, 19.98, 20.31", \ + " 20.04, 19.86, 19.76, 19.91, 20.17", \ + " 20.95, 20.56, 20.15, 20.02, 20.12" ); }} +timing() { /* ring osc delay cgi2cv0x3, path a to z 71.0 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.3 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.2, 70.2, 104.1, 179.3, 312.1, 593.8", \ + " 64.1, 77.2, 111.3, 186.6, 319.5, 601.3", \ + " 69.0, 82.1, 116.2, 191.5, 324.5, 606.3", \ + " 75.3, 88.3, 122.4, 197.7, 330.8, 612.6", \ + " 84.9, 99.2, 133.2, 208.5, 341.5, 623.3", \ + " 96.2, 111.8, 149.1, 224.1, 356.9, 638.6", \ + " 111.8, 128.9, 169.6, 248.4, 380.6, 661.9", \ + " 133.4, 152.2, 196.6, 282.6, 416.5, 696.7", \ + " 163.7, 184.8, 233.8, 327.5, 471.2, 750.4", \ + " 205.5, 229.7, 285.2, 388.5, 545.2, 834.0" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.1, 146.4, 241.3, 456.0, 838.1, 1649.8", \ + " 111.5, 146.5, 241.1, 455.6, 837.6, 1649.3", \ + " 114.7, 149.0, 242.2, 455.6, 837.5, 1649.2", \ + " 120.0, 153.8, 245.8, 457.0, 837.5, 1649.1", \ + " 131.6, 164.3, 254.4, 462.6, 839.3, 1649.1", \ + " 152.6, 183.2, 270.1, 474.2, 846.3, 1650.0", \ + " 185.5, 217.5, 299.0, 496.7, 862.2, 1657.4", \ + " 230.8, 264.0, 348.2, 536.8, 892.9, 1676.5", \ + " 295.7, 330.6, 419.4, 605.7, 949.3, 1716.2", \ + " 387.5, 425.0, 519.3, 716.0, 1047.8, 1792.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.2, 52.0, 74.5, 123.5, 209.6, 391.9", \ + " 47.8, 56.8, 79.4, 128.6, 214.8, 397.1", \ + " 50.8, 59.8, 82.6, 131.9, 218.1, 400.5", \ + " 54.0, 63.7, 86.8, 136.2, 222.6, 405.0", \ + " 58.0, 68.8, 94.0, 144.0, 230.5, 413.0", \ + " 61.7, 74.0, 102.0, 155.3, 242.0, 424.5", \ + " 64.6, 78.8, 110.9, 169.9, 259.5, 442.0", \ + " 65.3, 82.0, 119.4, 186.2, 284.0, 468.1", \ + " 62.4, 82.0, 125.9, 203.4, 312.9, 507.8", \ + " 53.6, 76.4, 128.0, 219.1, 345.0, 560.8" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 70.1, 92.1, 151.1, 284.3, 521.7, 1026.8", \ + " 71.7, 93.3, 151.6, 284.4, 521.7, 1026.8", \ + " 75.0, 96.2, 153.8, 285.3, 521.8, 1026.8", \ + " 81.1, 101.7, 158.1, 288.2, 522.9, 1026.8", \ + " 95.6, 114.7, 168.8, 295.8, 527.5, 1027.8", \ + " 115.4, 136.4, 188.7, 311.0, 537.9, 1032.8", \ + " 145.1, 167.4, 222.7, 339.9, 559.6, 1045.8", \ + " 188.8, 212.4, 270.6, 389.9, 600.0, 1073.7", \ + " 253.5, 278.6, 340.2, 465.8, 671.8, 1128.1", \ + " 347.4, 375.2, 441.9, 575.0, 789.9, 1228.3" ); }} +timing() { /* ring osc delay cgi2cv0x3, path a to z 72.1 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.3 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.0, 73.9, 107.5, 182.4, 315.0, 596.6", \ + " 68.2, 81.2, 115.0, 190.1, 322.9, 604.5", \ + " 73.4, 86.4, 120.2, 195.4, 328.2, 610.0", \ + " 79.9, 92.9, 126.7, 201.9, 334.8, 616.6", \ + " 89.5, 103.7, 137.7, 212.8, 345.8, 627.6", \ + " 100.2, 115.9, 153.2, 228.4, 361.2, 643.0", \ + " 114.4, 131.9, 173.1, 252.2, 384.6, 666.1", \ + " 134.5, 153.9, 199.1, 285.7, 420.1, 700.7", \ + " 163.8, 185.4, 235.3, 329.9, 474.3, 754.1", \ + " 205.7, 230.4, 286.5, 390.6, 548.0, 837.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.6, 143.7, 238.3, 452.5, 834.2, 1645.6", \ + " 109.2, 144.2, 238.5, 452.5, 834.2, 1645.6", \ + " 111.3, 145.6, 239.0, 452.6, 834.2, 1645.6", \ + " 115.9, 149.7, 241.8, 453.4, 834.3, 1645.6", \ + " 125.8, 158.8, 249.4, 458.3, 835.5, 1645.6", \ + " 144.4, 175.4, 263.5, 468.9, 841.9, 1646.2", \ + " 175.3, 207.4, 290.2, 490.0, 857.0, 1653.1", \ + " 219.8, 253.0, 337.9, 528.5, 886.5, 1671.5", \ + " 287.4, 321.4, 409.6, 596.3, 941.5, 1710.3", \ + " 384.9, 420.4, 512.1, 707.1, 1039.0, 1785.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.6, 49.6, 72.2, 120.9, 205.7, 384.5", \ + " 44.7, 53.7, 76.4, 125.1, 209.8, 388.5", \ + " 47.9, 56.8, 79.5, 128.2, 213.0, 391.7", \ + " 51.4, 60.9, 83.8, 132.6, 217.4, 396.1", \ + " 56.0, 66.4, 91.1, 140.2, 225.1, 403.8", \ + " 60.4, 72.1, 99.3, 151.5, 236.3, 414.9", \ + " 64.0, 77.6, 108.4, 165.8, 253.5, 431.9", \ + " 65.4, 81.3, 117.1, 181.7, 277.2, 457.2", \ + " 62.9, 81.5, 123.6, 198.4, 304.9, 495.7", \ + " 53.8, 75.6, 125.1, 213.1, 335.4, 546.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.8, 97.1, 154.6, 284.8, 516.8, 1010.1", \ + " 77.2, 97.9, 154.5, 283.9, 515.9, 1009.3", \ + " 80.9, 101.2, 156.9, 285.0, 515.7, 1009.0", \ + " 87.8, 107.2, 161.5, 287.9, 516.9, 1008.8", \ + " 103.1, 121.2, 172.9, 295.8, 521.5, 1009.8", \ + " 123.6, 143.5, 193.6, 311.6, 532.2, 1015.0", \ + " 153.9, 175.0, 228.0, 341.2, 554.4, 1028.3", \ + " 197.3, 219.9, 275.9, 391.5, 595.4, 1056.5", \ + " 260.1, 284.9, 345.0, 467.0, 667.5, 1111.3", \ + " 350.2, 378.5, 444.9, 575.2, 784.8, 1211.8" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 74.3 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.0, 73.9, 107.5, 182.4, 315.0, 596.6", \ + " 68.2, 81.2, 115.0, 190.1, 322.9, 604.5", \ + " 73.4, 86.4, 120.2, 195.4, 328.2, 610.0", \ + " 79.9, 92.9, 126.7, 201.9, 334.8, 616.6", \ + " 89.5, 103.7, 137.7, 212.8, 345.8, 627.6", \ + " 100.2, 115.9, 153.2, 228.4, 361.2, 643.0", \ + " 114.4, 131.9, 173.1, 252.2, 384.6, 666.1", \ + " 134.5, 153.9, 199.1, 285.7, 420.1, 700.7", \ + " 163.8, 185.4, 235.3, 329.9, 474.3, 754.1", \ + " 205.7, 230.4, 286.5, 390.6, 548.0, 837.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.6, 143.7, 238.3, 452.5, 834.2, 1645.6", \ + " 109.2, 144.2, 238.5, 452.5, 834.2, 1645.6", \ + " 111.3, 145.6, 239.0, 452.6, 834.2, 1645.6", \ + " 115.9, 149.7, 241.8, 453.4, 834.3, 1645.6", \ + " 125.8, 158.8, 249.4, 458.3, 835.5, 1645.6", \ + " 144.4, 175.4, 263.5, 468.9, 841.9, 1646.2", \ + " 175.3, 207.4, 290.2, 490.0, 857.0, 1653.1", \ + " 219.8, 253.0, 337.9, 528.5, 886.5, 1671.5", \ + " 287.4, 321.4, 409.6, 596.3, 941.5, 1710.3", \ + " 384.9, 420.4, 512.1, 707.1, 1039.0, 1785.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.6, 49.6, 72.2, 120.9, 205.7, 384.5", \ + " 44.7, 53.7, 76.4, 125.1, 209.8, 388.5", \ + " 47.9, 56.8, 79.5, 128.2, 213.0, 391.7", \ + " 51.4, 60.9, 83.8, 132.6, 217.4, 396.1", \ + " 56.0, 66.4, 91.1, 140.2, 225.1, 403.8", \ + " 60.4, 72.1, 99.3, 151.5, 236.3, 414.9", \ + " 64.0, 77.6, 108.4, 165.8, 253.5, 431.9", \ + " 65.4, 81.3, 117.1, 181.7, 277.2, 457.2", \ + " 62.9, 81.5, 123.6, 198.4, 304.9, 495.7", \ + " 53.8, 75.6, 125.1, 213.1, 335.4, 546.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.8, 97.1, 154.6, 284.8, 516.8, 1010.1", \ + " 77.2, 97.9, 154.5, 283.9, 515.9, 1009.3", \ + " 80.9, 101.2, 156.9, 285.0, 515.7, 1009.0", \ + " 87.8, 107.2, 161.5, 287.9, 516.9, 1008.8", \ + " 103.1, 121.2, 172.9, 295.8, 521.5, 1009.8", \ + " 123.6, 143.5, 193.6, 311.6, 532.2, 1015.0", \ + " 153.9, 175.0, 228.0, 341.2, 554.4, 1028.3", \ + " 197.3, 219.9, 275.9, 391.5, 595.4, 1056.5", \ + " 260.1, 284.9, 345.0, 467.0, 667.5, 1111.3", \ + " 350.2, 378.5, 444.9, 575.2, 784.8, 1211.8" ); }} +timing() { /* ring osc delay cgi2cv0x3, path b to z 62.4 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.4 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.1, 67.8, 102.6, 178.4, 311.6, 593.5", \ + " 58.8, 72.4, 107.5, 183.8, 317.5, 599.7", \ + " 63.8, 77.1, 112.0, 188.4, 322.1, 604.5", \ + " 71.3, 84.3, 118.6, 194.8, 328.5, 611.0", \ + " 83.6, 98.0, 131.4, 206.7, 340.2, 622.6", \ + " 98.1, 114.2, 151.3, 225.1, 357.6, 639.5", \ + " 117.5, 135.4, 177.3, 254.5, 385.2, 665.8", \ + " 143.4, 163.3, 209.9, 297.3, 427.9, 706.0", \ + " 178.8, 201.3, 253.3, 351.5, 494.7, 768.7", \ + " 228.0, 253.7, 312.6, 422.4, 585.1, 868.1" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.4, 146.7, 241.6, 456.2, 838.2, 1649.8", \ + " 111.4, 145.9, 240.9, 455.7, 837.7, 1649.4", \ + " 116.0, 149.7, 242.0, 455.5, 837.6, 1649.3", \ + " 123.8, 156.5, 247.0, 456.8, 837.4, 1649.2", \ + " 140.0, 171.4, 258.9, 464.3, 839.1, 1649.1", \ + " 166.5, 196.2, 280.3, 480.0, 848.3, 1649.5", \ + " 203.9, 237.6, 317.2, 509.8, 869.6, 1659.0", \ + " 247.7, 286.9, 375.7, 561.3, 910.0, 1684.6", \ + " 312.5, 354.7, 455.8, 645.5, 982.7, 1737.3", \ + " 408.5, 454.2, 563.7, 776.5, 1106.1, 1836.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.9, 43.7, 65.7, 113.5, 197.5, 375.5", \ + " 39.3, 48.2, 70.6, 118.8, 203.1, 381.2", \ + " 41.5, 51.0, 73.5, 122.0, 206.4, 384.6", \ + " 42.6, 53.2, 77.4, 126.0, 210.6, 389.0", \ + " 44.3, 56.0, 82.7, 133.3, 218.0, 396.6", \ + " 45.2, 58.7, 88.2, 143.5, 228.9, 407.6", \ + " 43.9, 60.0, 94.3, 154.8, 245.5, 424.2", \ + " 38.9, 58.2, 98.9, 167.8, 266.8, 449.1", \ + " 28.2, 51.0, 99.5, 180.6, 291.3, 486.7", \ + " 7.9, 34.8, 92.5, 189.3, 317.9, 533.8" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 63.4, 84.9, 142.7, 273.0, 504.9, 998.2", \ + " 64.5, 85.5, 142.4, 272.7, 504.8, 998.2", \ + " 68.0, 88.4, 144.6, 273.2, 504.7, 998.1", \ + " 74.9, 94.1, 148.8, 275.9, 505.4, 998.0", \ + " 92.6, 108.8, 159.4, 283.3, 509.8, 998.6", \ + " 109.6, 130.9, 180.4, 298.2, 520.0, 1003.5", \ + " 138.1, 159.8, 215.4, 327.4, 541.3, 1016.3", \ + " 181.9, 204.1, 260.8, 379.8, 581.6, 1043.8", \ + " 247.7, 270.8, 329.3, 452.7, 654.0, 1097.9", \ + " 344.1, 368.6, 431.2, 559.6, 771.7, 1197.9" ); }} +timing() { /* ring osc delay cgi2cv0x3, path b to z 74.9 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.4 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.5, 67.9, 102.1, 177.4, 310.7, 593.2", \ + " 60.7, 74.1, 108.6, 184.6, 318.4, 601.2", \ + " 65.7, 78.9, 113.4, 189.5, 323.5, 606.4", \ + " 72.2, 85.3, 119.5, 195.7, 329.8, 612.9", \ + " 80.0, 95.6, 130.3, 206.1, 340.3, 623.6", \ + " 89.1, 105.9, 145.4, 221.3, 355.2, 638.6", \ + " 101.5, 120.3, 163.1, 245.0, 378.2, 661.3", \ + " 118.4, 139.6, 187.3, 276.3, 413.3, 695.4", \ + " 142.3, 166.7, 220.4, 318.3, 465.9, 748.2", \ + " 176.1, 204.5, 266.2, 375.5, 536.2, 830.7" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 130.2, 165.0, 259.3, 473.4, 855.2, 1666.9", \ + " 130.2, 164.9, 259.1, 473.2, 855.1, 1666.8", \ + " 131.9, 165.6, 259.1, 473.0, 854.9, 1666.7", \ + " 136.8, 169.9, 261.4, 473.1, 854.7, 1666.6", \ + " 147.6, 179.5, 269.1, 477.5, 855.0, 1666.3", \ + " 168.4, 197.4, 283.5, 488.2, 861.2, 1666.1", \ + " 210.8, 233.5, 311.4, 509.5, 876.1, 1672.6", \ + " 249.7, 283.5, 363.0, 548.5, 905.7, 1690.8", \ + " 315.0, 348.0, 437.5, 618.5, 961.2, 1729.6", \ + " 414.4, 446.9, 536.5, 735.1, 1060.0, 1805.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 53.1, 76.1, 125.1, 210.0, 388.9", \ + " 49.5, 58.8, 82.0, 131.3, 216.4, 395.3", \ + " 54.2, 63.4, 86.6, 136.0, 221.2, 400.2", \ + " 60.8, 70.0, 93.0, 142.3, 227.6, 406.7", \ + " 68.5, 79.7, 104.5, 153.7, 239.0, 418.1", \ + " 75.6, 88.8, 118.3, 170.3, 255.4, 434.4", \ + " 82.3, 97.6, 132.4, 193.7, 280.6, 459.2", \ + " 87.6, 105.4, 145.8, 218.1, 317.6, 496.2", \ + " 90.6, 111.3, 158.2, 242.8, 360.6, 552.7", \ + " 89.1, 113.3, 168.1, 266.6, 405.1, 632.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.1, 98.0, 155.0, 284.9, 516.8, 1010.1", \ + " 76.6, 97.1, 153.9, 284.0, 515.9, 1009.4", \ + " 80.4, 100.4, 155.7, 283.8, 515.6, 1009.0", \ + " 87.9, 107.3, 161.0, 286.7, 515.6, 1008.8", \ + " 104.5, 123.0, 174.6, 296.4, 520.7, 1008.6", \ + " 127.6, 149.6, 198.8, 316.2, 534.6, 1014.2", \ + " 157.7, 182.0, 239.6, 352.4, 563.4, 1032.2", \ + " 201.1, 228.1, 291.4, 411.3, 615.5, 1070.4", \ + " 264.2, 294.6, 364.8, 498.5, 702.8, 1142.7", \ + " 356.0, 391.1, 470.2, 617.6, 840.5, 1270.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.4 ; */ +/* intrinsic_fall : 52.0 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.5, 67.9, 102.1, 177.4, 310.7, 593.2", \ + " 60.7, 74.1, 108.6, 184.6, 318.4, 601.2", \ + " 65.7, 78.9, 113.4, 189.5, 323.5, 606.4", \ + " 72.2, 85.3, 119.5, 195.7, 329.8, 612.9", \ + " 80.0, 95.6, 130.3, 206.1, 340.3, 623.6", \ + " 89.1, 105.9, 145.4, 221.3, 355.2, 638.6", \ + " 101.5, 120.3, 163.1, 245.0, 378.2, 661.3", \ + " 118.4, 139.6, 187.3, 276.3, 413.3, 695.4", \ + " 142.3, 166.7, 220.4, 318.3, 465.9, 748.2", \ + " 176.1, 204.5, 266.2, 375.5, 536.2, 830.7" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 130.2, 165.0, 259.3, 473.4, 855.2, 1666.9", \ + " 130.2, 164.9, 259.1, 473.2, 855.1, 1666.8", \ + " 131.9, 165.6, 259.1, 473.0, 854.9, 1666.7", \ + " 136.8, 169.9, 261.4, 473.1, 854.7, 1666.6", \ + " 147.6, 179.5, 269.1, 477.5, 855.0, 1666.3", \ + " 168.4, 197.4, 283.5, 488.2, 861.2, 1666.1", \ + " 210.8, 233.5, 311.4, 509.5, 876.1, 1672.6", \ + " 249.7, 283.5, 363.0, 548.5, 905.7, 1690.8", \ + " 315.0, 348.0, 437.5, 618.5, 961.2, 1729.6", \ + " 414.4, 446.9, 536.5, 735.1, 1060.0, 1805.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 53.1, 76.1, 125.1, 210.0, 388.9", \ + " 49.5, 58.8, 82.0, 131.3, 216.4, 395.3", \ + " 54.2, 63.4, 86.6, 136.0, 221.2, 400.2", \ + " 60.8, 70.0, 93.0, 142.3, 227.6, 406.7", \ + " 68.5, 79.7, 104.5, 153.7, 239.0, 418.1", \ + " 75.6, 88.8, 118.3, 170.3, 255.4, 434.4", \ + " 82.3, 97.6, 132.4, 193.7, 280.6, 459.2", \ + " 87.6, 105.4, 145.8, 218.1, 317.6, 496.2", \ + " 90.6, 111.3, 158.2, 242.8, 360.6, 552.7", \ + " 89.1, 113.3, 168.1, 266.6, 405.1, 632.1" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.1, 98.0, 155.0, 284.9, 516.8, 1010.1", \ + " 76.6, 97.1, 153.9, 284.0, 515.9, 1009.4", \ + " 80.4, 100.4, 155.7, 283.8, 515.6, 1009.0", \ + " 87.9, 107.3, 161.0, 286.7, 515.6, 1008.8", \ + " 104.5, 123.0, 174.6, 296.4, 520.7, 1008.6", \ + " 127.6, 149.6, 198.8, 316.2, 534.6, 1014.2", \ + " 157.7, 182.0, 239.6, 352.4, 563.4, 1032.2", \ + " 201.1, 228.1, 291.4, 411.3, 615.5, 1070.4", \ + " 264.2, 294.6, 364.8, 498.5, 702.8, 1142.7", \ + " 356.0, 391.1, 470.2, 617.6, 840.5, 1270.1" ); }} +timing() { /* ring osc delay cgi2cv0x3, path c to z 84.6 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.5 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 80.7, 115.0, 190.4, 323.3, 605.1", \ + " 73.7, 87.0, 121.4, 197.0, 330.0, 611.8", \ + " 77.8, 91.0, 125.4, 201.1, 334.2, 616.1", \ + " 82.0, 95.1, 129.4, 205.1, 338.3, 620.2", \ + " 87.0, 100.1, 134.3, 210.0, 343.2, 625.2", \ + " 91.9, 104.8, 138.9, 214.5, 347.7, 629.8", \ + " 96.7, 109.5, 143.3, 218.8, 352.0, 634.0", \ + " 100.7, 113.5, 147.1, 222.3, 355.6, 637.5", \ + " 102.4, 115.5, 149.3, 224.6, 357.6, 639.5", \ + " 100.0, 113.5, 147.8, 223.8, 357.4, 639.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.3, 132.9, 222.8, 425.2, 784.6, 1548.0", \ + " 99.4, 132.9, 222.8, 425.2, 784.6, 1548.0", \ + " 99.6, 133.0, 222.8, 425.2, 784.6, 1548.0", \ + " 100.4, 133.6, 223.0, 425.2, 784.6, 1548.0", \ + " 102.1, 134.8, 223.6, 425.5, 784.6, 1548.0", \ + " 104.2, 136.7, 224.6, 425.9, 785.0, 1548.0", \ + " 107.8, 139.5, 226.6, 426.7, 785.4, 1548.3", \ + " 113.4, 144.5, 230.0, 428.6, 786.3, 1548.8", \ + " 121.6, 152.4, 236.7, 432.8, 788.9, 1549.9", \ + " 133.4, 163.9, 247.6, 441.5, 794.9, 1553.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 68.5, 91.3, 139.7, 223.8, 401.8", \ + " 67.0, 76.3, 99.2, 147.6, 231.8, 409.9", \ + " 73.0, 82.4, 105.3, 153.8, 238.0, 416.1", \ + " 80.6, 89.9, 112.8, 161.3, 245.5, 423.7", \ + " 91.5, 101.1, 124.1, 172.5, 256.8, 435.0", \ + " 104.6, 114.2, 137.5, 186.2, 270.4, 448.6", \ + " 121.5, 131.4, 154.8, 203.6, 288.0, 466.1", \ + " 143.3, 153.5, 177.3, 226.2, 310.7, 488.9", \ + " 171.8, 182.6, 207.3, 256.8, 341.2, 519.7", \ + " 209.7, 221.2, 247.6, 298.5, 383.9, 562.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.7, 85.0, 137.9, 259.2, 476.9, 940.7", \ + " 65.9, 85.1, 137.9, 259.2, 476.9, 940.7", \ + " 66.3, 85.5, 138.1, 259.3, 476.9, 940.7", \ + " 68.0, 86.7, 138.7, 259.5, 476.9, 940.7", \ + " 71.3, 89.5, 140.7, 260.4, 477.1, 940.7", \ + " 75.0, 93.1, 143.4, 262.0, 477.9, 940.8", \ + " 80.2, 97.7, 146.9, 264.2, 479.1, 941.5", \ + " 87.6, 104.6, 152.4, 267.8, 481.0, 942.4", \ + " 98.0, 114.8, 161.5, 274.0, 484.8, 944.0", \ + " 112.4, 129.4, 175.4, 285.4, 492.6, 948.2" ); }} +timing() { /* ring osc delay cgi2cv0x3, path c to z 86.7 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.5 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.4, 74.0, 108.9, 185.2, 319.6, 603.7", \ + " 66.8, 80.3, 115.2, 191.8, 326.3, 610.4", \ + " 70.9, 84.3, 119.2, 195.9, 330.5, 614.6", \ + " 75.2, 88.5, 123.2, 199.9, 334.6, 618.8", \ + " 80.3, 93.5, 128.2, 204.8, 339.5, 623.8", \ + " 85.4, 98.4, 132.8, 209.3, 344.0, 628.4", \ + " 90.3, 103.2, 137.2, 213.6, 348.3, 632.6", \ + " 94.2, 107.2, 141.1, 217.2, 351.9, 636.1", \ + " 96.0, 109.3, 143.3, 219.5, 353.9, 638.1", \ + " 93.4, 107.3, 141.9, 218.7, 353.7, 637.8" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.3, 161.1, 249.8, 451.0, 809.7, 1572.4", \ + " 128.4, 161.1, 249.8, 451.0, 809.7, 1572.4", \ + " 128.8, 161.3, 249.8, 451.0, 809.7, 1572.4", \ + " 130.0, 162.1, 250.0, 451.0, 809.7, 1572.4", \ + " 132.0, 163.5, 250.7, 451.3, 809.7, 1572.4", \ + " 135.0, 165.7, 251.9, 451.8, 810.0, 1572.4", \ + " 138.9, 169.1, 254.0, 452.6, 810.5, 1572.8", \ + " 145.4, 174.7, 257.9, 454.6, 811.4, 1573.2", \ + " 154.9, 183.5, 265.1, 459.1, 814.1, 1574.4", \ + " 168.4, 196.4, 276.7, 468.1, 820.3, 1578.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.2, 76.3, 99.2, 148.5, 234.8, 417.2", \ + " 75.1, 84.2, 107.1, 156.5, 242.9, 425.3", \ + " 81.1, 90.3, 113.2, 162.6, 249.1, 431.5", \ + " 88.6, 97.7, 120.7, 170.1, 256.6, 439.1", \ + " 99.7, 109.0, 131.9, 181.4, 267.8, 450.3", \ + " 112.8, 122.2, 145.4, 195.0, 281.4, 463.9", \ + " 129.8, 139.3, 162.6, 212.4, 298.9, 481.4", \ + " 151.7, 161.5, 185.1, 234.9, 321.6, 504.1", \ + " 180.4, 190.7, 215.2, 265.4, 352.0, 534.8", \ + " 218.5, 229.7, 255.6, 307.2, 394.6, 577.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.9, 88.4, 143.3, 268.2, 491.4, 966.6", \ + " 68.0, 88.4, 143.4, 268.2, 491.4, 966.6", \ + " 68.3, 88.6, 143.5, 268.2, 491.4, 966.6", \ + " 69.3, 89.4, 143.9, 268.3, 491.4, 966.6", \ + " 71.8, 91.6, 145.4, 269.1, 491.5, 966.6", \ + " 74.7, 94.6, 147.7, 270.4, 492.3, 966.7", \ + " 78.8, 98.2, 150.8, 272.4, 493.4, 967.4", \ + " 84.9, 104.0, 155.4, 275.5, 495.0, 968.1", \ + " 93.8, 112.9, 163.4, 281.1, 498.4, 969.7", \ + " 106.5, 125.9, 176.1, 291.6, 505.7, 973.6" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.5 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.4, 74.0, 108.9, 185.2, 319.6, 603.7", \ + " 66.8, 80.3, 115.2, 191.8, 326.3, 610.4", \ + " 70.9, 84.3, 119.2, 195.9, 330.5, 614.6", \ + " 75.2, 88.5, 123.2, 199.9, 334.6, 618.8", \ + " 80.3, 93.5, 128.2, 204.8, 339.5, 623.8", \ + " 85.4, 98.4, 132.8, 209.3, 344.0, 628.4", \ + " 90.3, 103.2, 137.2, 213.6, 348.3, 632.6", \ + " 94.2, 107.2, 141.1, 217.2, 351.9, 636.1", \ + " 96.0, 109.3, 143.3, 219.5, 353.9, 638.1", \ + " 93.4, 107.3, 141.9, 218.7, 353.7, 637.8" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.3, 161.1, 249.8, 451.0, 809.7, 1572.4", \ + " 128.4, 161.1, 249.8, 451.0, 809.7, 1572.4", \ + " 128.8, 161.3, 249.8, 451.0, 809.7, 1572.4", \ + " 130.0, 162.1, 250.0, 451.0, 809.7, 1572.4", \ + " 132.0, 163.5, 250.7, 451.3, 809.7, 1572.4", \ + " 135.0, 165.7, 251.9, 451.8, 810.0, 1572.4", \ + " 138.9, 169.1, 254.0, 452.6, 810.5, 1572.8", \ + " 145.4, 174.7, 257.9, 454.6, 811.4, 1573.2", \ + " 154.9, 183.5, 265.1, 459.1, 814.1, 1574.4", \ + " 168.4, 196.4, 276.7, 468.1, 820.3, 1578.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.2, 76.3, 99.2, 148.5, 234.8, 417.2", \ + " 75.1, 84.2, 107.1, 156.5, 242.9, 425.3", \ + " 81.1, 90.3, 113.2, 162.6, 249.1, 431.5", \ + " 88.6, 97.7, 120.7, 170.1, 256.6, 439.1", \ + " 99.7, 109.0, 131.9, 181.4, 267.8, 450.3", \ + " 112.8, 122.2, 145.4, 195.0, 281.4, 463.9", \ + " 129.8, 139.3, 162.6, 212.4, 298.9, 481.4", \ + " 151.7, 161.5, 185.1, 234.9, 321.6, 504.1", \ + " 180.4, 190.7, 215.2, 265.4, 352.0, 534.8", \ + " 218.5, 229.7, 255.6, 307.2, 394.6, 577.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.9, 88.4, 143.3, 268.2, 491.4, 966.6", \ + " 68.0, 88.4, 143.4, 268.2, 491.4, 966.6", \ + " 68.3, 88.6, 143.5, 268.2, 491.4, 966.6", \ + " 69.3, 89.4, 143.9, 268.3, 491.4, 966.6", \ + " 71.8, 91.6, 145.4, 269.1, 491.5, 966.6", \ + " 74.7, 94.6, 147.7, 270.4, 492.3, 966.7", \ + " 78.8, 98.2, 150.8, 272.4, 493.4, 967.4", \ + " 84.9, 104.0, 155.4, 275.5, 495.0, 968.1", \ + " 93.8, 112.9, 163.4, 281.1, 498.4, 969.7", \ + " 106.5, 125.9, 176.1, 291.6, 505.7, 973.6" ); }} +} +} +cell(dfnt1v0x2) { /* 2008-01-06:07h34 */ +area : 18 ; /* tracks */ +cell_leakage_power : 2125 ; /* dfnt1v0x2 */ +cell_footprint : dfnt1 ; +ff(IQ,IQN) {clocked_on : cp ; + next_state : d ; } +pin(cp) { /* dfnt1v0x2 FO4 effort 2.92 */ +direction : input ; +capacitance : 2.32 ; +rise_capacitance : 2.31 ; +fall_capacitance : 2.33 ; +fanout_load : 1 ; +max_transition : 670.0 ; /* pin cp of dfnt1v0x2 */ +clock : true ; +internal_power(cp) { /* dfnt1v0x2 13.37 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.73, 6.66, 6.65, 6.68, 6.80, 7.03, 7.46, 8.17, 9.35, 11.26" ); }} +} +pin(d) { /* dfnt1v0x2 */ +direction : input ; +capacitance : 2.44 ; +rise_capacitance : 2.42 ; +fall_capacitance : 2.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of dfnt1v0x2 */ +internal_power(d) { /* dfnt1v0x2 8.65 nW/MHz (at clock frequency) */ +power(pwr_intran_x10) { +values( " 8.77, 8.68, 8.65, 8.65, 8.71, 8.89, 9.32, 10.13, 11.55, 13.90" ); }} +timing() { +related_pin : cp ; +timing_type : setup_rising ; +rise_constraint(suh_4x4) { +values( " 124.70, 142.90, 173.30, 199.90", \ + " 106.70, 126.40, 157.70, 182.40", \ + " 96.30, 116.00, 153.80, 181.10", \ + " 128.50, 142.50, 170.90, 200.00" ); } +fall_constraint(suh_4x4) { +values( " 77.90, 106.00, 165.90, 252.00", \ + " 58.00, 84.80, 141.80, 288.50", \ + " 51.20, 79.10, 128.80, 307.20", \ + " 66.00, 97.50, 140.60, 297.70" ); }} +timing() { +related_pin : cp ; +timing_type : hold_rising ; +rise_constraint(suh_4x4) { +values( " 72.40, 63.20, 79.40, 128.50", \ + " 99.20, 88.60, 106.70, 160.00", \ + " 115.19, 103.80, 126.30, 190.90", \ + " 106.60, 94.30, 118.00, 194.70" ); } +fall_constraint(suh_4x4) { +values( " 29.60, 14.30, 8.00, 16.01", \ + " 35.80, 23.60, 20.00, 27.60", \ + " 20.40, 133.00, 147.70, 12.10", \ + " 41.30, 139.60, 188.30, 321.30" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 254 ; +max_fanout : 6 ; +function : "IQ" ; +internal_power(cp_z) { /* dfnt1v0x2 30.49 nW/MHz (at clock frequency) */ +related_pin : "cp" ; +power(pwr_x2_260_5x10) { +values( " 17.04, 17.04, 17.13, 17.22, 17.26", \ + " 17.03, 17.03, 17.12, 17.21, 17.25", \ + " 17.02, 17.03, 17.12, 17.21, 17.24", \ + " 17.03, 17.03, 17.13, 17.22, 17.25", \ + " 17.05, 17.06, 17.15, 17.24, 17.28", \ + " 17.10, 17.10, 17.19, 17.28, 17.32", \ + " 17.19, 17.19, 17.29, 17.37, 17.41", \ + " 17.35, 17.36, 17.45, 17.54, 17.57", \ + " 17.61, 17.61, 17.70, 17.79, 17.82", \ + " 18.02, 18.02, 18.11, 18.20, 18.23" ); }} +timing() { +related_pin : "cp" ; +timing_type : rising_edge ; +/* intrinsic_rise : 184.1 ; */ +/* intrinsic_fall : 205.0 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.67 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 166.0, 181.6, 217.0, 293.5, 428.7, 715.8", \ + " 173.1, 188.7, 224.1, 300.6, 435.8, 722.9", \ + " 178.3, 194.0, 229.4, 305.8, 441.0, 728.2", \ + " 184.7, 200.3, 235.7, 312.2, 447.4, 734.5", \ + " 193.4, 209.1, 244.5, 320.9, 456.2, 743.3", \ + " 201.9, 217.6, 253.0, 329.4, 464.7, 751.8", \ + " 210.0, 225.6, 261.1, 337.5, 472.7, 759.9", \ + " 216.8, 232.4, 267.8, 344.2, 479.5, 766.6", \ + " 220.6, 236.3, 271.7, 348.1, 483.3, 770.5", \ + " 218.6, 234.2, 269.6, 346.0, 481.3, 768.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.0, 85.1, 171.7, 376.0, 745.6, 1534.4", \ + " 54.1, 85.1, 171.7, 376.0, 745.6, 1534.4", \ + " 54.1, 85.0, 171.7, 376.0, 745.6, 1534.4", \ + " 54.0, 85.0, 171.7, 376.0, 745.6, 1534.4", \ + " 54.1, 85.0, 171.7, 376.0, 745.6, 1534.4", \ + " 54.1, 85.1, 171.7, 376.0, 745.6, 1534.4", \ + " 54.1, 85.1, 171.7, 376.0, 745.6, 1534.4", \ + " 54.2, 85.2, 171.8, 376.0, 745.6, 1534.4", \ + " 54.4, 85.4, 171.9, 376.0, 745.7, 1534.4", \ + " 54.6, 85.6, 172.0, 376.1, 745.7, 1534.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 182.1, 197.1, 227.7, 287.8, 392.0, 612.9", \ + " 189.2, 204.3, 234.9, 295.0, 399.2, 620.0", \ + " 194.6, 209.6, 240.2, 300.3, 404.5, 625.4", \ + " 201.0, 216.1, 246.6, 306.7, 410.9, 631.8", \ + " 209.7, 224.8, 255.3, 315.4, 419.6, 640.5", \ + " 218.0, 233.1, 263.6, 323.8, 427.9, 648.8", \ + " 225.9, 240.9, 271.5, 331.6, 435.8, 656.7", \ + " 232.2, 247.3, 277.8, 337.9, 442.1, 663.0", \ + " 235.4, 250.5, 281.0, 341.2, 445.3, 666.2", \ + " 232.1, 247.1, 277.7, 337.8, 442.0, 662.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 68.4, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.4, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.4, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.3, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.4, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.3, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.4, 126.7, 263.0, 512.8, 1050.4", \ + " 45.4, 68.3, 126.7, 263.0, 512.8, 1050.4", \ + " 45.4, 68.3, 126.7, 263.0, 512.8, 1050.4", \ + " 45.5, 68.3, 126.7, 263.0, 512.8, 1050.4" ); }} +} +} +cell(dly1v0x05) { /* 2008-01-06:07h34 */ +area : 8 ; /* tracks */ +cell_leakage_power : 693 ; /* dly1v0x05 */ +cell_footprint : dly1 ; +pin(a) { /* dly1v0x05 FO4 effort 2.62 */ +direction : input ; +capacitance : 1.90 ; +rise_capacitance : 1.97 ; +fall_capacitance : 1.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of dly1v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "a" ; +internal_power(a_z_p) { /* dly1v0x05 22.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 11.13, 11.19, 11.27, 11.32, 11.34", \ + " 11.03, 11.09, 11.17, 11.22, 11.23", \ + " 11.03, 11.08, 11.16, 11.21, 11.23", \ + " 11.07, 11.13, 11.21, 11.26, 11.27", \ + " 11.21, 11.27, 11.35, 11.40, 11.42", \ + " 11.49, 11.55, 11.63, 11.68, 11.70", \ + " 11.99, 12.04, 12.12, 12.17, 12.19", \ + " 12.79, 12.85, 12.93, 12.98, 13.00", \ + " 14.09, 14.15, 14.23, 14.28, 14.30", \ + " 16.17, 16.22, 16.30, 16.36, 16.38" ); }} +timing() { /* ring osc delay dly1v0x05, path a to z 143.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 144.9 ; */ +/* intrinsic_fall : 154.0 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 3.83 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 133.5, 147.7, 183.1, 261.1, 399.2, 692.3", \ + " 140.0, 154.3, 189.6, 267.7, 405.7, 698.8", \ + " 143.9, 158.2, 193.5, 271.6, 409.6, 702.7", \ + " 147.6, 161.8, 197.2, 275.2, 413.3, 706.4", \ + " 151.4, 165.6, 201.0, 279.0, 417.1, 710.2", \ + " 153.9, 168.1, 203.5, 281.5, 419.6, 712.7", \ + " 154.5, 168.7, 204.1, 282.2, 420.2, 713.3", \ + " 151.6, 165.8, 201.2, 279.2, 417.3, 710.4", \ + " 142.3, 156.6, 192.0, 270.0, 408.1, 701.2", \ + " 122.2, 136.5, 171.9, 249.9, 388.0, 681.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.2, 84.8, 176.3, 387.6, 766.2, 1571.6", \ + " 52.2, 84.8, 176.3, 387.6, 766.2, 1571.6", \ + " 52.2, 84.8, 176.3, 387.6, 766.2, 1571.6", \ + " 52.2, 84.8, 176.3, 387.6, 766.2, 1571.6", \ + " 52.2, 84.9, 176.3, 387.6, 766.2, 1571.6", \ + " 52.2, 84.9, 176.3, 387.6, 766.2, 1571.6", \ + " 52.3, 84.9, 176.3, 387.6, 766.2, 1571.6", \ + " 52.4, 84.9, 176.4, 387.7, 766.2, 1571.6", \ + " 52.6, 85.1, 176.4, 387.7, 766.2, 1571.6", \ + " 52.9, 85.3, 176.5, 387.7, 766.2, 1571.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 131.1, 143.7, 172.1, 232.3, 338.5, 563.9", \ + " 138.4, 150.9, 179.4, 239.6, 345.8, 571.2", \ + " 144.9, 157.5, 185.9, 246.1, 352.3, 577.7", \ + " 153.8, 166.4, 194.8, 255.1, 361.3, 586.7", \ + " 168.7, 181.3, 209.7, 269.9, 376.1, 601.5", \ + " 187.0, 199.5, 228.0, 288.2, 394.4, 619.8", \ + " 210.8, 223.4, 251.9, 312.1, 418.3, 643.7", \ + " 242.4, 255.0, 283.5, 343.7, 450.0, 675.4", \ + " 285.8, 298.5, 327.0, 387.2, 493.5, 718.9", \ + " 346.5, 359.2, 387.7, 448.0, 554.2, 779.6" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 39.1, 62.1, 123.7, 266.5, 524.1, 1074.4", \ + " 39.2, 62.0, 123.7, 266.5, 524.1, 1074.4", \ + " 39.1, 62.1, 123.7, 266.5, 524.1, 1074.4", \ + " 39.2, 62.0, 123.7, 266.5, 524.1, 1074.4", \ + " 39.2, 62.1, 123.7, 266.5, 524.1, 1074.4", \ + " 39.3, 62.2, 123.8, 266.5, 524.1, 1074.4", \ + " 39.3, 62.3, 123.8, 266.5, 524.1, 1074.4", \ + " 39.5, 62.4, 123.9, 266.5, 524.2, 1074.4", \ + " 39.7, 62.6, 124.0, 266.6, 524.2, 1074.4", \ + " 40.1, 62.8, 124.2, 266.7, 524.2, 1074.4" ); }} +} +} +cell(dly2v0x05) { /* 2008-01-06:07h34 */ +area : 8 ; /* tracks */ +cell_leakage_power : 312 ; /* dly2v0x05 */ +cell_footprint : dly2 ; +pin(a) { /* dly2v0x05 FO4 effort 3.55 */ +direction : input ; +capacitance : 2.12 ; +rise_capacitance : 2.17 ; +fall_capacitance : 2.08 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of dly2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 62 ; +max_fanout : 1 ; +function : "a" ; +internal_power(a_z_p) { /* dly2v0x05 15.14 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 7.69, 7.69, 7.73, 7.78, 7.81", \ + " 7.61, 7.60, 7.64, 7.70, 7.73", \ + " 7.57, 7.57, 7.61, 7.66, 7.69", \ + " 7.54, 7.53, 7.57, 7.63, 7.66", \ + " 7.51, 7.50, 7.54, 7.60, 7.63", \ + " 7.55, 7.54, 7.56, 7.62, 7.65", \ + " 7.72, 7.69, 7.70, 7.75, 7.79", \ + " 8.14, 8.09, 8.08, 8.11, 8.14", \ + " 8.98, 8.90, 8.85, 8.86, 8.89", \ + " 10.49, 10.38, 10.29, 10.26, 10.27" ); }} +timing() { /* ring osc delay dly2v0x05, path a to z 191.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 158.3 ; */ +/* intrinsic_fall : 202.3 ; */ +/* rise_resistance : 8.82 ; */ +/* fall_resistance : 7.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 146.7, 165.0, 205.8, 286.0, 422.5, 710.3", \ + " 150.4, 168.7, 209.5, 289.7, 426.2, 714.0", \ + " 152.3, 170.6, 211.4, 291.6, 428.1, 715.9", \ + " 154.2, 172.5, 213.3, 293.5, 430.0, 717.8", \ + " 156.9, 175.1, 215.8, 296.0, 432.6, 720.4", \ + " 159.1, 177.6, 218.4, 298.7, 435.3, 723.1", \ + " 160.1, 178.9, 220.3, 301.2, 437.9, 725.8", \ + " 159.8, 178.9, 220.9, 302.4, 439.7, 727.6", \ + " 156.1, 175.9, 219.1, 301.4, 439.1, 727.6", \ + " 145.4, 166.2, 211.2, 295.6, 434.3, 723.3" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.2, 121.1, 208.3, 408.4, 772.9, 1557.5", \ + " 87.2, 121.1, 208.3, 408.5, 772.9, 1557.5", \ + " 87.3, 121.1, 208.4, 408.5, 772.9, 1557.5", \ + " 87.3, 121.2, 208.4, 408.5, 772.9, 1557.5", \ + " 87.6, 121.5, 208.7, 408.6, 772.9, 1557.5", \ + " 90.0, 123.7, 210.4, 409.5, 773.3, 1557.6", \ + " 92.8, 127.0, 213.7, 412.0, 774.8, 1558.1", \ + " 97.4, 131.6, 218.1, 415.7, 777.3, 1559.5", \ + " 104.8, 139.5, 225.7, 421.6, 781.5, 1561.7", \ + " 116.5, 152.0, 238.7, 432.8, 789.8, 1566.5" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 173.8, 192.8, 230.7, 296.3, 398.9, 608.6", \ + " 180.4, 199.4, 237.3, 302.9, 405.4, 615.2", \ + " 185.0, 204.0, 241.9, 307.5, 410.0, 619.7", \ + " 190.9, 209.9, 247.8, 313.4, 415.9, 625.7", \ + " 201.4, 220.4, 258.3, 323.9, 426.5, 636.2", \ + " 217.2, 236.1, 274.0, 339.7, 442.2, 652.0", \ + " 241.1, 260.2, 298.3, 364.1, 466.7, 676.5", \ + " 273.3, 293.3, 332.8, 399.6, 502.5, 712.3", \ + " 318.7, 339.6, 380.8, 449.4, 553.4, 763.5", \ + " 383.4, 405.4, 448.7, 519.6, 624.8, 835.9" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.7, 114.4, 176.6, 310.5, 553.7, 1084.2", \ + " 87.7, 114.4, 176.6, 310.5, 553.7, 1084.2", \ + " 87.7, 114.4, 176.6, 310.5, 553.7, 1084.2", \ + " 87.7, 114.4, 176.7, 310.6, 553.7, 1084.2", \ + " 87.8, 114.5, 176.7, 310.6, 553.8, 1084.2", \ + " 88.2, 114.9, 177.1, 310.8, 553.8, 1084.2", \ + " 91.8, 118.0, 179.3, 311.9, 554.4, 1084.4", \ + " 97.7, 124.5, 185.6, 316.5, 556.9, 1085.2", \ + " 105.3, 132.4, 193.9, 324.1, 562.3, 1088.1", \ + " 115.7, 143.3, 205.2, 334.0, 569.9, 1092.6" ); }} +} +} +cell(dly2v0x1) { /* 2008-01-06:07h34 */ +area : 8 ; /* tracks */ +cell_leakage_power : 543 ; /* dly2v0x1 */ +cell_footprint : dly2 ; +pin(a) { /* dly2v0x1 FO4 effort 3.58 */ +direction : input ; +capacitance : 1.99 ; +rise_capacitance : 2.02 ; +fall_capacitance : 1.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of dly2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 159 ; +max_fanout : 4 ; +function : "a" ; +internal_power(a_z_p) { /* dly2v0x1 23.50 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 12.31, 11.98, 11.87, 11.94, 11.99", \ + " 12.24, 11.92, 11.81, 11.87, 11.92", \ + " 12.22, 11.89, 11.78, 11.84, 11.89", \ + " 12.19, 11.86, 11.75, 11.81, 11.87", \ + " 12.17, 11.84, 11.72, 11.78, 11.84", \ + " 12.22, 11.88, 11.74, 11.79, 11.85", \ + " 12.42, 12.05, 11.87, 11.90, 11.95", \ + " 12.91, 12.48, 12.22, 12.21, 12.25", \ + " 13.89, 13.36, 12.99, 12.90, 12.92", \ + " 15.63, 14.99, 14.46, 14.25, 14.21" ); }} +timing() { /* ring osc delay dly2v0x1, path a to z 211.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 198.8 ; */ +/* intrinsic_fall : 249.2 ; */ +/* rise_resistance : 3.49 ; */ +/* fall_resistance : 2.92 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 182.1, 206.2, 256.9, 352.7, 512.5, 848.4", \ + " 186.0, 210.1, 260.9, 356.6, 516.5, 852.3", \ + " 188.0, 212.1, 262.9, 358.7, 518.5, 854.3", \ + " 190.1, 214.2, 264.9, 360.7, 520.6, 856.4", \ + " 192.9, 217.0, 267.7, 363.5, 523.3, 859.2", \ + " 196.2, 220.2, 270.9, 366.6, 526.4, 862.3", \ + " 199.2, 223.6, 274.6, 370.5, 530.3, 866.2", \ + " 201.3, 226.0, 277.7, 374.3, 534.3, 870.2", \ + " 201.4, 226.7, 279.4, 376.8, 537.5, 873.4", \ + " 196.5, 222.8, 277.2, 376.4, 537.5, 873.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.0, 143.6, 245.5, 475.8, 897.4, 1809.9", \ + " 102.0, 143.6, 245.5, 475.8, 897.4, 1809.9", \ + " 102.0, 143.6, 245.5, 475.8, 897.4, 1809.9", \ + " 102.0, 143.6, 245.5, 475.8, 897.4, 1809.9", \ + " 102.0, 143.7, 245.6, 475.9, 897.5, 1809.9", \ + " 103.0, 144.5, 246.2, 476.2, 897.6, 1810.0", \ + " 106.4, 148.0, 249.1, 478.0, 898.4, 1810.2", \ + " 110.9, 152.8, 254.1, 481.8, 900.9, 1811.2", \ + " 118.1, 160.5, 261.6, 488.0, 904.9, 1813.3", \ + " 129.7, 172.9, 274.3, 498.5, 912.4, 1817.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 212.7, 239.1, 289.7, 373.0, 498.4, 750.9", \ + " 219.6, 245.9, 296.5, 379.8, 505.3, 757.8", \ + " 224.3, 250.6, 301.3, 384.5, 510.0, 762.5", \ + " 230.3, 256.7, 307.3, 390.6, 516.0, 768.5", \ + " 240.9, 267.2, 317.8, 401.1, 526.6, 779.1", \ + " 256.6, 282.9, 333.5, 416.8, 542.3, 794.8", \ + " 281.4, 307.6, 358.1, 441.4, 566.9, 819.4", \ + " 316.8, 343.6, 394.6, 478.2, 603.9, 856.4", \ + " 365.8, 393.5, 446.5, 531.9, 658.1, 910.7", \ + " 434.9, 463.7, 519.1, 607.1, 735.0, 988.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 108.1, 142.5, 220.6, 381.7, 671.0, 1303.6", \ + " 108.1, 142.5, 220.6, 381.7, 671.0, 1303.6", \ + " 108.1, 142.5, 220.6, 381.7, 671.0, 1303.6", \ + " 108.1, 142.5, 220.6, 381.7, 671.0, 1303.6", \ + " 108.1, 142.6, 220.7, 381.8, 671.0, 1303.6", \ + " 108.2, 142.7, 220.8, 381.8, 671.0, 1303.6", \ + " 109.5, 143.8, 221.6, 382.3, 671.3, 1303.7", \ + " 116.1, 149.6, 226.2, 385.1, 672.6, 1304.1", \ + " 125.1, 159.1, 235.9, 392.9, 677.5, 1306.2", \ + " 137.2, 171.4, 248.7, 404.9, 686.4, 1311.1" ); }} +} +} +cell(ha2v0x2) { /* 2008-01-06:07h35 characteristic delay 18.2 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 2148 ; /* ha2v0x2 */ +cell_footprint : ha2 ; +pin(a) { /* ha2v0x2 FO4 effort 3.21 logical effort 2.17 */ +direction : input ; +capacitance : 8.54 ; +rise_capacitance : 8.38 ; +fall_capacitance : 8.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of ha2v0x2 */ +} +pin(b) { /* ha2v0x2 FO4 effort 3.25 logical effort 2.08 */ +direction : input ; +capacitance : 9.05 ; +rise_capacitance : 9.13 ; +fall_capacitance : 8.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of ha2v0x2 */ +} +pin(co) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a*b)" ; +internal_power(a_co_p) { /* ha2v0x2 54.00 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 12.77, 41.55, 27.43, 27.53, 27.55", \ + " 12.60, 40.95, 27.04, 27.15, 27.19", \ + " 12.58, 40.85, 26.95, 27.08, 27.12", \ + " 12.65, 40.98, 27.00, 27.13, 27.19", \ + " 12.90, 41.65, 27.38, 27.50, 27.57", \ + " 13.40, 43.07, 28.23, 28.33, 28.40", \ + " 14.32, 45.73, 29.87, 29.93, 29.98", \ + " 15.87, 50.24, 32.71, 32.68, 32.71", \ + " 18.42, 57.69, 37.44, 37.29, 37.26", \ + " 22.51, 69.73, 45.12, 44.79, 44.67" ); }} +internal_power(b_co_p) { /* ha2v0x2 59.83 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 14.46, 45.92, 30.45, 30.54, 30.56", \ + " 14.28, 45.36, 30.07, 30.18, 30.21", \ + " 14.23, 45.18, 29.93, 30.06, 30.09", \ + " 14.25, 45.20, 29.91, 30.04, 30.09", \ + " 14.45, 45.73, 30.20, 30.32, 30.38", \ + " 14.89, 46.98, 30.94, 31.04, 31.10", \ + " 15.77, 49.53, 32.51, 32.57, 32.62", \ + " 17.32, 54.03, 35.34, 35.32, 35.35", \ + " 19.89, 61.60, 40.15, 40.02, 40.00", \ + " 24.05, 73.94, 48.05, 47.73, 47.62" ); }} +timing() { /* ring osc delay ha2v0x2, path a to co 85.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.8 ; */ +/* intrinsic_fall : 84.7 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.66 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 74.1, 110.2, 187.4, 322.9, 610.1", \ + " 64.4, 80.0, 116.0, 193.3, 328.9, 616.0", \ + " 69.0, 84.6, 120.6, 197.9, 333.5, 620.6", \ + " 74.3, 89.9, 125.9, 203.1, 338.7, 625.9", \ + " 80.5, 96.5, 132.9, 210.1, 345.7, 632.9", \ + " 86.5, 102.7, 139.4, 217.0, 352.5, 639.7", \ + " 92.1, 108.9, 145.8, 223.7, 359.3, 646.4", \ + " 96.5, 114.1, 151.8, 229.6, 365.7, 652.7", \ + " 98.0, 116.9, 156.1, 234.7, 370.7, 658.2", \ + " 94.1, 114.6, 156.2, 236.8, 373.9, 661.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.1, 81.8, 171.4, 377.3, 747.1, 1535.3", \ + " 49.2, 82.0, 171.4, 377.3, 747.1, 1535.3", \ + " 49.6, 82.3, 171.6, 377.4, 747.1, 1535.3", \ + " 51.4, 83.6, 172.2, 377.5, 747.1, 1535.3", \ + " 54.5, 86.5, 174.2, 378.4, 747.2, 1535.3", \ + " 57.7, 89.7, 176.8, 379.9, 748.1, 1535.3", \ + " 62.8, 94.1, 180.3, 382.0, 749.3, 1536.1", \ + " 69.9, 101.1, 185.4, 385.4, 751.1, 1537.1", \ + " 79.7, 111.4, 194.6, 391.5, 754.8, 1538.8", \ + " 93.2, 126.1, 209.0, 403.2, 762.9, 1543.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 74.1, 103.8, 163.9, 268.0, 488.8", \ + " 67.7, 81.6, 111.3, 171.4, 275.6, 496.4", \ + " 73.9, 87.8, 117.5, 177.6, 281.9, 502.7", \ + " 82.2, 96.1, 125.9, 186.0, 290.3, 511.1", \ + " 95.0, 109.6, 139.7, 199.8, 304.1, 524.9", \ + " 110.0, 125.5, 156.8, 217.2, 321.4, 542.3", \ + " 129.3, 145.8, 178.4, 239.8, 343.9, 564.7", \ + " 153.9, 171.8, 206.1, 268.2, 372.9, 593.6", \ + " 186.4, 205.9, 242.8, 306.6, 411.4, 632.4", \ + " 229.7, 251.3, 292.0, 359.0, 464.7, 685.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.5, 61.0, 121.7, 260.3, 511.2, 1049.0", \ + " 37.7, 61.2, 121.8, 260.4, 511.2, 1049.0", \ + " 37.9, 61.4, 121.9, 260.4, 511.2, 1049.0", \ + " 39.2, 62.3, 122.3, 260.5, 511.2, 1049.0", \ + " 43.2, 65.7, 124.3, 261.2, 511.4, 1049.0", \ + " 47.9, 70.9, 128.4, 263.4, 512.0, 1049.0", \ + " 53.8, 77.0, 134.1, 266.9, 513.9, 1049.4", \ + " 61.5, 85.3, 141.4, 272.0, 516.5, 1050.6", \ + " 72.0, 96.7, 152.5, 279.7, 521.0, 1052.2", \ + " 86.2, 112.4, 169.2, 293.2, 529.5, 1056.0" ); }} +timing() { /* ring osc delay ha2v0x2, path b to co 90.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.4 ; */ +/* intrinsic_fall : 92.7 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.67 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.8, 78.5, 114.6, 191.8, 327.3, 614.4", \ + " 67.1, 82.8, 118.8, 196.1, 331.6, 618.8", \ + " 70.2, 85.8, 121.8, 199.1, 334.6, 621.8", \ + " 73.8, 89.5, 125.5, 202.8, 338.3, 625.5", \ + " 78.2, 94.3, 130.7, 208.0, 343.6, 630.7", \ + " 82.4, 98.8, 135.7, 213.2, 348.7, 635.9", \ + " 85.7, 102.8, 140.0, 217.9, 353.5, 640.6", \ + " 87.0, 104.9, 143.2, 221.3, 357.3, 644.3", \ + " 84.2, 103.3, 143.4, 222.7, 358.8, 646.2", \ + " 73.9, 94.6, 137.1, 219.0, 356.7, 644.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.1, 81.8, 171.4, 377.3, 747.1, 1535.3", \ + " 49.1, 81.9, 171.4, 377.3, 747.1, 1535.3", \ + " 49.4, 82.1, 171.5, 377.3, 747.0, 1535.3", \ + " 51.1, 83.3, 172.0, 377.5, 747.0, 1535.3", \ + " 53.7, 86.0, 173.9, 378.2, 747.1, 1535.3", \ + " 56.9, 89.1, 176.4, 379.7, 747.9, 1535.3", \ + " 61.7, 93.7, 180.0, 381.8, 749.0, 1535.9", \ + " 68.4, 100.6, 185.7, 385.5, 750.9, 1536.7", \ + " 77.7, 110.7, 195.3, 392.4, 755.2, 1538.6", \ + " 90.4, 124.5, 209.7, 405.2, 764.5, 1544.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 81.8, 111.8, 172.1, 276.5, 497.4", \ + " 74.9, 89.2, 119.3, 179.6, 284.0, 504.9", \ + " 81.1, 95.5, 125.5, 185.9, 290.3, 511.2", \ + " 89.7, 104.0, 134.1, 194.5, 298.9, 519.8", \ + " 103.7, 118.5, 148.7, 209.1, 313.5, 534.5", \ + " 120.4, 136.2, 167.5, 228.0, 332.4, 553.4", \ + " 141.7, 158.7, 191.3, 252.7, 357.1, 578.0", \ + " 169.3, 187.5, 221.8, 284.1, 388.9, 609.7", \ + " 206.1, 225.9, 262.7, 326.2, 431.2, 652.4", \ + " 256.3, 278.0, 318.3, 384.4, 489.9, 711.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.0, 63.2, 123.2, 261.3, 511.9, 1049.5", \ + " 40.1, 63.3, 123.3, 261.3, 511.9, 1049.5", \ + " 40.3, 63.5, 123.4, 261.3, 511.9, 1049.5", \ + " 41.0, 64.1, 123.6, 261.4, 511.9, 1049.5", \ + " 44.5, 66.9, 125.1, 261.9, 512.0, 1049.5", \ + " 49.7, 72.2, 129.1, 263.8, 512.6, 1049.6", \ + " 55.7, 78.7, 135.0, 267.4, 514.3, 1049.9", \ + " 63.1, 86.7, 142.2, 272.4, 517.0, 1051.0", \ + " 72.8, 97.4, 152.5, 279.4, 521.0, 1052.7", \ + " 86.1, 112.1, 167.5, 290.9, 527.9, 1055.7" ); }} +} +pin(so) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 225 ; +max_fanout : 6 ; +function : "(a^b)" ; +internal_power(a_so_n) { /* ha2v0x2 53.43 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { /* additional power to co power dissipation */ +values( " 0.00, 28.33, 14.19, 14.26, 14.28", \ + " 0.00, 27.78, 13.83, 13.91, 13.93", \ + " 0.00, 27.73, 13.80, 13.88, 13.90", \ + " 0.00, 27.95, 13.95, 14.02, 14.04", \ + " 0.10, 28.74, 14.47, 14.55, 14.57", \ + " 0.62, 30.30, 15.51, 15.59, 15.62", \ + " 1.58, 33.14, 17.39, 17.47, 17.50", \ + " 3.16, 37.86, 20.51, 20.59, 20.63", \ + " 5.75, 45.58, 25.62, 25.70, 25.73", \ + " 9.89, 57.95, 33.81, 33.87, 33.91" ); }} +internal_power(a_so_p) { /* ha2v0x2 39.34 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.12, 30.64, 19.98, 20.06, 20.08", \ + " 8.99, 30.15, 19.67, 19.75, 19.77", \ + " 8.97, 30.07, 19.61, 19.69, 19.72", \ + " 9.01, 30.17, 19.67, 19.76, 19.78", \ + " 9.20, 30.70, 19.99, 20.07, 20.10", \ + " 9.59, 31.84, 20.71, 20.79, 20.82", \ + " 10.32, 33.97, 22.08, 22.13, 22.16", \ + " 11.56, 37.63, 24.43, 24.46, 24.48", \ + " 13.61, 43.68, 28.36, 28.33, 28.33", \ + " 16.90, 53.42, 34.70, 34.59, 34.56" ); }} +internal_power(b_so_n) { /* ha2v0x2 59.05 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { /* additional power to co power dissipation */ +values( " 0.00, 30.98, 15.43, 15.49, 15.52", \ + " 0.00, 30.45, 15.09, 15.15, 15.18", \ + " 0.00, 30.32, 15.00, 15.06, 15.09", \ + " 0.00, 30.41, 15.06, 15.12, 15.15", \ + " 0.00, 31.06, 15.50, 15.56, 15.58", \ + " 0.43, 32.49, 16.47, 16.53, 16.56", \ + " 1.34, 35.23, 18.29, 18.36, 18.39", \ + " 2.91, 39.94, 21.42, 21.49, 21.52", \ + " 5.52, 47.75, 26.59, 26.66, 26.70", \ + " 9.73, 60.39, 34.95, 35.01, 35.05" ); }} +internal_power(b_so_p) { /* ha2v0x2 40.02 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 9.49, 31.07, 20.37, 20.43, 20.45", \ + " 9.33, 30.57, 20.04, 20.11, 20.13", \ + " 9.30, 30.47, 19.96, 20.04, 20.07", \ + " 9.34, 30.57, 20.01, 20.09, 20.12", \ + " 9.53, 31.12, 20.35, 20.43, 20.46", \ + " 9.94, 32.32, 21.11, 21.18, 21.22", \ + " 10.71, 34.57, 22.55, 22.61, 22.64", \ + " 12.00, 38.40, 25.02, 25.04, 25.07", \ + " 14.14, 44.71, 29.11, 29.08, 29.09", \ + " 17.56, 54.87, 35.72, 35.61, 35.58" ); }} +timing() { /* ring osc delay ha2v0x2, path a to so 159.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 139.8 ; */ +/* intrinsic_fall : 158.9 ; */ +/* rise_resistance : 2.39 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.7, 135.6, 176.0, 261.7, 413.2, 734.7", \ + " 125.3, 143.2, 183.5, 269.3, 420.7, 742.3", \ + " 131.5, 149.4, 189.8, 275.5, 427.0, 748.5", \ + " 139.8, 157.7, 198.0, 283.8, 435.2, 756.8", \ + " 153.4, 171.3, 211.6, 297.3, 448.8, 770.4", \ + " 170.1, 188.1, 228.4, 314.2, 465.6, 787.2", \ + " 191.8, 209.7, 250.0, 335.7, 487.2, 808.8", \ + " 219.4, 237.4, 277.7, 363.3, 514.7, 836.3", \ + " 255.5, 273.7, 314.2, 399.8, 551.0, 872.6", \ + " 303.6, 322.0, 362.7, 448.4, 599.6, 920.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.3, 91.7, 190.3, 419.6, 833.4, 1716.7", \ + " 55.2, 91.7, 190.3, 419.6, 833.4, 1716.7", \ + " 55.3, 91.8, 190.3, 419.6, 833.4, 1716.7", \ + " 55.3, 91.8, 190.3, 419.6, 833.4, 1716.7", \ + " 55.5, 92.0, 190.4, 419.6, 833.4, 1716.7", \ + " 56.0, 92.4, 190.7, 419.7, 833.4, 1716.7", \ + " 56.6, 92.8, 190.8, 419.7, 833.4, 1716.7", \ + " 57.8, 93.8, 191.2, 419.7, 833.2, 1716.6", \ + " 59.6, 95.5, 192.4, 419.9, 833.1, 1716.3", \ + " 62.2, 98.0, 194.2, 420.8, 833.2, 1716.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 136.5, 154.7, 189.5, 255.1, 367.6, 605.7", \ + " 142.9, 161.1, 195.8, 261.5, 374.0, 612.1", \ + " 147.1, 165.3, 200.1, 265.7, 378.3, 616.4", \ + " 151.9, 170.1, 204.9, 270.6, 383.1, 621.2", \ + " 158.5, 176.7, 211.5, 277.2, 389.8, 627.9", \ + " 165.3, 183.5, 218.3, 284.0, 396.6, 634.7", \ + " 173.2, 191.0, 225.5, 291.1, 403.7, 641.8", \ + " 180.8, 198.6, 233.1, 298.5, 410.9, 649.0", \ + " 187.1, 205.1, 239.8, 305.4, 417.7, 655.5", \ + " 190.1, 208.3, 243.4, 309.2, 421.6, 659.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.8, 79.5, 141.4, 285.9, 553.2, 1131.0", \ + " 53.9, 79.5, 141.5, 286.0, 553.2, 1131.1", \ + " 53.9, 79.5, 141.5, 286.0, 553.3, 1131.2", \ + " 54.0, 79.6, 141.5, 286.0, 553.3, 1131.2", \ + " 54.2, 79.8, 141.6, 286.0, 553.3, 1131.2", \ + " 53.7, 79.5, 141.6, 286.0, 553.3, 1131.2", \ + " 53.2, 78.5, 140.6, 285.6, 553.3, 1131.3", \ + " 53.6, 78.9, 140.8, 285.2, 552.7, 1130.9", \ + " 54.8, 80.2, 141.8, 285.7, 552.6, 1130.4", \ + " 56.7, 82.2, 143.4, 286.8, 553.1, 1130.3" ); }} +timing() { /* ring osc delay ha2v0x2, path a to so 111.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.9 ; */ +/* intrinsic_fall : 109.8 ; */ +/* rise_resistance : 2.40 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 92.9, 133.8, 220.1, 371.8, 693.6", \ + " 80.0, 98.4, 139.3, 225.6, 377.3, 699.1", \ + " 84.5, 102.8, 143.7, 229.9, 381.7, 703.5", \ + " 90.4, 108.6, 149.5, 235.7, 387.5, 709.3", \ + " 98.9, 117.3, 158.1, 244.3, 396.1, 717.9", \ + " 107.5, 126.0, 167.3, 253.5, 405.3, 727.1", \ + " 116.6, 135.3, 176.5, 263.3, 415.0, 736.8", \ + " 125.6, 144.6, 186.1, 272.7, 424.9, 746.7", \ + " 133.5, 153.3, 195.5, 282.1, 434.3, 756.5", \ + " 138.5, 159.6, 203.2, 290.5, 442.9, 765.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 94.1, 192.6, 421.4, 834.8, 1717.8", \ + " 57.4, 94.1, 192.6, 421.4, 834.8, 1717.8", \ + " 57.4, 94.2, 192.7, 421.5, 834.8, 1717.8", \ + " 57.7, 94.5, 192.8, 421.5, 834.8, 1717.8", \ + " 59.7, 96.2, 194.0, 421.9, 835.0, 1717.8", \ + " 62.1, 98.8, 196.1, 423.3, 835.5, 1717.9", \ + " 66.0, 102.0, 198.8, 425.1, 836.8, 1718.4", \ + " 71.9, 107.1, 202.3, 427.6, 838.3, 1719.6", \ + " 80.1, 115.1, 208.4, 431.5, 840.8, 1721.1", \ + " 91.6, 126.7, 218.7, 438.6, 845.8, 1724.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.2, 104.1, 138.3, 204.0, 316.3, 554.2", \ + " 93.7, 110.6, 144.8, 210.5, 322.8, 560.7", \ + " 98.3, 115.2, 149.3, 215.1, 327.5, 565.3", \ + " 104.4, 121.2, 155.4, 221.1, 333.6, 571.4", \ + " 114.6, 131.5, 165.8, 231.6, 344.0, 581.9", \ + " 126.9, 144.6, 179.7, 245.7, 358.1, 596.0", \ + " 143.4, 161.9, 198.2, 265.2, 377.7, 615.6", \ + " 165.8, 185.3, 223.0, 291.0, 404.2, 641.9", \ + " 196.5, 217.3, 257.1, 326.8, 440.4, 678.6", \ + " 238.0, 260.5, 303.4, 376.3, 491.2, 729.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 72.3, 137.3, 284.4, 552.5, 1130.4", \ + " 45.8, 72.3, 137.3, 284.4, 552.5, 1130.4", \ + " 45.9, 72.4, 137.3, 284.4, 552.5, 1130.4", \ + " 46.1, 72.6, 137.5, 284.4, 552.5, 1130.4", \ + " 48.2, 74.2, 138.3, 284.8, 552.6, 1130.4", \ + " 51.8, 78.1, 141.4, 286.4, 553.2, 1130.5", \ + " 56.2, 82.8, 146.2, 289.8, 555.1, 1130.9", \ + " 62.2, 89.2, 152.2, 294.5, 557.9, 1132.2", \ + " 70.8, 98.4, 161.4, 301.5, 562.4, 1134.2", \ + " 82.6, 111.2, 175.3, 313.6, 570.9, 1138.5" ); }} +timing() { /* ring osc delay ha2v0x2, path b to so 166.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 154.0 ; */ +/* intrinsic_fall : 156.9 ; */ +/* rise_resistance : 2.39 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 130.4, 148.7, 189.5, 275.6, 427.3, 749.2", \ + " 138.3, 156.6, 197.4, 283.5, 435.2, 757.1", \ + " 144.8, 163.0, 203.8, 290.0, 441.7, 763.6", \ + " 153.5, 171.7, 212.5, 298.7, 450.4, 772.3", \ + " 168.1, 186.3, 227.1, 313.3, 465.0, 786.8", \ + " 186.6, 204.9, 245.8, 331.9, 483.7, 805.5", \ + " 210.7, 228.9, 269.8, 356.0, 507.7, 829.6", \ + " 241.4, 259.6, 300.3, 386.4, 538.3, 860.1", \ + " 282.0, 300.3, 341.1, 427.1, 578.8, 900.7", \ + " 336.9, 355.5, 396.4, 482.4, 633.9, 955.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.4, 95.0, 193.2, 421.6, 834.8, 1717.8", \ + " 58.3, 95.0, 193.2, 421.6, 834.8, 1717.8", \ + " 58.4, 95.0, 193.1, 421.6, 834.8, 1717.8", \ + " 58.3, 94.9, 193.1, 421.6, 834.8, 1717.8", \ + " 58.5, 95.0, 193.2, 421.6, 834.8, 1717.8", \ + " 58.7, 95.2, 193.3, 421.7, 834.8, 1717.8", \ + " 58.2, 94.9, 193.2, 421.8, 835.0, 1717.8", \ + " 58.7, 95.1, 193.0, 421.6, 834.9, 1718.0", \ + " 59.7, 96.1, 193.6, 421.5, 834.8, 1717.8", \ + " 61.6, 97.9, 194.7, 421.8, 834.6, 1717.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.6, 159.8, 194.5, 260.2, 372.8, 610.9", \ + " 145.2, 163.4, 198.2, 263.9, 376.4, 614.6", \ + " 147.3, 165.5, 200.3, 265.9, 378.5, 616.7", \ + " 149.9, 168.1, 202.9, 268.6, 381.1, 619.3", \ + " 154.1, 172.3, 207.1, 272.8, 385.3, 623.5", \ + " 158.9, 177.0, 211.8, 277.4, 390.0, 628.1", \ + " 165.3, 182.9, 217.0, 282.4, 394.9, 633.1", \ + " 170.2, 187.9, 222.3, 287.4, 399.3, 637.4", \ + " 172.3, 190.3, 225.0, 290.4, 402.3, 639.6", \ + " 168.6, 187.0, 222.1, 288.3, 401.0, 638.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.9, 79.5, 141.5, 286.0, 553.3, 1131.2", \ + " 54.0, 79.6, 141.6, 286.0, 553.3, 1131.2", \ + " 54.0, 79.6, 141.6, 286.0, 553.3, 1131.2", \ + " 54.1, 79.7, 141.6, 286.1, 553.3, 1131.2", \ + " 54.3, 79.8, 141.7, 286.1, 553.4, 1131.2", \ + " 52.9, 78.8, 141.2, 285.9, 553.3, 1131.2", \ + " 52.7, 77.8, 139.6, 284.8, 552.8, 1131.2", \ + " 53.4, 78.7, 140.1, 284.0, 551.6, 1130.1", \ + " 54.9, 80.2, 141.6, 285.1, 551.3, 1129.2", \ + " 57.2, 82.5, 143.9, 287.5, 553.4, 1129.7" ); }} +timing() { /* ring osc delay ha2v0x2, path b to so 101.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 79.5 ; */ +/* intrinsic_fall : 102.2 ; */ +/* rise_resistance : 2.39 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.3, 82.2, 122.5, 208.3, 359.7, 681.3", \ + " 70.0, 87.8, 128.2, 213.9, 365.4, 687.0", \ + " 74.4, 92.2, 132.5, 218.3, 369.8, 691.3", \ + " 79.7, 97.4, 137.6, 223.4, 374.9, 696.5", \ + " 85.9, 104.1, 144.5, 230.3, 381.8, 703.4", \ + " 91.9, 110.1, 151.2, 237.1, 388.6, 710.2", \ + " 97.9, 116.4, 157.4, 244.1, 395.5, 717.1", \ + " 102.6, 121.8, 163.4, 249.9, 402.1, 723.5", \ + " 104.5, 124.8, 167.7, 254.9, 407.1, 729.0", \ + " 100.5, 122.4, 167.4, 256.5, 409.7, 732.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.8, 90.5, 189.6, 419.3, 833.3, 1716.7", \ + " 53.8, 90.5, 189.6, 419.3, 833.3, 1716.7", \ + " 53.9, 90.7, 189.7, 419.3, 833.3, 1716.7", \ + " 55.2, 91.6, 190.1, 419.5, 833.4, 1716.7", \ + " 58.0, 94.2, 191.9, 420.2, 833.5, 1716.7", \ + " 60.6, 97.1, 194.5, 421.9, 834.3, 1716.8", \ + " 65.2, 100.9, 197.8, 424.0, 835.7, 1717.5", \ + " 72.2, 107.5, 202.3, 427.3, 837.6, 1718.7", \ + " 82.0, 117.5, 211.0, 433.2, 841.5, 1720.6", \ + " 95.5, 131.6, 224.7, 444.3, 849.8, 1725.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.0, 95.9, 130.1, 195.8, 308.2, 546.0", \ + " 84.3, 101.1, 135.3, 201.0, 313.4, 551.3", \ + " 89.4, 106.2, 140.4, 206.1, 318.5, 556.4", \ + " 96.8, 113.6, 147.8, 213.5, 326.0, 563.8", \ + " 109.6, 126.5, 160.8, 226.6, 339.1, 576.9", \ + " 124.6, 142.3, 177.7, 243.8, 356.2, 594.1", \ + " 144.4, 162.8, 198.9, 266.4, 378.9, 616.7", \ + " 170.5, 189.7, 226.8, 294.9, 408.5, 646.2", \ + " 205.6, 226.1, 265.0, 333.8, 447.8, 686.5", \ + " 252.9, 275.3, 317.2, 388.3, 502.8, 742.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 72.3, 137.3, 284.4, 552.5, 1130.4", \ + " 45.8, 72.3, 137.3, 284.4, 552.5, 1130.4", \ + " 45.9, 72.4, 137.4, 284.4, 552.5, 1130.4", \ + " 46.3, 72.8, 137.6, 284.5, 552.5, 1130.4", \ + " 49.3, 75.2, 138.8, 285.0, 552.7, 1130.4", \ + " 53.5, 79.7, 142.7, 287.2, 553.5, 1130.5", \ + " 58.5, 84.4, 147.7, 291.0, 556.0, 1131.1", \ + " 65.4, 91.1, 152.9, 295.5, 559.0, 1133.3", \ + " 75.2, 101.1, 161.7, 301.3, 563.2, 1135.7", \ + " 89.0, 115.6, 176.0, 312.0, 570.5, 1139.7" ); }} +} +} +cell(iv1v0x05) { /* 2008-01-06:07h18 characteristic delay 9.1 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 208 ; /* iv1v0x05 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x05 FO4 effort 1.04 logical effort 1.06 */ +direction : input ; +capacitance : 2.07 ; +rise_capacitance : 2.03 ; +fall_capacitance : 2.12 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 89 ; +max_fanout : 2 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x05 3.26 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 1.56, 1.63, 1.69, 1.71, 1.71", \ + " 1.50, 1.55, 1.62, 1.67, 1.68", \ + " 1.55, 1.56, 1.61, 1.66, 1.67", \ + " 1.66, 1.63, 1.63, 1.66, 1.67", \ + " 1.93, 1.81, 1.73, 1.70, 1.69", \ + " 2.38, 2.16, 1.95, 1.82, 1.75", \ + " 3.14, 2.79, 2.40, 2.09, 1.92", \ + " 4.35, 3.85, 3.20, 2.64, 2.27", \ + " 6.24, 5.58, 4.60, 3.66, 2.99", \ + " 9.20, 8.37, 6.99, 5.51, 4.37" ); }} +timing() { /* ring osc delay iv1v0x05, path a to z 29.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.7 ; */ +/* intrinsic_fall : 33.4 ; */ +/* rise_resistance : 4.93 ; */ +/* fall_resistance : 3.84 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.1, 33.6, 68.5, 146.3, 284.3, 577.3", \ + " 28.2, 41.4, 76.2, 154.1, 292.2, 585.3", \ + " 32.9, 47.9, 82.3, 160.1, 298.1, 591.3", \ + " 38.2, 55.7, 90.7, 168.2, 306.2, 599.3", \ + " 46.1, 66.7, 106.0, 182.8, 320.5, 613.4", \ + " 55.8, 79.7, 126.3, 204.2, 341.2, 633.8", \ + " 68.5, 96.2, 150.7, 237.2, 373.1, 664.8", \ + " 84.8, 117.1, 180.2, 282.6, 420.8, 710.9", \ + " 106.6, 144.5, 217.7, 337.6, 494.1, 781.6", \ + " 136.2, 180.9, 266.6, 405.9, 591.8, 890.9" ); } +rise_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.6, 75.3, 173.6, 394.7, 787.8, 1623.2", \ + " 47.4, 80.5, 174.7, 394.7, 787.8, 1623.2", \ + " 55.6, 87.1, 178.5, 394.9, 787.8, 1623.2", \ + " 67.8, 97.0, 185.5, 397.7, 787.8, 1623.2", \ + " 84.5, 116.3, 200.5, 406.7, 789.7, 1623.2", \ + " 105.1, 143.8, 225.2, 424.3, 799.1, 1623.3", \ + " 133.9, 178.0, 265.6, 456.5, 821.1, 1630.3", \ + " 174.1, 223.3, 325.3, 510.5, 862.6, 1654.0", \ + " 231.6, 286.5, 402.8, 598.4, 936.4, 1705.6", \ + " 315.5, 377.0, 507.9, 733.4, 1062.4, 1803.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.6, 27.8, 54.6, 114.4, 220.5, 445.8", \ + " 23.8, 35.0, 61.9, 121.8, 227.9, 453.3", \ + " 26.4, 40.2, 67.5, 127.4, 233.5, 458.9", \ + " 28.6, 45.2, 75.1, 134.9, 241.0, 466.4", \ + " 30.8, 50.9, 87.7, 148.2, 254.3, 479.6", \ + " 32.2, 56.0, 100.6, 167.5, 273.3, 498.5", \ + " 32.0, 60.3, 113.6, 194.9, 302.2, 527.1", \ + " 29.3, 62.6, 125.8, 224.0, 345.1, 569.3", \ + " 22.4, 61.6, 136.3, 253.7, 401.4, 633.2", \ + " 8.4, 54.7, 143.1, 282.6, 461.4, 731.0" ); } +fall_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 25.0, 49.8, 116.7, 267.2, 534.7, 1103.3", \ + " 34.0, 55.6, 118.6, 267.2, 534.7, 1103.3", \ + " 42.7, 63.0, 123.2, 268.2, 534.7, 1103.3", \ + " 52.2, 74.2, 131.6, 272.5, 534.9, 1103.3", \ + " 67.0, 93.7, 149.2, 284.1, 539.6, 1103.3", \ + " 86.9, 117.5, 177.6, 305.9, 553.0, 1105.4", \ + " 114.7, 149.7, 219.9, 344.6, 581.4, 1118.8", \ + " 153.2, 193.4, 273.9, 407.1, 632.7, 1152.0", \ + " 207.9, 254.5, 346.6, 500.7, 721.4, 1218.2", \ + " 288.1, 341.5, 447.7, 625.3, 865.7, 1339.6" ); }} +} +} +cell(iv1v0x1) { /* 2008-01-06:07h18 characteristic delay 8.8 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 312 ; /* iv1v0x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x1 FO4 effort 1.02 logical effort 1.03 */ +direction : input ; +capacitance : 3.03 ; +rise_capacitance : 2.96 ; +fall_capacitance : 3.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 134 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x1 4.82 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.30, 2.41, 2.50, 2.54, 2.54", \ + " 2.21, 2.28, 2.39, 2.47, 2.50", \ + " 2.28, 2.30, 2.38, 2.45, 2.48", \ + " 2.46, 2.40, 2.41, 2.45, 2.48", \ + " 2.86, 2.69, 2.56, 2.52, 2.51", \ + " 3.54, 3.22, 2.90, 2.70, 2.61", \ + " 4.69, 4.18, 3.58, 3.13, 2.86", \ + " 6.51, 5.77, 4.80, 3.96, 3.41", \ + " 9.36, 8.39, 6.93, 5.53, 4.52", \ + " 13.81, 12.59, 10.56, 8.35, 6.63" ); }} +timing() { /* ring osc delay iv1v0x1, path a to z 29.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.5 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.56 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 32.6, 65.9, 140.0, 271.5, 550.6", \ + " 27.8, 40.5, 73.6, 147.8, 279.4, 558.5", \ + " 32.5, 46.9, 79.7, 153.8, 285.3, 564.5", \ + " 37.6, 54.6, 88.2, 162.0, 293.4, 572.5", \ + " 45.4, 65.4, 103.5, 176.6, 307.7, 586.7", \ + " 55.0, 78.1, 123.2, 198.0, 328.5, 607.1", \ + " 67.5, 94.3, 147.1, 231.2, 360.3, 638.1", \ + " 83.7, 114.9, 176.0, 275.4, 408.2, 684.3", \ + " 105.2, 141.9, 212.8, 329.0, 481.3, 755.1", \ + " 134.6, 177.8, 260.9, 395.9, 576.4, 864.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.6, 72.4, 166.0, 376.6, 751.0, 1546.6", \ + " 46.5, 77.8, 167.3, 376.6, 751.0, 1546.6", \ + " 54.8, 84.5, 171.3, 377.0, 751.0, 1546.6", \ + " 67.1, 94.6, 178.4, 380.0, 751.0, 1546.6", \ + " 83.4, 114.0, 193.7, 389.4, 753.4, 1546.6", \ + " 103.8, 141.1, 218.7, 407.5, 763.4, 1546.9", \ + " 132.5, 174.9, 259.5, 440.3, 786.2, 1555.1", \ + " 172.5, 219.8, 318.5, 495.0, 828.7, 1580.1", \ + " 229.8, 282.6, 394.9, 583.5, 903.8, 1633.4", \ + " 313.6, 372.6, 498.9, 717.2, 1031.2, 1733.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.3, 27.2, 52.7, 109.6, 210.7, 425.3", \ + " 23.5, 34.3, 60.0, 117.0, 218.1, 432.8", \ + " 26.0, 39.4, 65.6, 122.6, 223.7, 438.4", \ + " 28.1, 44.2, 73.2, 130.1, 231.2, 445.9", \ + " 30.2, 49.7, 85.5, 143.5, 244.5, 459.1", \ + " 31.4, 54.5, 97.8, 162.8, 263.5, 478.0", \ + " 31.1, 58.4, 110.2, 189.4, 292.4, 506.5", \ + " 28.2, 60.4, 121.7, 217.3, 335.4, 548.8", \ + " 21.0, 59.0, 131.5, 245.5, 389.6, 612.8", \ + " 6.8, 51.6, 137.4, 272.8, 446.9, 709.9" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.2, 47.8, 111.5, 254.8, 509.7, 1051.2", \ + " 33.3, 53.8, 113.6, 254.8, 509.7, 1051.2", \ + " 42.0, 61.2, 118.4, 256.1, 509.7, 1051.2", \ + " 51.3, 72.6, 126.9, 260.6, 510.0, 1051.2", \ + " 66.0, 91.8, 144.8, 272.6, 515.2, 1051.2", \ + " 85.7, 115.3, 173.4, 294.8, 529.3, 1053.9", \ + " 113.3, 147.1, 215.1, 334.1, 558.4, 1068.5", \ + " 151.6, 190.5, 268.3, 397.1, 610.8, 1103.0", \ + " 206.1, 251.2, 340.2, 489.6, 700.5, 1171.0", \ + " 286.1, 337.5, 440.5, 612.3, 845.5, 1294.7" ); }} +} +} +cell(iv1v0x2) { /* 2008-01-06:07h18 characteristic delay 8.6 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 485 ; /* iv1v0x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x2 FO4 effort 1.00 logical effort 1.01 */ +direction : input ; +capacitance : 4.60 ; +rise_capacitance : 4.50 ; +fall_capacitance : 4.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 209 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x2 7.07 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 3.34, 3.52, 3.66, 3.72, 3.72", \ + " 3.22, 3.34, 3.50, 3.62, 3.65", \ + " 3.35, 3.37, 3.48, 3.59, 3.63", \ + " 3.62, 3.53, 3.53, 3.60, 3.63", \ + " 4.26, 3.97, 3.76, 3.69, 3.67", \ + " 5.33, 4.81, 4.29, 3.98, 3.83", \ + " 7.14, 6.30, 5.35, 4.63, 4.22", \ + " 9.98, 8.79, 7.24, 5.92, 5.06", \ + " 14.43, 12.86, 10.54, 8.34, 6.76", \ + " 21.37, 19.40, 16.17, 12.69, 10.02" ); }} +timing() { /* ring osc delay iv1v0x2, path a to z 28.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 37.8 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.65 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 32.4, 66.6, 142.8, 278.0, 565.1", \ + " 27.2, 40.2, 74.3, 150.6, 285.9, 573.0", \ + " 31.7, 46.7, 80.4, 156.6, 291.9, 579.0", \ + " 36.8, 54.4, 88.9, 164.8, 299.9, 587.0", \ + " 44.4, 65.1, 104.2, 179.4, 314.2, 601.2", \ + " 53.9, 77.8, 124.1, 200.8, 335.0, 621.6", \ + " 66.2, 94.0, 148.1, 233.9, 366.9, 652.6", \ + " 82.2, 114.6, 177.2, 278.7, 414.7, 698.8", \ + " 103.5, 141.6, 214.3, 332.9, 487.9, 769.5", \ + " 132.6, 177.4, 262.7, 400.5, 584.4, 879.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.3, 72.1, 168.3, 384.9, 770.0, 1588.4", \ + " 45.3, 77.5, 169.6, 384.9, 770.0, 1588.4", \ + " 53.7, 84.2, 173.5, 385.2, 770.0, 1588.4", \ + " 66.1, 94.3, 180.6, 388.2, 770.0, 1588.4", \ + " 81.9, 113.7, 195.8, 397.4, 772.1, 1588.4", \ + " 102.1, 140.8, 220.7, 415.3, 781.8, 1588.5", \ + " 130.6, 174.5, 261.4, 447.7, 804.2, 1596.1", \ + " 170.4, 219.3, 320.5, 502.1, 846.2, 1620.4", \ + " 227.4, 282.1, 397.3, 590.4, 920.6, 1672.7", \ + " 311.0, 372.0, 501.6, 724.6, 1047.3, 1771.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.8, 26.9, 53.2, 111.7, 215.7, 436.4", \ + " 22.8, 34.1, 60.5, 119.1, 223.1, 443.9", \ + " 25.2, 39.2, 66.1, 124.7, 228.7, 449.5", \ + " 27.2, 43.9, 73.7, 132.2, 236.2, 457.0", \ + " 29.2, 49.4, 86.1, 145.6, 249.5, 470.2", \ + " 30.2, 54.2, 98.6, 164.9, 268.5, 489.1", \ + " 29.7, 58.1, 111.2, 191.9, 297.4, 517.7", \ + " 26.6, 60.0, 122.9, 220.3, 340.4, 559.9", \ + " 19.2, 58.6, 132.9, 249.2, 395.7, 623.9", \ + " 4.7, 51.1, 139.0, 277.3, 454.4, 721.4" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.5, 47.6, 113.1, 260.5, 522.6, 1079.6", \ + " 32.6, 53.7, 115.1, 260.5, 522.6, 1079.6", \ + " 41.2, 61.1, 119.9, 261.7, 522.6, 1079.6", \ + " 50.4, 72.5, 128.4, 266.1, 522.9, 1079.6", \ + " 65.0, 91.6, 146.2, 277.9, 527.8, 1079.6", \ + " 84.4, 115.1, 174.8, 300.0, 541.6, 1082.0", \ + " 111.9, 146.9, 216.6, 339.0, 570.3, 1096.0", \ + " 150.0, 190.2, 270.1, 401.8, 622.2, 1129.7", \ + " 204.3, 250.9, 342.2, 494.8, 711.4, 1196.7", \ + " 284.1, 337.2, 442.8, 618.4, 856.0, 1319.2" ); }} +} +} +cell(iv1v0x3) { /* 2008-01-06:07h18 characteristic delay 8.5 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 693 ; /* iv1v0x3 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x3 FO4 effort 0.97 logical effort 1.00 */ +direction : input ; +capacitance : 6.50 ; +rise_capacitance : 6.35 ; +fall_capacitance : 6.64 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 299 ; +max_fanout : 8 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x3 8.40 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 3.87, 4.17, 4.37, 4.45, 4.45", \ + " 3.74, 3.91, 4.16, 4.31, 4.36", \ + " 3.95, 3.97, 4.13, 4.28, 4.33", \ + " 4.38, 4.20, 4.20, 4.28, 4.32", \ + " 5.33, 4.85, 4.53, 4.42, 4.39", \ + " 6.93, 6.07, 5.28, 4.82, 4.60", \ + " 9.58, 8.23, 6.79, 5.74, 5.15", \ + " 13.70, 11.81, 9.48, 7.55, 6.32", \ + " 20.13, 17.66, 14.17, 10.95, 8.70", \ + " 30.10, 27.05, 22.18, 17.10, 13.26" ); }} +timing() { /* ring osc delay iv1v0x3, path a to z 25.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.9 ; */ +/* intrinsic_fall : 31.0 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.6, 31.4, 67.3, 147.4, 289.3, 590.7", \ + " 25.2, 39.3, 75.1, 155.2, 297.2, 598.7", \ + " 29.5, 45.8, 81.2, 161.2, 303.2, 604.7", \ + " 34.2, 53.3, 89.6, 169.3, 311.2, 612.7", \ + " 41.5, 63.8, 104.9, 183.9, 325.5, 626.8", \ + " 50.5, 76.3, 125.0, 205.3, 346.3, 647.2", \ + " 62.3, 92.3, 149.2, 238.3, 378.1, 678.2", \ + " 77.6, 112.7, 178.5, 283.9, 425.8, 724.3", \ + " 98.1, 139.3, 215.8, 339.1, 499.1, 794.8", \ + " 126.2, 174.8, 264.4, 407.7, 597.8, 904.1" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.3, 69.6, 170.7, 398.1, 802.5, 1661.7", \ + " 41.8, 75.3, 171.9, 398.1, 802.5, 1661.7", \ + " 50.5, 82.0, 175.8, 398.3, 802.5, 1661.7", \ + " 62.2, 92.2, 182.8, 401.1, 802.5, 1661.7", \ + " 77.1, 111.8, 197.9, 410.0, 804.2, 1661.7", \ + " 96.7, 138.4, 222.7, 427.5, 813.3, 1661.7", \ + " 124.6, 171.8, 263.3, 459.6, 835.0, 1668.2", \ + " 163.8, 216.3, 322.7, 513.4, 876.1, 1691.2", \ + " 220.1, 278.7, 399.7, 601.3, 949.4, 1741.9", \ + " 303.2, 368.1, 504.4, 736.4, 1074.9, 1839.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.5, 26.2, 53.7, 115.2, 224.3, 456.1", \ + " 21.0, 33.4, 61.0, 122.6, 231.8, 463.6", \ + " 23.0, 38.3, 66.6, 128.2, 237.4, 469.2", \ + " 24.7, 42.8, 74.2, 135.7, 244.9, 476.7", \ + " 26.2, 48.1, 86.7, 149.0, 258.1, 489.9", \ + " 26.7, 52.7, 99.4, 168.3, 277.1, 508.8", \ + " 25.6, 56.3, 112.2, 195.8, 306.0, 537.3", \ + " 21.7, 58.0, 124.1, 225.1, 348.9, 579.5", \ + " 13.5, 56.2, 134.4, 255.1, 406.0, 643.4", \ + " -2.0, 48.2, 140.8, 284.3, 467.0, 741.4" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.7, 46.0, 114.7, 269.5, 544.7, 1129.6", \ + " 30.5, 52.3, 116.8, 269.5, 544.7, 1129.6", \ + " 38.5, 59.8, 121.5, 270.6, 544.7, 1129.6", \ + " 47.2, 71.3, 129.9, 274.8, 544.9, 1129.6", \ + " 61.3, 90.2, 147.7, 286.4, 549.4, 1129.6", \ + " 80.4, 113.3, 176.2, 308.0, 562.6, 1131.3", \ + " 107.2, 145.0, 218.2, 346.7, 590.6, 1144.2", \ + " 144.5, 188.0, 271.9, 409.1, 641.6, 1176.7", \ + " 198.1, 248.3, 344.3, 502.9, 729.8, 1242.1", \ + " 277.6, 334.2, 445.2, 627.8, 873.8, 1362.4" ); }} +} +} +cell(iv1v0x4) { /* 2008-01-06:07h18 characteristic delay 8.5 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 970 ; /* iv1v0x4 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x4 FO4 effort 0.96 logical effort 0.99 */ +direction : input ; +capacitance : 9.03 ; +rise_capacitance : 8.83 ; +fall_capacitance : 9.23 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 419 ; +max_fanout : 10 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x4 11.36 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 5.20, 5.62, 5.92, 6.03, 6.04", \ + " 5.03, 5.26, 5.61, 5.84, 5.91", \ + " 5.34, 5.35, 5.57, 5.78, 5.87", \ + " 5.96, 5.70, 5.68, 5.80, 5.86", \ + " 7.32, 6.64, 6.16, 6.00, 5.95", \ + " 9.58, 8.37, 7.25, 6.59, 6.27", \ + " 13.32, 11.44, 9.41, 7.91, 7.06", \ + " 19.12, 16.52, 13.24, 10.52, 8.76", \ + " 28.15, 24.77, 19.91, 15.38, 12.18", \ + " 42.15, 37.98, 31.26, 24.14, 18.72" ); }} +timing() { /* ring osc delay iv1v0x4, path a to z 24.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.5 ; */ +/* intrinsic_fall : 30.5 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.83 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.1, 30.3, 64.5, 140.8, 275.9, 563.0", \ + " 24.6, 38.2, 72.3, 148.6, 283.8, 571.0", \ + " 28.7, 44.7, 78.4, 154.6, 289.8, 577.0", \ + " 33.4, 51.9, 86.9, 162.7, 297.9, 585.0", \ + " 40.5, 62.2, 102.2, 177.4, 312.2, 599.1", \ + " 49.4, 74.4, 121.7, 198.8, 333.0, 619.5", \ + " 61.0, 90.1, 145.4, 231.9, 364.8, 650.5", \ + " 76.0, 110.1, 174.0, 276.4, 412.7, 696.7", \ + " 96.3, 136.3, 210.6, 330.2, 485.9, 767.4", \ + " 124.1, 171.3, 258.4, 397.4, 582.0, 877.0" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.9, 66.4, 162.6, 379.2, 764.3, 1582.7", \ + " 40.7, 72.3, 164.1, 379.2, 764.3, 1582.7", \ + " 49.4, 79.2, 168.1, 379.6, 764.3, 1582.7", \ + " 60.7, 89.5, 175.4, 382.6, 764.3, 1582.7", \ + " 75.4, 109.4, 190.7, 392.0, 766.5, 1582.7", \ + " 94.8, 135.2, 215.9, 410.0, 776.4, 1582.8", \ + " 122.6, 168.1, 256.8, 442.7, 798.9, 1590.5", \ + " 161.5, 212.2, 315.3, 497.2, 841.0, 1614.9", \ + " 217.6, 274.1, 391.2, 585.7, 915.6, 1667.4", \ + " 300.6, 362.9, 494.7, 719.5, 1042.5, 1766.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.1, 25.3, 51.5, 110.1, 214.0, 434.8", \ + " 20.4, 32.4, 58.8, 117.5, 221.5, 442.3", \ + " 22.3, 37.2, 64.4, 123.1, 227.1, 447.9", \ + " 23.8, 41.5, 72.0, 130.6, 234.6, 455.4", \ + " 25.1, 46.5, 84.2, 143.9, 247.8, 468.5", \ + " 25.5, 50.7, 96.3, 163.3, 266.9, 487.5", \ + " 24.1, 54.0, 108.4, 190.0, 295.8, 516.0", \ + " 20.0, 55.3, 119.6, 218.0, 338.7, 558.2", \ + " 11.5, 53.0, 129.0, 246.5, 393.7, 622.2", \ + " -4.3, 44.5, 134.5, 274.1, 452.0, 719.8" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.9, 43.8, 109.3, 256.7, 518.8, 1075.8", \ + " 29.8, 50.3, 111.5, 256.7, 518.8, 1075.8", \ + " 37.5, 58.0, 116.4, 258.0, 518.8, 1075.8", \ + " 46.1, 69.6, 125.0, 262.5, 519.1, 1075.8", \ + " 60.1, 88.1, 143.1, 274.5, 524.1, 1075.8", \ + " 79.0, 110.9, 171.8, 296.6, 538.0, 1078.2", \ + " 105.6, 142.2, 213.2, 335.9, 566.9, 1092.3", \ + " 142.6, 184.8, 266.1, 398.8, 618.9, 1126.2", \ + " 196.0, 244.6, 337.7, 491.5, 708.3, 1193.3", \ + " 275.5, 329.9, 437.6, 614.5, 853.0, 1316.0" ); }} +} +} +cell(iv1v0x6) { /* 2008-01-06:07h19 characteristic delay 8.4 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 1398 ; /* iv1v0x6 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x6 FO4 effort 0.96 logical effort 0.98 */ +direction : input ; +capacitance : 12.93 ; +rise_capacitance : 12.65 ; +fall_capacitance : 13.22 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 606 ; +max_fanout : 15 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x6 16.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 7.68, 8.27, 8.68, 8.84, 8.83", \ + " 7.42, 7.75, 8.25, 8.56, 8.65", \ + " 7.84, 7.88, 8.19, 8.49, 8.60", \ + " 8.71, 8.36, 8.34, 8.51, 8.59", \ + " 10.64, 9.67, 9.01, 8.79, 8.71", \ + " 13.87, 12.12, 10.54, 9.60, 9.16", \ + " 19.21, 16.49, 13.57, 11.46, 10.26", \ + " 27.52, 23.71, 19.00, 15.12, 12.63", \ + " 40.47, 35.51, 28.47, 21.99, 17.43", \ + " 60.57, 54.42, 44.62, 34.39, 26.64" ); }} +timing() { /* ring osc delay iv1v0x6, path a to z 24.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.7 ; */ +/* intrinsic_fall : 30.9 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.58 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.3, 31.0, 66.5, 145.5, 285.7, 583.4", \ + " 24.9, 38.9, 74.2, 153.3, 293.6, 591.3", \ + " 29.1, 45.4, 80.3, 159.3, 299.6, 597.3", \ + " 33.8, 52.8, 88.8, 167.5, 307.6, 605.4", \ + " 41.0, 63.2, 104.1, 182.1, 321.9, 619.5", \ + " 49.8, 75.6, 124.0, 203.5, 342.7, 639.9", \ + " 61.4, 91.4, 148.0, 236.5, 374.5, 670.8", \ + " 76.5, 111.5, 177.0, 281.7, 422.2, 717.0", \ + " 96.6, 137.7, 213.9, 336.4, 495.4, 787.5", \ + " 124.2, 172.7, 262.0, 404.4, 593.3, 896.8" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.8, 68.6, 168.4, 393.1, 792.4, 1641.1", \ + " 41.4, 74.4, 169.7, 393.1, 792.4, 1641.1", \ + " 50.1, 81.2, 173.7, 393.3, 792.4, 1641.1", \ + " 61.8, 91.5, 180.8, 396.1, 792.4, 1641.1", \ + " 76.6, 111.2, 196.0, 405.2, 794.3, 1641.1", \ + " 96.3, 137.6, 220.9, 422.9, 803.6, 1641.1", \ + " 124.2, 170.9, 261.7, 455.2, 825.5, 1647.9", \ + " 163.4, 215.4, 321.0, 509.3, 867.0, 1671.3", \ + " 219.7, 277.9, 397.9, 597.5, 940.8, 1722.6", \ + " 303.0, 367.3, 502.6, 732.6, 1066.9, 1820.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.5, 26.1, 53.7, 115.2, 224.3, 456.1", \ + " 20.9, 33.3, 61.0, 122.6, 231.7, 463.6", \ + " 23.0, 38.2, 66.6, 128.2, 237.3, 469.2", \ + " 24.6, 42.8, 74.2, 135.7, 244.9, 476.7", \ + " 26.1, 48.1, 86.7, 149.0, 258.1, 489.9", \ + " 26.7, 52.6, 99.4, 168.3, 277.1, 508.8", \ + " 25.6, 56.3, 112.2, 195.8, 306.0, 537.3", \ + " 21.9, 58.1, 124.2, 225.1, 348.9, 579.5", \ + " 13.8, 56.5, 134.6, 255.2, 406.0, 643.4", \ + " -1.3, 48.9, 141.3, 284.6, 467.1, 741.4" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.5, 45.8, 114.6, 269.4, 544.6, 1129.4", \ + " 30.3, 52.1, 116.6, 269.4, 544.6, 1129.4", \ + " 38.3, 59.6, 121.3, 270.4, 544.6, 1129.4", \ + " 46.9, 71.1, 129.7, 274.6, 544.7, 1129.4", \ + " 61.0, 89.9, 147.5, 286.2, 549.2, 1129.4", \ + " 80.0, 113.0, 176.0, 307.8, 562.4, 1131.2", \ + " 106.7, 144.6, 217.9, 346.4, 590.4, 1144.1", \ + " 144.0, 187.5, 271.6, 408.9, 641.3, 1176.5", \ + " 197.5, 247.8, 343.9, 502.6, 729.6, 1241.8", \ + " 277.0, 333.6, 444.6, 627.3, 873.4, 1362.1" ); }} +} +} +cell(iv1v0x8) { /* 2008-01-06:07h19 characteristic delay 8.5 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1802 ; /* iv1v0x8 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x8 FO4 effort 0.96 logical effort 0.99 */ +direction : input ; +capacitance : 16.75 ; +rise_capacitance : 16.38 ; +fall_capacitance : 17.13 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 779 ; +max_fanout : 20 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x8 21.01 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 9.63, 10.43, 10.97, 11.17, 11.16", \ + " 9.28, 9.74, 10.40, 10.81, 10.93", \ + " 9.84, 9.89, 10.32, 10.72, 10.85", \ + " 10.96, 10.50, 10.50, 10.73, 10.84", \ + " 13.47, 12.18, 11.34, 11.08, 10.99", \ + " 17.65, 15.33, 13.28, 12.10, 11.54", \ + " 24.55, 20.94, 17.15, 14.44, 12.93", \ + " 35.30, 30.24, 24.09, 19.10, 15.92", \ + " 52.03, 45.44, 36.23, 27.85, 22.01", \ + " 78.00, 69.84, 56.97, 43.70, 33.73" ); }} +timing() { /* ring osc delay iv1v0x8, path a to z 24.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.6 ; */ +/* intrinsic_fall : 30.8 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.44 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.4, 31.6, 68.4, 150.5, 296.0, 605.2", \ + " 25.0, 39.5, 76.2, 158.3, 304.0, 613.2", \ + " 29.2, 46.0, 82.3, 164.3, 309.9, 619.2", \ + " 33.9, 53.5, 90.7, 172.5, 318.0, 627.2", \ + " 41.1, 64.0, 106.0, 187.0, 332.3, 641.3", \ + " 50.0, 76.5, 126.2, 208.4, 353.0, 661.7", \ + " 61.7, 92.6, 150.7, 241.4, 384.8, 692.6", \ + " 76.9, 113.0, 180.2, 287.4, 432.4, 738.7", \ + " 97.3, 139.6, 217.7, 343.2, 505.6, 809.2", \ + " 125.2, 175.2, 266.7, 412.6, 605.6, 918.3" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.7, 69.9, 173.6, 406.8, 821.6, 1702.9", \ + " 41.2, 75.5, 174.7, 406.8, 821.6, 1702.9", \ + " 49.9, 82.3, 178.5, 407.0, 821.6, 1702.9", \ + " 61.5, 92.5, 185.5, 409.6, 821.6, 1702.9", \ + " 76.3, 112.0, 200.5, 418.3, 823.1, 1702.9", \ + " 95.9, 138.7, 225.2, 435.6, 831.8, 1702.9", \ + " 123.7, 172.1, 265.6, 467.4, 853.1, 1708.7", \ + " 162.7, 216.6, 325.3, 521.0, 893.7, 1731.0", \ + " 218.9, 279.1, 402.8, 608.5, 966.4, 1780.8", \ + " 302.0, 368.5, 507.9, 744.1, 1091.2, 1876.8" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.3, 26.3, 54.5, 117.6, 229.5, 467.2", \ + " 20.7, 33.5, 61.8, 125.0, 237.0, 474.7", \ + " 22.7, 38.4, 67.4, 130.6, 242.6, 480.3", \ + " 24.3, 43.0, 75.0, 138.1, 250.1, 487.8", \ + " 25.7, 48.3, 87.6, 151.4, 263.3, 501.0", \ + " 26.1, 52.9, 100.5, 170.7, 282.3, 519.9", \ + " 24.9, 56.5, 113.5, 198.5, 311.2, 548.4", \ + " 21.0, 58.2, 125.7, 228.4, 354.1, 590.6", \ + " 12.6, 56.5, 136.3, 259.0, 412.1, 654.5", \ + " -3.0, 48.6, 143.0, 289.0, 474.4, 752.4" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.3, 46.2, 116.7, 275.5, 557.7, 1157.6", \ + " 30.1, 52.4, 118.7, 275.5, 557.7, 1157.6", \ + " 38.0, 60.0, 123.3, 276.4, 557.7, 1157.6", \ + " 46.7, 71.4, 131.7, 280.5, 557.8, 1157.6", \ + " 60.8, 90.4, 149.3, 291.9, 562.0, 1157.6", \ + " 79.6, 113.6, 177.7, 313.3, 574.9, 1159.0", \ + " 106.4, 145.3, 220.0, 351.7, 602.5, 1171.4", \ + " 143.6, 188.3, 274.0, 414.0, 653.0, 1203.1", \ + " 197.1, 248.7, 346.7, 508.2, 740.7, 1267.5", \ + " 276.6, 334.6, 447.9, 634.0, 884.3, 1386.7" ); }} +} +} +cell(iv1v0x12) { /* 2008-01-06:07h19 characteristic delay 8.4 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 2772 ; /* iv1v0x12 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v0x12 FO4 effort 0.96 logical effort 0.98 */ +direction : input ; +capacitance : 25.59 ; +rise_capacitance : 25.01 ; +fall_capacitance : 26.17 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v0x12 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 12 ; +max_capacitance : 1191 ; +max_fanout : 30 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v0x12 31.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x12_1560_5x10) { +values( " 14.50, 15.73, 16.58, 16.89, 16.88", \ + " 14.00, 14.69, 15.70, 16.34, 16.52", \ + " 14.87, 14.93, 15.58, 16.19, 16.41", \ + " 16.62, 15.89, 15.87, 16.22, 16.39", \ + " 20.49, 18.50, 17.19, 16.76, 16.63", \ + " 26.94, 23.38, 20.20, 18.36, 17.49", \ + " 37.56, 32.04, 26.20, 22.01, 19.66", \ + " 54.07, 46.38, 36.94, 29.24, 24.32", \ + " 79.77, 69.77, 55.69, 42.80, 33.78", \ + " 119.63, 107.26, 87.66, 67.31, 51.95" ); }} +timing() { /* ring osc delay iv1v0x12, path a to z 24.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.5 ; */ +/* intrinsic_fall : 30.5 ; */ +/* rise_resistance : 0.37 ; */ +/* fall_resistance : 0.29 ; */ +cell_rise(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.2, 31.1, 67.3, 147.8, 290.6, 594.0", \ + " 24.7, 39.0, 75.0, 155.6, 298.5, 601.9", \ + " 28.9, 45.5, 81.1, 161.6, 304.5, 607.9", \ + " 33.6, 52.9, 89.6, 169.8, 312.6, 615.9", \ + " 40.7, 63.4, 104.9, 184.4, 326.9, 630.1", \ + " 49.6, 75.8, 125.0, 205.8, 347.6, 650.4", \ + " 61.3, 91.8, 149.2, 238.8, 379.4, 681.4", \ + " 76.5, 112.1, 178.5, 284.4, 427.1, 727.5", \ + " 96.9, 138.7, 215.8, 339.8, 500.4, 798.1", \ + " 124.9, 174.2, 264.6, 408.6, 599.5, 907.3" ); } +rise_transition(x12_1560_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.2, 68.7, 170.3, 399.2, 806.1, 1670.8", \ + " 40.8, 74.4, 171.6, 399.2, 806.1, 1670.8", \ + " 49.6, 81.2, 175.5, 399.4, 806.1, 1670.8", \ + " 61.0, 91.4, 182.5, 402.1, 806.1, 1670.8", \ + " 75.7, 111.1, 197.6, 411.0, 807.8, 1670.8", \ + " 95.1, 137.4, 222.4, 428.5, 816.8, 1670.8", \ + " 122.8, 170.6, 262.9, 460.5, 838.4, 1677.1", \ + " 161.7, 214.9, 322.2, 514.3, 879.4, 1699.9", \ + " 217.8, 277.1, 399.2, 602.0, 952.6, 1750.4", \ + " 300.8, 366.2, 503.7, 737.0, 1077.8, 1847.2" ); } +cell_fall(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.1, 25.8, 53.4, 114.8, 224.0, 455.7", \ + " 20.4, 33.0, 60.6, 122.2, 231.4, 463.2", \ + " 22.3, 37.8, 66.2, 127.8, 237.0, 468.8", \ + " 23.9, 42.3, 73.8, 135.3, 244.5, 476.4", \ + " 25.2, 47.4, 86.3, 148.7, 257.7, 489.5", \ + " 25.5, 51.8, 98.9, 168.0, 276.8, 508.4", \ + " 24.1, 55.3, 111.5, 195.4, 305.7, 537.0", \ + " 19.9, 56.7, 123.3, 224.6, 348.6, 579.1", \ + " 11.3, 54.6, 133.3, 254.4, 405.5, 643.1", \ + " -4.6, 46.3, 139.5, 283.4, 466.4, 741.0" ); } +fall_transition(x12_1560_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.9, 45.1, 113.8, 268.6, 543.8, 1128.7", \ + " 29.8, 51.4, 115.9, 268.6, 543.8, 1128.7", \ + " 37.5, 59.1, 120.6, 269.7, 543.8, 1128.7", \ + " 46.1, 70.6, 129.1, 273.9, 544.0, 1128.7", \ + " 60.1, 89.3, 146.9, 285.5, 548.5, 1128.7", \ + " 79.0, 112.4, 175.4, 307.2, 561.7, 1130.4", \ + " 105.6, 143.9, 217.4, 345.9, 589.8, 1143.4", \ + " 142.7, 186.7, 271.0, 408.5, 640.8, 1175.8", \ + " 196.1, 246.8, 343.3, 502.2, 729.1, 1241.3", \ + " 275.5, 332.5, 444.1, 627.1, 873.2, 1361.7" ); }} +} +} +cell(iv1v1x05) { /* 2008-01-06:07h19 characteristic delay 9.0 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 231 ; /* iv1v1x05 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v1x05 FO4 effort 1.02 logical effort 1.06 */ +direction : input ; +capacitance : 2.31 ; +rise_capacitance : 2.24 ; +fall_capacitance : 2.38 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 90 ; +max_fanout : 2 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v1x05 3.53 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 1.67, 1.75, 1.82, 1.85, 1.86", \ + " 1.62, 1.67, 1.74, 1.80, 1.82", \ + " 1.69, 1.69, 1.73, 1.78, 1.81", \ + " 1.83, 1.78, 1.77, 1.79, 1.81", \ + " 2.14, 2.01, 1.90, 1.85, 1.83", \ + " 2.67, 2.43, 2.18, 2.01, 1.92", \ + " 3.56, 3.18, 2.72, 2.36, 2.14", \ + " 4.95, 4.42, 3.69, 3.03, 2.59", \ + " 7.13, 6.44, 5.37, 4.28, 3.49", \ + " 10.52, 9.66, 8.19, 6.52, 5.18" ); }} +timing() { /* ring osc delay iv1v1x05, path a to z 29.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.0 ; */ +/* intrinsic_fall : 30.0 ; */ +/* rise_resistance : 4.93 ; */ +/* fall_resistance : 2.92 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.1, 34.6, 69.7, 147.6, 285.6, 578.7", \ + " 29.4, 42.4, 77.2, 155.3, 293.4, 586.6", \ + " 34.4, 48.9, 83.4, 161.2, 299.4, 592.6", \ + " 40.2, 57.2, 91.9, 169.4, 307.4, 600.6", \ + " 49.0, 68.9, 107.4, 184.1, 321.7, 614.7", \ + " 60.3, 83.0, 128.4, 205.8, 342.7, 635.2", \ + " 75.4, 101.6, 154.2, 239.4, 374.9, 666.4", \ + " 95.5, 125.8, 186.2, 286.3, 423.4, 713.0", \ + " 123.1, 158.4, 228.0, 344.2, 498.2, 784.6", \ + " 161.6, 203.2, 284.1, 418.0, 599.5, 895.9" ); } +rise_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.0, 76.8, 175.1, 396.2, 789.4, 1624.8", \ + " 48.4, 81.7, 176.1, 396.2, 789.4, 1624.8", \ + " 56.1, 88.0, 179.8, 396.4, 789.4, 1624.8", \ + " 67.3, 97.4, 186.6, 399.1, 789.4, 1624.8", \ + " 83.8, 115.5, 200.9, 407.8, 791.1, 1624.8", \ + " 103.2, 141.9, 224.3, 424.8, 800.2, 1624.8", \ + " 130.2, 174.1, 262.5, 455.6, 821.6, 1631.6", \ + " 168.0, 216.6, 319.1, 506.8, 861.4, 1654.6", \ + " 222.5, 275.9, 392.1, 590.0, 931.9, 1704.5", \ + " 302.4, 361.1, 490.6, 717.7, 1051.5, 1798.8" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.7, 22.4, 42.5, 87.3, 166.9, 335.9", \ + " 20.1, 29.7, 49.9, 94.8, 174.4, 343.4", \ + " 21.9, 33.8, 55.5, 100.4, 180.0, 349.0", \ + " 23.2, 37.4, 63.1, 108.0, 187.5, 356.6", \ + " 23.8, 41.2, 73.1, 121.3, 200.8, 369.7", \ + " 23.0, 43.8, 82.5, 140.3, 219.9, 388.7", \ + " 19.6, 44.4, 91.0, 161.8, 248.8, 417.3", \ + " 12.3, 41.8, 97.4, 183.0, 288.7, 459.5", \ + " -1.5, 33.5, 99.7, 202.5, 331.6, 523.6", \ + " -25.5, 15.7, 94.7, 217.9, 374.1, 609.8" ); } +fall_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.6, 38.6, 88.7, 201.6, 402.2, 828.7", \ + " 30.7, 46.2, 92.2, 201.9, 402.2, 828.7", \ + " 38.5, 54.3, 97.9, 204.5, 402.2, 828.7", \ + " 47.5, 66.2, 107.6, 210.5, 404.0, 828.7", \ + " 62.0, 83.9, 127.0, 224.6, 412.0, 829.1", \ + " 81.3, 106.4, 156.5, 249.6, 429.5, 836.1", \ + " 108.3, 137.4, 195.4, 291.9, 463.0, 856.3", \ + " 145.7, 179.7, 246.3, 356.8, 521.0, 897.9", \ + " 198.8, 238.7, 316.0, 443.8, 616.4, 975.2", \ + " 276.5, 322.6, 413.3, 560.9, 761.1, 1110.9" ); }} +} +} +cell(iv1v1x1) { /* 2008-01-06:07h19 characteristic delay 8.8 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 346 ; /* iv1v1x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v1x1 FO4 effort 1.01 logical effort 1.04 */ +direction : input ; +capacitance : 3.39 ; +rise_capacitance : 3.29 ; +fall_capacitance : 3.50 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v1x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 135 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v1x1 5.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.47, 2.59, 2.70, 2.75, 2.76", \ + " 2.40, 2.46, 2.58, 2.67, 2.71", \ + " 2.50, 2.50, 2.57, 2.65, 2.69", \ + " 2.71, 2.63, 2.62, 2.66, 2.69", \ + " 3.19, 2.99, 2.82, 2.74, 2.72", \ + " 3.99, 3.63, 3.25, 2.99, 2.86", \ + " 5.33, 4.77, 4.09, 3.53, 3.19", \ + " 7.42, 6.65, 5.56, 4.57, 3.89", \ + " 10.69, 9.69, 8.10, 6.48, 5.27", \ + " 15.79, 14.54, 12.38, 9.88, 7.87" ); }} +timing() { /* ring osc delay iv1v1x1, path a to z 28.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.8 ; */ +/* intrinsic_fall : 29.7 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 1.95 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.7, 33.6, 67.1, 141.3, 272.8, 552.0", \ + " 29.0, 41.4, 74.7, 149.0, 280.6, 559.9", \ + " 34.0, 48.0, 80.8, 155.0, 286.6, 565.8", \ + " 39.7, 56.1, 89.3, 163.1, 294.6, 573.8", \ + " 48.4, 67.6, 104.9, 177.9, 308.9, 588.0", \ + " 59.5, 81.5, 125.5, 199.6, 329.9, 608.4", \ + " 74.5, 99.8, 150.8, 233.3, 362.2, 639.7", \ + " 94.5, 123.8, 182.2, 279.2, 410.9, 686.4", \ + " 121.9, 156.1, 223.4, 335.9, 485.5, 758.2", \ + " 160.2, 200.4, 278.7, 408.3, 584.5, 869.8" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.0, 74.0, 167.6, 378.2, 752.6, 1548.2", \ + " 47.5, 79.1, 168.8, 378.2, 752.6, 1548.2", \ + " 55.3, 85.4, 172.6, 378.5, 752.6, 1548.2", \ + " 66.6, 95.0, 179.6, 381.4, 752.6, 1548.2", \ + " 82.7, 113.3, 194.1, 390.6, 754.8, 1548.2", \ + " 101.9, 139.3, 217.8, 408.0, 764.6, 1548.4", \ + " 128.9, 171.1, 256.3, 439.3, 786.7, 1556.4", \ + " 166.5, 213.2, 312.3, 491.2, 827.5, 1580.8", \ + " 220.9, 272.2, 384.2, 574.9, 899.1, 1632.3", \ + " 300.7, 356.9, 481.6, 701.4, 1020.0, 1728.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.6, 21.9, 41.1, 83.8, 159.6, 320.5", \ + " 19.8, 29.2, 48.4, 91.2, 167.0, 328.0", \ + " 21.5, 33.1, 54.1, 96.8, 172.6, 333.6", \ + " 22.7, 36.6, 61.5, 104.4, 180.2, 341.2", \ + " 23.3, 40.1, 71.2, 117.8, 193.4, 354.4", \ + " 22.3, 42.5, 80.1, 136.4, 212.6, 373.3", \ + " 18.8, 42.8, 88.1, 157.1, 241.5, 401.9", \ + " 11.3, 39.9, 93.8, 177.2, 280.3, 444.2", \ + " -2.6, 31.2, 95.5, 195.5, 321.2, 508.3", \ + " -26.8, 13.0, 89.6, 209.3, 361.5, 591.4" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.1, 37.2, 84.9, 192.4, 383.5, 789.6", \ + " 30.2, 44.8, 88.5, 192.8, 383.5, 789.6", \ + " 38.0, 53.1, 94.4, 195.6, 383.5, 789.6", \ + " 46.9, 64.9, 104.2, 201.8, 385.6, 789.6", \ + " 61.2, 82.3, 123.8, 216.3, 394.1, 790.3", \ + " 80.4, 104.6, 153.1, 241.6, 412.1, 798.1", \ + " 107.3, 135.4, 191.5, 284.4, 446.4, 819.3", \ + " 144.4, 177.3, 241.8, 348.8, 505.2, 862.2", \ + " 197.3, 235.9, 310.7, 434.6, 601.3, 941.1", \ + " 274.8, 319.3, 407.3, 550.2, 744.2, 1078.5" ); }} +} +} +cell(iv1v1x2) { /* 2008-01-06:07h19 characteristic delay 8.6 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 543 ; /* iv1v1x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v1x2 FO4 effort 0.99 logical effort 1.01 */ +direction : input ; +capacitance : 5.19 ; +rise_capacitance : 5.02 ; +fall_capacitance : 5.36 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v1x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 210 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v1x2 7.77 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 3.64, 3.84, 4.01, 4.08, 4.10", \ + " 3.54, 3.64, 3.82, 3.96, 4.01", \ + " 3.70, 3.71, 3.80, 3.92, 3.98", \ + " 4.04, 3.92, 3.89, 3.94, 3.98", \ + " 4.81, 4.48, 4.20, 4.08, 4.04", \ + " 6.09, 5.50, 4.88, 4.46, 4.26", \ + " 8.20, 7.29, 6.19, 5.31, 4.77", \ + " 11.50, 10.24, 8.50, 6.93, 5.86", \ + " 16.64, 15.01, 12.48, 9.91, 8.01", \ + " 24.64, 22.63, 19.18, 15.22, 12.06" ); }} +timing() { /* ring osc delay iv1v1x2, path a to z 27.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.2 ; */ +/* intrinsic_fall : 29.1 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 33.5, 67.9, 144.3, 279.5, 566.6", \ + " 28.5, 41.3, 75.5, 151.9, 287.3, 574.5", \ + " 33.4, 47.9, 81.6, 157.9, 293.2, 580.5", \ + " 39.1, 56.0, 90.1, 166.1, 301.3, 588.5", \ + " 47.8, 67.5, 105.7, 180.8, 315.6, 602.6", \ + " 58.8, 81.5, 126.5, 202.6, 336.6, 623.1", \ + " 73.9, 99.9, 152.1, 236.3, 368.8, 654.3", \ + " 93.9, 124.1, 183.8, 282.7, 417.5, 701.0", \ + " 121.4, 156.6, 225.5, 340.2, 492.4, 772.8", \ + " 160.1, 201.5, 281.5, 413.6, 592.8, 884.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.8, 73.8, 170.1, 386.7, 771.8, 1590.1", \ + " 46.4, 78.9, 171.2, 386.7, 771.8, 1590.1", \ + " 54.2, 85.2, 175.0, 386.9, 771.8, 1590.1", \ + " 65.6, 94.8, 181.8, 389.7, 771.8, 1590.1", \ + " 81.2, 113.0, 196.3, 398.6, 773.8, 1590.1", \ + " 100.2, 138.8, 219.8, 415.8, 783.2, 1590.2", \ + " 126.8, 170.5, 258.0, 446.8, 804.8, 1597.5", \ + " 164.2, 212.3, 314.0, 498.2, 845.0, 1621.1", \ + " 218.1, 271.0, 385.9, 581.4, 915.8, 1671.7", \ + " 297.6, 355.4, 483.3, 707.9, 1035.6, 1766.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.1, 21.5, 40.8, 84.0, 160.6, 323.2", \ + " 19.1, 28.7, 48.2, 91.4, 168.0, 330.7", \ + " 20.7, 32.6, 53.9, 97.0, 173.7, 336.3", \ + " 21.7, 35.9, 61.3, 104.6, 181.2, 343.9", \ + " 22.1, 39.4, 70.9, 118.0, 194.5, 357.1", \ + " 20.8, 41.5, 79.8, 136.7, 213.6, 376.0", \ + " 17.0, 41.7, 87.7, 157.4, 242.5, 404.6", \ + " 9.0, 38.3, 93.3, 177.5, 281.5, 446.9", \ + " -5.5, 29.2, 94.7, 195.8, 322.6, 511.0", \ + " -30.6, 10.4, 88.3, 209.5, 363.1, 594.5" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.3, 36.6, 84.6, 193.3, 386.4, 796.8", \ + " 29.7, 44.3, 88.4, 193.7, 386.4, 796.8", \ + " 37.1, 52.6, 94.3, 196.5, 386.4, 796.8", \ + " 45.9, 64.4, 104.1, 202.7, 388.5, 796.8", \ + " 60.1, 81.7, 123.8, 217.2, 396.9, 797.5", \ + " 79.1, 103.9, 153.0, 242.5, 414.9, 805.1", \ + " 105.8, 134.6, 191.5, 285.3, 449.1, 826.2", \ + " 142.7, 176.3, 241.8, 349.9, 507.8, 868.8", \ + " 195.2, 234.8, 310.8, 435.8, 603.9, 947.5", \ + " 272.4, 318.0, 407.3, 551.7, 747.3, 1084.8" ); }} +} +} +cell(iv1v1x4) { /* 2008-01-06:07h19 characteristic delay 8.5 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 1086 ; /* iv1v1x4 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v1x4 FO4 effort 0.95 logical effort 0.99 */ +direction : input ; +capacitance : 10.19 ; +rise_capacitance : 9.87 ; +fall_capacitance : 10.51 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v1x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 422 ; +max_fanout : 10 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v1x4 12.58 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 5.68, 6.13, 6.50, 6.66, 6.68", \ + " 5.57, 5.77, 6.13, 6.41, 6.51", \ + " 5.96, 5.93, 6.11, 6.35, 6.46", \ + " 6.72, 6.39, 6.29, 6.38, 6.46", \ + " 8.35, 7.56, 6.94, 6.67, 6.59", \ + " 11.03, 9.68, 8.33, 7.46, 7.02", \ + " 15.38, 13.37, 11.00, 9.17, 8.07", \ + " 22.11, 19.37, 15.68, 12.44, 10.27", \ + " 32.54, 29.04, 23.73, 18.45, 14.59", \ + " 48.67, 44.39, 37.24, 29.14, 22.72" ); }} +timing() { /* ring osc delay iv1v1x4, path a to z 24.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 36.8 ; */ +/* intrinsic_fall : 27.2 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.62 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.9, 31.2, 65.6, 142.0, 277.2, 564.3", \ + " 25.8, 39.1, 73.2, 149.7, 285.1, 572.3", \ + " 30.3, 45.8, 79.4, 155.7, 291.0, 578.2", \ + " 35.6, 53.4, 88.0, 163.8, 299.0, 586.2", \ + " 43.8, 64.5, 103.6, 178.6, 313.4, 600.4", \ + " 54.3, 78.0, 124.0, 200.4, 334.4, 620.8", \ + " 68.6, 96.0, 149.1, 234.1, 366.7, 652.1", \ + " 87.7, 119.5, 180.5, 280.3, 415.4, 698.8", \ + " 114.2, 151.4, 221.6, 337.3, 490.2, 770.6", \ + " 151.6, 195.3, 277.1, 410.3, 590.3, 882.2" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.0, 67.7, 164.0, 380.6, 765.7, 1584.1", \ + " 41.2, 73.3, 165.3, 380.6, 765.7, 1584.1", \ + " 49.4, 79.9, 169.2, 380.9, 765.7, 1584.1", \ + " 60.6, 89.6, 176.2, 383.8, 765.7, 1584.1", \ + " 74.4, 108.1, 190.8, 392.8, 767.8, 1584.1", \ + " 92.5, 132.9, 214.6, 410.2, 777.3, 1584.2", \ + " 118.5, 163.6, 253.0, 441.3, 799.1, 1591.6", \ + " 155.1, 204.7, 308.3, 492.9, 839.4, 1615.3", \ + " 208.2, 262.7, 379.4, 576.2, 910.4, 1665.9", \ + " 287.3, 346.1, 475.9, 702.3, 1030.4, 1761.0" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 12.7, 20.2, 39.5, 82.7, 159.2, 321.9", \ + " 16.9, 27.2, 46.9, 90.1, 166.7, 329.4", \ + " 18.0, 30.7, 52.6, 95.7, 172.3, 335.0", \ + " 18.6, 33.7, 59.9, 103.3, 179.9, 342.6", \ + " 18.3, 36.7, 69.1, 116.7, 193.1, 355.7", \ + " 16.4, 38.4, 77.6, 135.3, 212.3, 374.7", \ + " 11.7, 37.9, 85.1, 155.7, 241.3, 403.3", \ + " 2.8, 33.9, 90.2, 175.4, 280.0, 445.6", \ + " -12.8, 23.9, 91.0, 193.3, 320.8, 509.7", \ + " -39.1, 4.1, 84.0, 206.6, 360.9, 593.0" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 16.6, 33.7, 81.6, 190.2, 383.4, 793.8", \ + " 27.2, 41.8, 85.6, 190.7, 383.4, 793.8", \ + " 33.9, 50.3, 91.6, 193.6, 383.4, 793.8", \ + " 42.3, 61.6, 101.6, 199.9, 385.6, 793.8", \ + " 55.9, 78.5, 121.4, 214.6, 394.1, 794.5", \ + " 74.2, 100.3, 150.4, 240.0, 412.2, 802.2", \ + " 100.1, 130.4, 188.4, 282.9, 446.5, 823.4", \ + " 136.0, 171.5, 238.3, 347.3, 505.4, 866.1", \ + " 187.6, 229.0, 306.8, 432.8, 601.6, 945.0", \ + " 264.4, 311.2, 402.6, 548.2, 744.7, 1082.4" ); }} +} +} +cell(iv1v1x8) { /* 2008-01-06:07h19 characteristic delay 8.5 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1998 ; /* iv1v1x8 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v1x8 FO4 effort 0.95 logical effort 0.99 */ +direction : input ; +capacitance : 18.76 ; +rise_capacitance : 18.17 ; +fall_capacitance : 19.34 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v1x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 784 ; +max_fanout : 20 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v1x8 23.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 10.56, 11.42, 12.08, 12.36, 12.38", \ + " 10.31, 10.72, 11.41, 11.91, 12.09", \ + " 11.00, 10.97, 11.36, 11.80, 12.00", \ + " 12.36, 11.77, 11.64, 11.85, 11.99", \ + " 15.32, 13.85, 12.76, 12.33, 12.20", \ + " 20.19, 17.64, 15.20, 13.67, 12.93", \ + " 28.15, 24.30, 19.93, 16.65, 14.73", \ + " 40.48, 35.19, 28.30, 22.41, 18.54", \ + " 59.61, 52.81, 42.77, 33.08, 26.13", \ + " 89.24, 80.88, 67.19, 52.17, 40.50" ); }} +timing() { /* ring osc delay iv1v1x8, path a to z 24.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 36.9 ; */ +/* intrinsic_fall : 27.9 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.34 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.2, 32.6, 69.6, 151.8, 297.4, 606.6", \ + " 26.2, 40.4, 77.2, 159.5, 305.2, 614.5", \ + " 30.7, 47.0, 83.3, 165.4, 311.2, 620.4", \ + " 36.0, 54.9, 91.8, 173.6, 319.2, 628.4", \ + " 44.2, 66.2, 107.3, 188.3, 333.5, 642.6", \ + " 54.7, 79.9, 128.3, 209.9, 354.4, 663.0", \ + " 68.9, 98.0, 154.1, 243.5, 386.5, 694.1", \ + " 87.9, 121.7, 186.1, 290.9, 434.9, 740.7", \ + " 114.1, 153.6, 227.8, 349.6, 509.5, 812.1", \ + " 151.1, 197.4, 283.8, 424.2, 613.0, 923.0" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.9, 71.3, 175.0, 408.3, 823.0, 1704.3", \ + " 42.0, 76.7, 176.1, 408.3, 823.0, 1704.3", \ + " 50.1, 83.1, 179.8, 408.4, 823.0, 1704.3", \ + " 61.6, 92.8, 186.5, 410.9, 823.0, 1704.3", \ + " 75.6, 111.2, 200.8, 419.4, 824.4, 1704.3", \ + " 93.9, 136.7, 224.3, 436.1, 832.9, 1704.3", \ + " 120.1, 168.2, 262.5, 466.5, 853.6, 1709.9", \ + " 157.0, 210.0, 319.2, 517.5, 892.7, 1731.6", \ + " 210.5, 268.7, 392.2, 600.4, 962.2, 1779.9", \ + " 290.0, 353.1, 490.8, 728.7, 1080.8, 1872.2" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 13.1, 21.3, 42.6, 90.1, 174.5, 353.6", \ + " 17.5, 28.5, 50.0, 97.6, 182.0, 361.1", \ + " 18.8, 32.3, 55.6, 103.2, 187.6, 366.8", \ + " 19.5, 35.6, 63.2, 110.8, 195.1, 374.3", \ + " 19.5, 39.0, 73.3, 124.1, 208.4, 387.5", \ + " 17.8, 41.2, 82.7, 143.3, 227.4, 406.4", \ + " 13.5, 41.4, 91.3, 165.5, 256.4, 435.0", \ + " 5.1, 38.2, 97.7, 187.5, 297.2, 477.2", \ + " -9.8, 29.3, 100.2, 208.0, 342.0, 541.2", \ + " -35.1, 10.9, 95.4, 224.5, 386.9, 630.4" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 17.2, 36.1, 89.1, 208.7, 421.5, 873.5", \ + " 27.9, 43.9, 92.6, 209.0, 421.5, 873.5", \ + " 34.7, 52.2, 98.3, 211.5, 421.5, 873.5", \ + " 43.1, 64.0, 107.9, 217.2, 422.9, 873.5", \ + " 56.9, 81.1, 127.4, 231.1, 430.5, 873.7", \ + " 75.3, 103.3, 156.8, 255.7, 447.3, 879.8", \ + " 101.4, 133.9, 195.9, 297.8, 480.1, 898.9", \ + " 137.6, 175.6, 246.9, 362.9, 537.2, 939.1", \ + " 189.5, 233.9, 316.6, 450.9, 632.0, 1014.6", \ + " 266.6, 316.9, 414.0, 569.1, 777.9, 1148.3" ); }} +} +} +cell(iv1v2x2) { /* 2008-01-06:07h19 characteristic delay 8.6 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 450 ; /* iv1v2x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v2x2 FO4 effort 1.00 logical effort 1.00 */ +direction : input ; +capacitance : 4.19 ; +rise_capacitance : 4.11 ; +fall_capacitance : 4.27 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v2x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 201 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v2x2 6.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 3.03, 3.20, 3.32, 3.36, 3.36", \ + " 2.91, 3.02, 3.18, 3.28, 3.30", \ + " 3.02, 3.05, 3.16, 3.25, 3.29", \ + " 3.26, 3.18, 3.20, 3.26, 3.28", \ + " 3.83, 3.57, 3.39, 3.34, 3.32", \ + " 4.80, 4.31, 3.84, 3.58, 3.45", \ + " 6.44, 5.64, 4.77, 4.13, 3.78", \ + " 9.01, 7.86, 6.43, 5.25, 4.49", \ + " 13.06, 11.52, 9.35, 7.36, 5.96", \ + " 19.37, 17.44, 14.36, 11.19, 8.78" ); }} +timing() { /* ring osc delay iv1v2x2, path a to z 27.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 37.0 ; */ +/* intrinsic_fall : 33.7 ; */ +/* rise_resistance : 2.19 ; */ +/* fall_resistance : 1.91 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.7, 32.3, 67.7, 146.7, 286.8, 584.5", \ + " 26.5, 40.2, 75.5, 154.6, 294.8, 592.5", \ + " 30.9, 46.6, 81.6, 160.6, 300.8, 598.5", \ + " 35.7, 54.2, 90.0, 168.7, 308.8, 606.5", \ + " 42.9, 64.7, 105.2, 183.2, 323.1, 620.7", \ + " 51.7, 77.0, 125.1, 204.6, 343.8, 641.0", \ + " 63.0, 92.5, 148.9, 237.4, 375.5, 671.9", \ + " 77.4, 111.8, 177.4, 282.3, 422.9, 717.9", \ + " 96.2, 136.7, 213.2, 336.3, 495.7, 788.1", \ + " 121.4, 169.3, 259.1, 402.8, 592.6, 896.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.5, 72.5, 172.3, 396.9, 796.3, 1645.0", \ + " 44.8, 78.0, 173.5, 396.9, 796.3, 1645.0", \ + " 53.4, 84.7, 177.4, 397.2, 796.3, 1645.0", \ + " 65.9, 95.0, 184.5, 400.0, 796.3, 1645.0", \ + " 81.8, 114.8, 199.8, 409.0, 798.1, 1645.0", \ + " 102.4, 142.4, 225.0, 426.8, 807.5, 1645.0", \ + " 131.5, 177.0, 266.2, 459.4, 829.5, 1651.8", \ + " 172.1, 223.1, 327.0, 514.2, 871.3, 1675.3", \ + " 230.2, 287.5, 406.1, 603.8, 946.0, 1727.0", \ + " 315.1, 379.4, 513.6, 741.6, 1074.1, 1825.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.0, 29.8, 60.4, 128.7, 249.9, 507.5", \ + " 24.2, 36.9, 67.6, 136.1, 257.4, 514.9", \ + " 26.9, 42.3, 73.2, 141.6, 263.0, 520.6", \ + " 29.3, 47.7, 80.7, 149.1, 270.5, 528.1", \ + " 31.9, 54.1, 94.0, 162.4, 283.7, 541.2", \ + " 33.8, 60.1, 108.4, 181.7, 302.7, 560.1", \ + " 34.6, 65.5, 123.3, 210.5, 331.5, 588.6", \ + " 33.3, 69.7, 137.9, 243.3, 374.4, 630.8", \ + " 28.6, 71.5, 151.8, 277.7, 435.7, 694.7", \ + " 18.3, 68.6, 163.4, 312.7, 504.1, 792.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 25.2, 53.7, 130.1, 302.1, 607.9, 1257.7", \ + " 33.9, 58.9, 131.5, 302.1, 607.9, 1257.7", \ + " 42.8, 66.0, 135.7, 302.6, 607.9, 1257.7", \ + " 52.0, 76.9, 143.5, 306.0, 607.9, 1257.7", \ + " 66.8, 96.8, 160.3, 316.5, 610.9, 1257.7", \ + " 86.4, 120.8, 187.9, 336.8, 622.5, 1258.3", \ + " 114.0, 153.3, 230.8, 373.6, 648.3, 1268.5", \ + " 152.3, 197.1, 286.1, 434.4, 696.5, 1297.4", \ + " 207.1, 258.6, 360.1, 529.1, 781.3, 1358.3", \ + " 287.6, 346.1, 462.5, 657.4, 922.2, 1472.7" ); }} +} +} +cell(iv1v3x1) { /* 2008-01-06:07h19 characteristic delay 9.1 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 462 ; /* iv1v3x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v3x1 FO4 effort 1.02 logical effort 1.08 */ +direction : input ; +capacitance : 4.22 ; +rise_capacitance : 4.03 ; +fall_capacitance : 4.40 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v3x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 143 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v3x1 6.38 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 2.98, 3.13, 3.27, 3.35, 3.37", \ + " 2.91, 2.98, 3.11, 3.23, 3.29", \ + " 3.05, 3.05, 3.11, 3.20, 3.26", \ + " 3.33, 3.23, 3.19, 3.22, 3.26", \ + " 3.95, 3.70, 3.47, 3.35, 3.31", \ + " 4.98, 4.54, 4.04, 3.69, 3.50", \ + " 6.66, 6.00, 5.14, 4.41, 3.96", \ + " 9.28, 8.37, 7.05, 5.78, 4.90", \ + " 13.37, 12.19, 10.30, 8.28, 6.73", \ + " 19.73, 18.26, 15.72, 12.68, 10.13" ); }} +timing() { /* ring osc delay iv1v3x1, path a to z 28.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.4 ; */ +/* intrinsic_fall : 25.4 ; */ +/* rise_resistance : 3.11 ; */ +/* fall_resistance : 1.27 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.2, 37.9, 76.2, 160.7, 310.3, 627.7", \ + " 31.6, 45.6, 83.5, 168.2, 317.9, 635.5", \ + " 37.5, 52.3, 89.6, 174.0, 323.8, 641.4", \ + " 44.0, 61.3, 98.2, 182.2, 331.8, 649.3", \ + " 54.3, 74.4, 114.1, 197.0, 346.1, 663.4", \ + " 67.7, 90.5, 136.7, 219.2, 367.2, 684.0", \ + " 86.3, 112.2, 165.5, 253.6, 400.0, 715.4", \ + " 111.7, 141.3, 201.9, 303.8, 449.6, 762.8", \ + " 147.4, 181.6, 250.5, 368.2, 526.3, 835.8", \ + " 198.4, 238.3, 317.5, 451.9, 636.9, 949.7" ); } +rise_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.8, 83.7, 190.1, 429.5, 855.2, 1759.7", \ + " 51.1, 87.8, 190.7, 429.5, 855.2, 1759.7", \ + " 58.0, 93.5, 193.9, 429.5, 855.2, 1759.7", \ + " 67.9, 102.0, 200.0, 431.5, 855.2, 1759.7", \ + " 84.2, 118.2, 212.9, 439.2, 856.1, 1759.7", \ + " 101.8, 143.0, 233.9, 454.5, 863.7, 1759.7", \ + " 126.3, 172.8, 268.2, 482.1, 882.7, 1764.2", \ + " 160.4, 211.6, 320.6, 528.1, 918.6, 1783.9", \ + " 210.0, 265.2, 388.4, 602.9, 981.8, 1828.5", \ + " 283.1, 342.5, 478.4, 720.1, 1089.2, 1913.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 12.0, 17.5, 32.0, 64.3, 121.8, 243.8", \ + " 15.9, 24.2, 39.5, 71.8, 129.3, 251.3", \ + " 16.8, 27.0, 45.2, 77.5, 134.9, 256.9", \ + " 16.9, 29.2, 51.2, 85.1, 142.5, 264.4", \ + " 15.6, 30.7, 58.2, 98.5, 155.8, 277.7", \ + " 11.9, 30.2, 63.9, 113.7, 174.9, 296.6", \ + " 4.3, 26.5, 67.4, 128.6, 202.8, 325.3", \ + " -9.0, 17.4, 66.8, 141.4, 232.6, 367.6", \ + " -31.7, -0.2, 59.2, 149.7, 261.4, 426.6", \ + " -69.0, -31.7, 39.6, 149.1, 285.5, 489.1" ); } +fall_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 16.5, 29.3, 64.8, 146.3, 291.1, 598.9", \ + " 27.5, 38.3, 70.3, 147.8, 291.1, 598.9", \ + " 34.3, 47.4, 77.3, 152.0, 292.1, 598.9", \ + " 42.8, 57.9, 88.3, 159.7, 296.2, 598.9", \ + " 56.7, 74.3, 109.3, 176.6, 307.6, 602.7", \ + " 75.0, 95.6, 136.3, 204.6, 329.2, 615.0", \ + " 100.8, 125.1, 172.4, 249.8, 368.2, 642.1", \ + " 136.2, 165.1, 220.3, 310.3, 432.1, 692.6", \ + " 186.5, 221.0, 286.1, 390.7, 530.7, 781.4", \ + " 259.8, 300.3, 378.2, 500.4, 663.8, 929.0" ); }} +} +} +cell(iv1v3x3) { /* 2008-01-06:07h19 characteristic delay 9.1 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 924 ; /* iv1v3x3 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v3x3 FO4 effort 0.98 logical effort 1.08 */ +direction : input ; +capacitance : 8.86 ; +rise_capacitance : 8.47 ; +fall_capacitance : 9.24 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v3x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 304 ; +max_fanout : 8 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v3x3 10.95 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 4.94, 5.29, 5.63, 5.81, 5.86", \ + " 4.87, 5.01, 5.29, 5.55, 5.68", \ + " 5.22, 5.17, 5.29, 5.49, 5.63", \ + " 5.87, 5.60, 5.48, 5.53, 5.62", \ + " 7.26, 6.64, 6.10, 5.82, 5.74", \ + " 9.52, 8.49, 7.37, 6.57, 6.16", \ + " 13.18, 11.68, 9.76, 8.16, 7.16", \ + " 18.81, 16.80, 13.89, 11.14, 9.22", \ + " 27.54, 24.96, 20.89, 16.52, 13.18", \ + " 41.05, 37.88, 32.47, 25.98, 20.51" ); }} +timing() { /* ring osc delay iv1v3x3, path a to z 25.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.6 ; */ +/* intrinsic_fall : 23.4 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 0.61 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 34.3, 70.8, 151.2, 293.3, 594.8", \ + " 28.7, 42.1, 78.1, 158.6, 300.9, 602.6", \ + " 33.8, 48.9, 84.2, 164.5, 306.8, 608.5", \ + " 39.9, 57.4, 92.9, 172.6, 314.7, 616.5", \ + " 49.6, 69.8, 108.9, 187.5, 329.1, 630.6", \ + " 62.4, 85.3, 130.9, 209.8, 350.3, 651.1", \ + " 80.3, 106.3, 158.7, 244.4, 383.2, 682.7", \ + " 104.7, 134.6, 194.1, 293.7, 433.0, 730.2", \ + " 139.2, 173.9, 241.7, 356.3, 510.0, 803.5", \ + " 188.9, 229.4, 307.4, 438.2, 618.1, 917.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.0, 73.8, 174.9, 402.4, 806.7, 1666.0", \ + " 44.2, 78.6, 175.7, 402.4, 806.7, 1666.0", \ + " 51.4, 84.5, 179.3, 402.4, 806.7, 1666.0", \ + " 62.0, 93.3, 185.7, 404.8, 806.7, 1666.0", \ + " 75.8, 109.8, 199.0, 413.0, 808.1, 1666.0", \ + " 92.4, 133.7, 220.5, 428.9, 816.5, 1666.0", \ + " 115.9, 162.1, 255.2, 457.2, 836.4, 1671.8", \ + " 149.4, 199.6, 306.8, 504.0, 873.3, 1693.1", \ + " 198.1, 252.2, 372.4, 579.4, 937.8, 1739.4", \ + " 271.0, 328.4, 460.5, 695.8, 1046.5, 1826.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 10.9, 16.2, 29.9, 60.7, 115.2, 231.1", \ + " 13.8, 22.4, 37.4, 68.2, 122.7, 238.6", \ + " 14.2, 24.8, 43.0, 73.8, 128.4, 244.3", \ + " 13.8, 26.5, 48.6, 81.4, 135.9, 251.8", \ + " 11.8, 27.4, 54.9, 94.7, 149.2, 265.0", \ + " 7.3, 26.2, 59.8, 108.9, 168.4, 284.0", \ + " -1.1, 21.6, 62.4, 122.8, 195.5, 312.7", \ + " -15.5, 11.6, 60.8, 134.2, 223.7, 355.0", \ + " -39.3, -7.1, 52.0, 141.0, 250.4, 412.0", \ + " -77.9, -40.0, 30.8, 138.5, 272.0, 471.1" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 14.3, 26.2, 59.6, 137.0, 274.6, 567.0", \ + " 24.8, 35.7, 65.6, 138.8, 274.6, 567.0", \ + " 31.3, 44.6, 72.8, 143.3, 275.9, 567.0", \ + " 39.3, 54.5, 84.0, 151.3, 280.3, 567.1", \ + " 52.5, 70.3, 104.9, 168.6, 292.3, 571.6", \ + " 70.1, 91.0, 131.2, 197.1, 314.5, 584.7", \ + " 94.9, 119.7, 166.5, 242.2, 354.3, 612.9", \ + " 129.2, 158.8, 213.4, 301.4, 418.8, 664.6", \ + " 178.4, 213.4, 278.1, 380.2, 516.4, 754.9", \ + " 250.8, 291.2, 368.6, 488.3, 647.2, 903.5" ); }} +} +} +cell(iv1v3x6) { /* 2008-01-06:07h19 characteristic delay 9.1 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1848 ; /* iv1v3x6 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v3x6 FO4 effort 0.98 logical effort 1.08 */ +direction : input ; +capacitance : 17.67 ; +rise_capacitance : 16.91 ; +fall_capacitance : 18.43 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of iv1v3x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 609 ; +max_fanout : 15 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v3x6 21.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 9.55, 10.26, 10.95, 11.30, 11.40", \ + " 9.43, 9.70, 10.26, 10.78, 11.04", \ + " 10.14, 10.04, 10.26, 10.67, 10.93", \ + " 11.45, 10.90, 10.64, 10.75, 10.92", \ + " 14.26, 13.00, 11.89, 11.33, 11.16", \ + " 18.80, 16.72, 14.44, 12.84, 12.00", \ + " 26.14, 23.11, 19.23, 16.03, 14.02", \ + " 37.44, 33.36, 27.51, 21.99, 18.13", \ + " 54.93, 49.73, 41.53, 32.75, 26.07", \ + " 81.97, 75.59, 64.71, 51.69, 40.74" ); }} +timing() { /* ring osc delay iv1v3x6, path a to z 25.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.3 ; */ +/* intrinsic_fall : 23.1 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.31 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 34.0, 70.4, 150.8, 292.9, 594.5", \ + " 28.3, 41.8, 77.7, 158.2, 300.6, 602.3", \ + " 33.4, 48.6, 83.9, 164.1, 306.4, 608.2", \ + " 39.5, 57.0, 92.6, 172.3, 314.4, 616.1", \ + " 49.1, 69.4, 108.6, 187.2, 328.8, 630.2", \ + " 61.8, 84.8, 130.6, 209.5, 350.0, 650.8", \ + " 79.5, 105.7, 158.3, 244.1, 382.9, 682.3", \ + " 103.9, 133.9, 193.6, 293.3, 432.7, 729.8", \ + " 138.3, 173.1, 241.1, 355.9, 509.7, 803.1", \ + " 187.8, 228.5, 306.8, 437.8, 617.7, 917.5" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.1, 72.9, 174.0, 401.5, 805.8, 1665.1", \ + " 43.4, 77.8, 174.9, 401.5, 805.8, 1665.1", \ + " 50.7, 83.7, 178.5, 401.5, 805.8, 1665.1", \ + " 61.4, 92.5, 184.9, 404.0, 805.8, 1665.1", \ + " 74.8, 109.1, 198.2, 412.2, 807.2, 1665.1", \ + " 91.2, 132.8, 219.7, 428.1, 815.7, 1665.1", \ + " 114.7, 161.1, 254.4, 456.4, 835.6, 1670.9", \ + " 148.1, 198.5, 306.0, 503.2, 872.5, 1692.2", \ + " 196.8, 251.0, 371.5, 578.7, 937.0, 1738.5", \ + " 269.6, 327.1, 459.4, 695.0, 1045.7, 1825.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 10.8, 16.0, 29.8, 60.5, 115.1, 231.0", \ + " 13.6, 22.2, 37.3, 68.0, 122.6, 238.5", \ + " 13.9, 24.6, 42.8, 73.7, 128.2, 244.1", \ + " 13.4, 26.2, 48.4, 81.3, 135.8, 251.7", \ + " 11.3, 27.1, 54.7, 94.5, 149.1, 264.9", \ + " 6.8, 25.8, 59.5, 108.8, 168.3, 283.9", \ + " -1.8, 21.1, 62.1, 122.5, 195.4, 312.5", \ + " -16.3, 11.0, 60.4, 133.9, 223.5, 354.9", \ + " -40.2, -7.8, 51.5, 140.6, 250.2, 411.8", \ + " -79.0, -40.8, 30.3, 138.2, 271.7, 470.9" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 14.1, 25.9, 59.3, 136.7, 274.3, 566.7", \ + " 24.6, 35.4, 65.3, 138.5, 274.3, 566.7", \ + " 30.9, 44.3, 72.6, 143.0, 275.6, 566.7", \ + " 38.8, 54.2, 83.7, 151.0, 280.1, 566.9", \ + " 52.1, 70.0, 104.7, 168.4, 292.0, 571.3", \ + " 69.6, 90.6, 131.0, 196.9, 314.3, 584.4", \ + " 94.2, 119.3, 166.1, 241.9, 354.0, 612.6", \ + " 128.4, 158.2, 213.0, 301.1, 418.6, 664.3", \ + " 177.4, 212.7, 277.6, 379.9, 516.2, 754.7", \ + " 249.7, 290.4, 368.1, 487.9, 646.9, 903.3" ); }} +} +} +cell(iv1v4x1) { /* 2008-01-06:07h19 characteristic delay 10.4 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 346 ; /* iv1v4x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x1 FO4 effort 1.14 logical effort 1.21 */ +direction : input ; +capacitance : 3.30 ; +rise_capacitance : 3.31 ; +fall_capacitance : 3.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x1 5.15 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.50, 2.62, 2.72, 2.75, 2.76", \ + " 2.37, 2.46, 2.59, 2.68, 2.71", \ + " 2.41, 2.46, 2.57, 2.66, 2.69", \ + " 2.55, 2.53, 2.57, 2.65, 2.68", \ + " 2.90, 2.77, 2.69, 2.69, 2.70", \ + " 3.50, 3.23, 2.97, 2.83, 2.77", \ + " 4.53, 4.08, 3.57, 3.19, 2.99", \ + " 6.17, 5.51, 4.66, 3.93, 3.46", \ + " 8.78, 7.87, 6.57, 5.33, 4.43", \ + " 12.88, 11.71, 9.83, 7.86, 6.32" ); }} +timing() { /* ring osc delay iv1v4x1, path a to z 34.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 34.9 ; */ +/* intrinsic_fall : 41.2 ; */ +/* rise_resistance : 2.49 ; */ +/* fall_resistance : 3.81 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.6, 26.1, 51.0, 106.5, 205.1, 414.4", \ + " 23.8, 34.2, 58.9, 114.5, 213.1, 422.4", \ + " 27.3, 40.0, 65.1, 120.5, 219.1, 428.4", \ + " 30.9, 45.9, 73.5, 128.7, 227.2, 436.4", \ + " 35.9, 53.8, 87.4, 143.2, 241.4, 450.6", \ + " 41.3, 62.5, 102.7, 164.4, 262.1, 470.9", \ + " 47.3, 72.4, 120.3, 194.6, 293.6, 501.8", \ + " 53.6, 83.4, 140.0, 228.9, 340.7, 547.6", \ + " 60.1, 95.6, 162.8, 268.4, 403.4, 617.3", \ + " 66.6, 108.7, 188.7, 314.2, 476.1, 724.3" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.1, 56.7, 126.9, 284.8, 565.6, 1162.3", \ + " 41.4, 63.8, 129.6, 284.8, 565.6, 1162.3", \ + " 51.2, 71.7, 134.8, 286.6, 565.6, 1162.3", \ + " 63.4, 83.4, 143.4, 291.5, 566.3, 1162.3", \ + " 80.1, 105.8, 161.5, 303.7, 572.1, 1162.3", \ + " 102.2, 132.0, 190.6, 325.8, 586.4, 1165.9", \ + " 133.3, 167.4, 236.5, 364.8, 615.3, 1181.2", \ + " 176.4, 215.5, 295.3, 428.3, 666.8, 1215.6", \ + " 237.5, 283.0, 374.6, 527.6, 755.6, 1282.3", \ + " 326.1, 378.8, 485.0, 662.6, 902.2, 1403.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.6, 40.5, 79.1, 164.6, 316.2, 638.2", \ + " 32.2, 47.1, 85.8, 171.7, 323.5, 645.6", \ + " 36.6, 52.5, 91.2, 177.1, 329.0, 651.1", \ + " 40.8, 59.8, 98.6, 184.5, 336.4, 658.6", \ + " 46.3, 69.1, 112.1, 197.6, 349.5, 671.7", \ + " 52.2, 78.9, 130.5, 216.9, 368.4, 690.5", \ + " 59.1, 90.0, 151.1, 246.5, 397.3, 719.0", \ + " 66.8, 102.5, 173.6, 287.5, 440.5, 761.2", \ + " 75.5, 116.9, 199.1, 334.0, 506.6, 825.5", \ + " 85.1, 133.4, 228.8, 386.3, 594.0, 924.7" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.8, 72.6, 168.1, 383.1, 765.4, 1577.7", \ + " 42.6, 75.4, 168.2, 383.1, 765.4, 1577.7", \ + " 50.2, 81.2, 171.0, 383.1, 765.4, 1577.7", \ + " 61.5, 90.8, 177.2, 384.5, 765.4, 1577.7", \ + " 76.8, 109.5, 191.6, 392.1, 765.9, 1577.7", \ + " 96.3, 135.2, 216.1, 408.9, 773.3, 1577.7", \ + " 123.7, 167.8, 256.3, 440.9, 793.8, 1581.5", \ + " 162.0, 211.0, 313.4, 495.4, 834.9, 1602.1", \ + " 217.0, 271.5, 387.3, 583.6, 909.5, 1652.0", \ + " 298.1, 358.3, 487.7, 714.1, 1037.3, 1750.7" ); }} +} +} +cell(iv1v4x2) { /* 2008-01-06:07h19 characteristic delay 10.2 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 462 ; /* iv1v4x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x2 FO4 effort 1.10 logical effort 1.19 */ +direction : input ; +capacitance : 4.33 ; +rise_capacitance : 4.33 ; +fall_capacitance : 4.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 237 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x2 5.75 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 2.74, 2.93, 3.05, 3.09, 3.09", \ + " 2.57, 2.72, 2.91, 3.01, 3.03", \ + " 2.65, 2.72, 2.87, 2.98, 3.01", \ + " 2.85, 2.80, 2.87, 2.97, 3.00", \ + " 3.33, 3.11, 3.00, 3.01, 3.02", \ + " 4.15, 3.72, 3.36, 3.18, 3.11", \ + " 5.55, 4.83, 4.10, 3.61, 3.35", \ + " 7.77, 6.71, 5.48, 4.51, 3.91", \ + " 11.28, 9.82, 7.93, 6.24, 5.09", \ + " 16.78, 14.90, 12.13, 9.43, 7.40" ); }} +timing() { /* ring osc delay iv1v4x2, path a to z 31.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 33.7 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 1.86 ; */ +/* fall_resistance : 2.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.8, 27.2, 57.0, 123.6, 241.8, 493.0", \ + " 22.7, 35.2, 64.9, 131.5, 249.8, 501.0", \ + " 26.0, 41.2, 71.0, 137.6, 255.8, 507.0", \ + " 29.4, 47.3, 79.4, 145.7, 263.9, 515.0", \ + " 34.1, 55.6, 94.0, 160.2, 278.1, 529.1", \ + " 39.2, 64.6, 110.7, 181.2, 298.7, 549.4", \ + " 44.7, 74.9, 129.9, 213.0, 330.0, 580.2", \ + " 50.6, 86.4, 151.4, 251.2, 376.8, 625.7", \ + " 56.6, 99.1, 176.3, 295.1, 445.0, 695.0", \ + " 62.4, 112.9, 204.8, 346.1, 526.5, 801.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 28.9, 59.5, 143.7, 333.3, 670.2, 1386.3", \ + " 39.5, 66.4, 145.9, 333.3, 670.2, 1386.3", \ + " 49.6, 74.0, 150.6, 334.3, 670.2, 1386.3", \ + " 60.9, 85.6, 158.7, 338.2, 670.3, 1386.3", \ + " 77.1, 108.1, 176.0, 349.1, 674.2, 1386.3", \ + " 98.8, 134.7, 204.2, 369.6, 686.3, 1387.5", \ + " 129.4, 170.6, 250.2, 406.4, 712.3, 1398.7", \ + " 171.8, 219.0, 311.5, 467.7, 760.1, 1428.2", \ + " 232.2, 287.0, 393.4, 566.7, 844.4, 1488.6", \ + " 320.0, 383.5, 506.6, 708.7, 986.6, 1601.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.9, 41.9, 88.0, 190.5, 372.4, 758.8", \ + " 30.6, 48.4, 94.9, 197.7, 379.8, 766.2", \ + " 34.6, 53.9, 100.2, 203.2, 385.3, 771.8", \ + " 38.4, 61.3, 107.6, 210.5, 392.7, 779.2", \ + " 43.5, 71.1, 121.0, 223.6, 405.8, 792.3", \ + " 49.1, 81.2, 140.3, 242.8, 424.7, 811.1", \ + " 55.5, 92.7, 163.1, 272.2, 453.4, 839.5", \ + " 62.7, 105.7, 187.8, 315.7, 496.4, 881.6", \ + " 70.8, 120.6, 215.7, 368.0, 562.0, 945.6", \ + " 79.7, 137.7, 248.0, 426.8, 658.2, 1044.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.7, 76.7, 191.3, 449.3, 908.0, 1882.7", \ + " 40.0, 79.3, 191.3, 449.3, 908.0, 1882.7", \ + " 47.8, 85.0, 193.4, 449.3, 908.0, 1882.7", \ + " 58.9, 94.4, 199.0, 449.8, 908.0, 1882.7", \ + " 73.6, 113.0, 212.6, 455.8, 908.0, 1882.7", \ + " 92.7, 139.3, 236.2, 470.8, 912.5, 1882.7", \ + " 119.8, 172.5, 275.6, 500.5, 929.6, 1883.6", \ + " 157.7, 216.3, 334.8, 552.4, 966.6, 1898.1", \ + " 212.3, 277.5, 412.0, 638.8, 1036.1, 1940.4", \ + " 293.3, 365.0, 515.7, 773.6, 1158.6, 2029.8" ); }} +} +} +cell(iv1v4x3) { /* 2008-01-06:07h19 characteristic delay 9.9 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 693 ; /* iv1v4x3 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x3 FO4 effort 1.08 logical effort 1.15 */ +direction : input ; +capacitance : 6.22 ; +rise_capacitance : 6.22 ; +fall_capacitance : 6.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 348 ; +max_fanout : 8 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x3 8.30 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 3.93, 4.22, 4.40, 4.46, 4.45", \ + " 3.70, 3.92, 4.19, 4.33, 4.36", \ + " 3.82, 3.92, 4.13, 4.29, 4.34", \ + " 4.13, 4.05, 4.15, 4.27, 4.32", \ + " 4.84, 4.51, 4.34, 4.34, 4.35", \ + " 6.08, 5.42, 4.87, 4.60, 4.48", \ + " 8.16, 7.08, 5.98, 5.24, 4.85", \ + " 11.48, 9.87, 8.03, 6.56, 5.68", \ + " 16.71, 14.50, 11.65, 9.13, 7.42", \ + " 24.88, 22.06, 17.90, 13.86, 10.84" ); }} +timing() { /* ring osc delay iv1v4x3, path a to z 30.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 33.5 ; */ +/* intrinsic_fall : 38.3 ; */ +/* rise_resistance : 1.27 ; */ +/* fall_resistance : 1.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.7, 27.3, 57.7, 125.7, 246.5, 503.0", \ + " 22.5, 35.3, 65.7, 133.7, 254.5, 511.0", \ + " 25.8, 41.4, 71.8, 139.7, 260.5, 517.0", \ + " 29.3, 47.6, 80.1, 147.9, 268.5, 525.0", \ + " 34.1, 55.9, 94.8, 162.3, 282.8, 539.2", \ + " 39.2, 65.0, 111.8, 183.4, 303.4, 559.5", \ + " 44.9, 75.6, 131.2, 215.3, 334.7, 590.2", \ + " 51.0, 87.3, 153.1, 254.1, 381.4, 635.7", \ + " 57.3, 100.5, 178.6, 298.7, 450.2, 705.0", \ + " 63.8, 115.0, 207.9, 350.7, 533.1, 811.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 28.8, 60.1, 146.1, 339.7, 683.8, 1415.1", \ + " 39.4, 66.9, 148.2, 339.7, 683.8, 1415.1", \ + " 49.5, 74.5, 152.8, 340.6, 683.8, 1415.1", \ + " 60.7, 86.1, 160.9, 344.4, 683.8, 1415.1", \ + " 77.0, 108.5, 178.0, 355.2, 687.5, 1415.1", \ + " 98.6, 135.1, 206.1, 375.4, 699.4, 1416.1", \ + " 129.1, 171.0, 251.8, 411.9, 725.0, 1426.8", \ + " 171.4, 219.5, 313.5, 472.8, 772.3, 1455.7", \ + " 231.6, 287.4, 395.5, 571.3, 855.8, 1515.2", \ + " 319.4, 383.9, 508.8, 713.9, 997.2, 1626.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.3, 41.3, 87.4, 189.9, 371.8, 758.1", \ + " 30.1, 47.9, 94.3, 197.1, 379.1, 765.6", \ + " 33.9, 53.4, 99.7, 202.6, 384.7, 771.1", \ + " 37.7, 60.8, 107.1, 209.9, 392.1, 778.6", \ + " 42.7, 70.4, 120.5, 223.1, 405.2, 791.7", \ + " 48.0, 80.4, 139.8, 242.2, 424.1, 810.5", \ + " 54.2, 91.7, 162.4, 271.6, 452.9, 838.9", \ + " 61.0, 104.4, 186.9, 315.1, 495.8, 881.0", \ + " 68.5, 118.9, 214.5, 367.2, 561.4, 945.0", \ + " 76.7, 135.3, 246.3, 425.6, 657.4, 1043.5" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.8, 75.8, 190.5, 448.5, 907.2, 1881.9", \ + " 39.4, 78.6, 190.5, 448.5, 907.2, 1881.9", \ + " 47.2, 84.4, 192.7, 448.5, 907.2, 1881.9", \ + " 58.1, 93.8, 198.3, 449.0, 907.2, 1881.9", \ + " 72.7, 112.4, 211.9, 455.1, 907.2, 1881.9", \ + " 91.8, 138.6, 235.6, 470.1, 911.8, 1881.9", \ + " 118.8, 171.8, 275.1, 499.9, 928.9, 1882.8", \ + " 156.8, 215.7, 334.4, 551.9, 965.9, 1897.4", \ + " 211.4, 277.0, 411.7, 638.5, 1035.7, 1939.7", \ + " 292.4, 364.6, 515.7, 773.6, 1158.4, 2029.3" ); }} +} +} +cell(iv1v4x4) { /* 2008-01-06:07h19 characteristic delay 10.0 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 982 ; /* iv1v4x4 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x4 FO4 effort 1.09 logical effort 1.17 */ +direction : input ; +capacitance : 9.01 ; +rise_capacitance : 9.02 ; +fall_capacitance : 9.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 505 ; +max_fanout : 10 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x4 12.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 5.83, 6.23, 6.49, 6.59, 6.58", \ + " 5.49, 5.79, 6.18, 6.40, 6.46", \ + " 5.66, 5.79, 6.10, 6.33, 6.42", \ + " 6.09, 5.99, 6.12, 6.31, 6.39", \ + " 7.12, 6.66, 6.42, 6.42, 6.43", \ + " 8.88, 7.99, 7.20, 6.80, 6.64", \ + " 11.87, 10.39, 8.84, 7.76, 7.19", \ + " 16.60, 14.42, 11.84, 9.73, 8.43", \ + " 24.08, 21.10, 17.12, 13.52, 11.02", \ + " 35.77, 31.95, 26.18, 20.44, 16.09" ); }} +timing() { /* ring osc delay iv1v4x4, path a to z 30.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 33.5 ; */ +/* intrinsic_fall : 38.8 ; */ +/* rise_resistance : 0.88 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.5, 26.3, 54.3, 117.0, 228.3, 464.7", \ + " 22.3, 34.3, 62.3, 125.0, 236.3, 472.7", \ + " 25.6, 40.2, 68.4, 131.0, 242.3, 478.7", \ + " 29.0, 46.1, 76.8, 139.2, 250.4, 486.7", \ + " 33.6, 54.1, 91.2, 153.7, 264.6, 500.9", \ + " 38.6, 62.9, 107.3, 174.8, 285.2, 521.2", \ + " 44.0, 72.9, 125.7, 206.1, 316.6, 552.0", \ + " 49.8, 84.0, 146.5, 242.9, 363.5, 597.6", \ + " 55.7, 96.3, 170.5, 285.1, 430.1, 667.1", \ + " 61.3, 109.6, 198.0, 334.2, 508.5, 774.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 28.5, 57.2, 136.5, 314.9, 632.0, 1305.9", \ + " 39.2, 64.3, 138.9, 314.9, 632.0, 1305.9", \ + " 49.3, 72.1, 143.8, 316.1, 632.0, 1305.9", \ + " 60.5, 83.8, 152.2, 320.4, 632.2, 1305.9", \ + " 76.7, 106.2, 169.8, 331.8, 636.8, 1305.9", \ + " 98.3, 132.4, 198.4, 352.9, 649.7, 1307.8", \ + " 128.9, 168.0, 244.5, 390.5, 676.7, 1320.5", \ + " 171.2, 216.1, 304.6, 452.7, 725.9, 1351.7", \ + " 231.5, 283.6, 385.4, 552.4, 811.7, 1414.2", \ + " 319.2, 379.6, 497.5, 691.5, 955.6, 1530.0" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 40.6, 84.0, 180.5, 351.7, 715.3", \ + " 30.3, 47.1, 90.8, 187.7, 359.1, 722.8", \ + " 34.2, 52.6, 96.2, 193.1, 364.6, 728.3", \ + " 38.0, 59.9, 103.6, 200.5, 372.0, 735.8", \ + " 43.1, 69.3, 117.0, 213.6, 385.1, 748.9", \ + " 48.5, 79.2, 136.0, 232.8, 404.0, 767.7", \ + " 54.9, 90.3, 157.9, 262.3, 432.8, 796.1", \ + " 62.0, 102.9, 181.7, 305.1, 475.8, 838.3", \ + " 69.9, 117.4, 208.6, 355.3, 541.6, 902.4", \ + " 78.7, 134.0, 239.8, 411.6, 635.2, 1001.1" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.0, 73.4, 181.3, 424.2, 855.9, 1773.3", \ + " 39.4, 76.3, 181.3, 424.2, 855.9, 1773.3", \ + " 47.2, 82.1, 183.8, 424.2, 855.9, 1773.3", \ + " 58.1, 91.7, 189.6, 425.0, 855.9, 1773.3", \ + " 72.7, 110.3, 203.6, 431.6, 855.9, 1773.3", \ + " 91.7, 136.2, 227.6, 447.2, 861.5, 1773.3", \ + " 118.7, 168.9, 267.3, 477.8, 879.8, 1775.0", \ + " 156.4, 212.2, 325.7, 530.7, 918.3, 1791.6", \ + " 211.0, 273.0, 401.5, 617.8, 989.6, 1836.6", \ + " 291.9, 359.9, 503.9, 751.4, 1114.1, 1929.2" ); }} +} +} +cell(iv1v4x6) { /* 2008-01-06:07h19 characteristic delay 9.9 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1386 ; /* iv1v4x6 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x6 FO4 effort 1.07 logical effort 1.16 */ +direction : input ; +capacitance : 12.64 ; +rise_capacitance : 12.64 ; +fall_capacitance : 12.63 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 713 ; +max_fanout : 15 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x6 15.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 7.36, 7.97, 8.35, 8.48, 8.46", \ + " 6.91, 7.37, 7.92, 8.22, 8.30", \ + " 7.18, 7.36, 7.81, 8.13, 8.24", \ + " 7.82, 7.65, 7.84, 8.10, 8.21", \ + " 9.30, 8.59, 8.24, 8.24, 8.26", \ + " 11.83, 10.45, 9.31, 8.76, 8.54", \ + " 16.09, 13.83, 11.58, 10.06, 9.28", \ + " 22.83, 19.51, 15.75, 12.76, 10.96", \ + " 33.44, 28.92, 23.10, 17.98, 14.50", \ + " 50.00, 44.23, 35.77, 27.57, 21.46" ); }} +timing() { /* ring osc delay iv1v4x6, path a to z 29.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 32.8 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 0.62 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.0, 26.4, 56.2, 122.8, 241.0, 492.2", \ + " 21.6, 34.5, 64.2, 130.8, 249.0, 500.2", \ + " 24.7, 40.4, 70.3, 136.8, 255.0, 506.2", \ + " 28.0, 46.4, 78.6, 145.0, 263.1, 514.3", \ + " 32.5, 54.4, 93.2, 159.4, 277.4, 528.4", \ + " 37.2, 63.2, 109.8, 180.5, 298.0, 548.7", \ + " 42.4, 73.3, 128.8, 212.2, 329.3, 579.4", \ + " 47.9, 84.5, 150.1, 250.3, 376.0, 625.0", \ + " 53.4, 96.9, 174.8, 294.1, 444.2, 694.3", \ + " 58.7, 110.3, 203.0, 344.8, 525.6, 801.1" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.3, 57.7, 141.9, 331.5, 668.4, 1384.5", \ + " 38.3, 64.8, 144.2, 331.5, 668.4, 1384.5", \ + " 48.6, 72.6, 148.9, 332.5, 668.4, 1384.5", \ + " 59.1, 84.3, 157.2, 336.5, 668.5, 1384.5", \ + " 75.2, 106.6, 174.5, 347.5, 672.5, 1384.5", \ + " 96.5, 133.0, 202.8, 368.0, 684.7, 1385.7", \ + " 126.9, 168.6, 248.9, 405.0, 710.7, 1397.0", \ + " 168.9, 216.9, 309.9, 466.3, 758.6, 1426.5", \ + " 228.8, 284.5, 391.6, 565.4, 842.9, 1487.0", \ + " 316.3, 380.6, 504.5, 707.1, 985.3, 1599.9" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.8, 40.8, 86.9, 189.5, 371.3, 757.7", \ + " 29.5, 47.4, 93.8, 196.6, 378.7, 765.1", \ + " 33.1, 52.9, 99.2, 202.1, 384.2, 770.7", \ + " 36.8, 60.2, 106.6, 209.5, 391.6, 778.1", \ + " 41.6, 69.7, 120.0, 222.6, 404.7, 791.2", \ + " 46.9, 79.6, 139.2, 241.7, 423.6, 810.0", \ + " 53.0, 90.8, 161.7, 271.2, 452.4, 838.5", \ + " 59.8, 103.5, 186.3, 314.6, 495.3, 880.6", \ + " 67.4, 118.1, 213.9, 366.7, 561.0, 944.6", \ + " 75.8, 134.9, 246.0, 425.3, 657.1, 1043.1" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.2, 74.1, 188.8, 446.8, 905.5, 1880.2", \ + " 37.9, 77.0, 188.8, 446.8, 905.5, 1880.2", \ + " 45.8, 82.8, 191.0, 446.8, 905.5, 1880.2", \ + " 56.3, 92.3, 196.7, 447.3, 905.5, 1880.2", \ + " 70.6, 110.9, 210.3, 453.4, 905.5, 1880.2", \ + " 89.4, 136.8, 234.0, 468.4, 910.1, 1880.2", \ + " 116.1, 169.7, 273.5, 498.3, 927.2, 1881.1", \ + " 153.6, 213.1, 332.5, 550.2, 964.3, 1895.7", \ + " 207.8, 273.9, 409.3, 636.7, 1033.9, 1938.0", \ + " 288.6, 361.1, 512.7, 771.3, 1156.5, 2027.5" ); }} +} +} +cell(iv1v4x8) { /* 2008-01-06:07h19 characteristic delay 9.9 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1848 ; /* iv1v4x8 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x8 FO4 effort 1.08 logical effort 1.16 */ +direction : input ; +capacitance : 16.84 ; +rise_capacitance : 16.84 ; +fall_capacitance : 16.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 951 ; +max_fanout : 20 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x8 21.23 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 9.99, 10.80, 11.30, 11.47, 11.45", \ + " 9.38, 9.99, 10.72, 11.13, 11.22", \ + " 9.73, 9.98, 10.57, 11.01, 11.15", \ + " 10.57, 10.36, 10.61, 10.97, 11.11", \ + " 12.54, 11.61, 11.15, 11.15, 11.18", \ + " 15.90, 14.08, 12.57, 11.84, 11.54", \ + " 21.57, 18.58, 15.59, 13.58, 12.53", \ + " 30.54, 26.14, 21.14, 17.17, 14.78", \ + " 44.67, 38.67, 30.95, 24.13, 19.49", \ + " 66.74, 59.07, 47.83, 36.91, 28.76" ); }} +timing() { /* ring osc delay iv1v4x8, path a to z 29.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 32.9 ; */ +/* intrinsic_fall : 38.0 ; */ +/* rise_resistance : 0.47 ; */ +/* fall_resistance : 0.71 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.1, 26.5, 56.3, 122.9, 241.1, 492.3", \ + " 21.8, 34.6, 64.3, 130.9, 249.1, 500.3", \ + " 24.9, 40.5, 70.4, 136.9, 255.1, 506.3", \ + " 28.2, 46.5, 78.7, 145.1, 263.2, 514.4", \ + " 32.7, 54.6, 93.3, 159.5, 277.5, 528.5", \ + " 37.5, 63.4, 109.9, 180.6, 298.1, 548.8", \ + " 42.7, 73.5, 128.9, 212.3, 329.4, 579.5", \ + " 48.2, 84.8, 150.3, 250.4, 376.1, 625.1", \ + " 53.8, 97.2, 175.0, 294.2, 444.3, 694.4", \ + " 59.2, 110.6, 203.3, 345.0, 525.7, 801.2" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.6, 58.0, 142.2, 331.8, 668.7, 1384.8", \ + " 38.5, 65.1, 144.5, 331.8, 668.7, 1384.8", \ + " 48.8, 72.8, 149.2, 332.8, 668.7, 1384.8", \ + " 59.4, 84.5, 157.4, 336.8, 668.8, 1384.8", \ + " 75.5, 106.9, 174.8, 347.7, 672.8, 1384.8", \ + " 97.0, 133.3, 203.1, 368.3, 684.9, 1386.0", \ + " 127.4, 169.0, 249.1, 405.2, 711.0, 1397.3", \ + " 169.5, 217.3, 310.2, 466.6, 758.9, 1426.8", \ + " 229.4, 285.0, 391.9, 565.6, 843.2, 1487.2", \ + " 317.0, 381.1, 504.9, 707.4, 985.5, 1600.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.0, 41.0, 87.1, 189.6, 371.5, 757.9", \ + " 29.7, 47.6, 94.0, 196.8, 378.9, 765.3", \ + " 33.4, 53.0, 99.3, 202.3, 384.4, 770.9", \ + " 37.1, 60.4, 106.7, 209.6, 391.8, 778.3", \ + " 42.0, 69.9, 120.2, 222.8, 404.9, 791.4", \ + " 47.3, 79.9, 139.4, 241.9, 423.8, 810.2", \ + " 53.4, 91.2, 162.0, 271.3, 452.6, 838.7", \ + " 60.3, 103.9, 186.5, 314.8, 495.5, 880.8", \ + " 68.0, 118.6, 214.2, 366.9, 561.2, 944.8", \ + " 76.4, 135.4, 246.3, 425.6, 657.3, 1043.3" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 74.5, 189.2, 447.2, 905.9, 1880.6", \ + " 38.1, 77.3, 189.2, 447.2, 905.9, 1880.6", \ + " 46.1, 83.1, 191.4, 447.2, 905.9, 1880.6", \ + " 56.7, 92.6, 197.0, 447.7, 905.9, 1880.6", \ + " 71.0, 111.2, 210.7, 453.8, 905.9, 1880.6", \ + " 89.8, 137.2, 234.3, 468.8, 910.5, 1880.6", \ + " 116.6, 170.1, 273.8, 498.6, 927.6, 1881.5", \ + " 154.1, 213.6, 332.8, 550.5, 964.6, 1896.0", \ + " 208.4, 274.4, 409.7, 637.0, 1034.2, 1938.4", \ + " 289.2, 361.6, 513.1, 771.6, 1156.8, 2027.9" ); }} +} +} +cell(iv1v4x12) { /* 2008-01-06:07h19 characteristic delay 9.9 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 2310 ; /* iv1v4x12 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v4x12 FO4 effort 1.07 logical effort 1.16 */ +direction : input ; +capacitance : 20.98 ; +rise_capacitance : 21.00 ; +fall_capacitance : 20.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v4x12 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 9 ; +max_capacitance : 1170 ; +max_fanout : 30 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v4x12 25.59 nW/MHz */ +related_pin : "a" ; +power(pwr_x12_1170_5x10) { +values( " 11.97, 12.98, 13.64, 13.89, 13.88", \ + " 11.28, 11.98, 12.89, 13.44, 13.59", \ + " 11.76, 12.00, 12.71, 13.28, 13.49", \ + " 12.85, 12.54, 12.80, 13.24, 13.44", \ + " 15.37, 14.19, 13.54, 13.50, 13.54", \ + " 19.65, 17.40, 15.45, 14.45, 14.04", \ + " 26.81, 23.18, 19.41, 16.78, 15.39", \ + " 38.10, 32.82, 26.61, 21.54, 18.41", \ + " 55.82, 48.72, 39.22, 30.63, 24.65", \ + " 83.44, 74.45, 60.80, 47.18, 36.84" ); }} +timing() { /* ring osc delay iv1v4x12, path a to z 28.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 32.4 ; */ +/* intrinsic_fall : 37.3 ; */ +/* rise_resistance : 0.38 ; */ +/* fall_resistance : 0.57 ; */ +cell_rise(x12_1170_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.6, 24.9, 51.9, 112.3, 219.3, 446.8", \ + " 21.0, 33.0, 59.9, 120.2, 227.3, 454.8", \ + " 24.0, 38.6, 66.0, 126.3, 233.4, 460.8", \ + " 27.2, 44.3, 74.4, 134.4, 241.4, 468.9", \ + " 31.5, 51.9, 88.5, 149.0, 255.7, 483.0", \ + " 36.0, 60.3, 104.1, 170.1, 276.4, 503.4", \ + " 41.1, 69.9, 122.0, 201.0, 307.8, 534.1", \ + " 46.3, 80.5, 142.1, 236.7, 354.8, 579.9", \ + " 51.7, 92.2, 165.4, 277.8, 420.1, 649.4", \ + " 56.7, 104.8, 192.0, 325.6, 496.4, 756.6" ); } +rise_transition(x12_1170_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 26.2, 53.6, 129.8, 301.5, 606.6, 1255.2", \ + " 37.3, 61.1, 132.5, 301.5, 606.6, 1255.2", \ + " 47.9, 69.1, 137.6, 303.0, 606.7, 1255.2", \ + " 57.9, 81.1, 146.2, 307.6, 607.0, 1255.2", \ + " 73.7, 103.0, 164.1, 319.3, 612.1, 1255.2", \ + " 94.8, 128.7, 193.0, 340.8, 625.5, 1257.6", \ + " 124.9, 163.6, 238.9, 379.0, 653.2, 1271.1", \ + " 166.6, 211.1, 298.1, 441.7, 703.2, 1303.4", \ + " 226.1, 277.8, 377.8, 541.3, 790.2, 1367.4", \ + " 313.4, 372.7, 488.6, 678.6, 935.0, 1485.0" ); } +cell_fall(x12_1170_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 38.2, 79.8, 172.2, 335.9, 683.6", \ + " 28.5, 44.8, 86.7, 179.3, 343.2, 691.0", \ + " 32.0, 50.3, 92.0, 184.8, 348.7, 696.6", \ + " 35.5, 57.3, 99.5, 192.1, 356.1, 704.0", \ + " 40.1, 66.2, 112.9, 205.3, 369.2, 717.1", \ + " 45.1, 75.5, 131.5, 224.5, 388.2, 736.0", \ + " 50.9, 86.0, 152.3, 254.0, 417.0, 764.4", \ + " 57.3, 97.9, 175.1, 296.1, 460.1, 806.6", \ + " 64.4, 111.5, 200.9, 344.3, 526.0, 870.8", \ + " 72.2, 127.1, 230.8, 398.6, 617.1, 969.7" ); } +fall_transition(x12_1170_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.4, 68.1, 171.2, 403.4, 816.2, 1693.5", \ + " 36.3, 71.3, 171.3, 403.4, 816.2, 1693.5", \ + " 44.5, 77.3, 174.0, 403.4, 816.2, 1693.5", \ + " 54.5, 87.1, 180.2, 404.5, 816.2, 1693.5", \ + " 68.6, 106.0, 194.5, 411.6, 816.5, 1693.5", \ + " 87.1, 131.0, 218.9, 427.9, 822.9, 1693.5", \ + " 113.6, 162.9, 259.1, 459.3, 842.2, 1696.0", \ + " 150.8, 205.6, 316.5, 513.0, 881.8, 1714.3", \ + " 204.8, 265.6, 391.0, 600.7, 954.6, 1761.2", \ + " 285.7, 351.8, 492.0, 733.1, 1080.7, 1856.3" ); }} +} +} +cell(iv1v5x1) { /* 2008-01-06:07h19 characteristic delay 9.5 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 289 ; /* iv1v5x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x1 FO4 effort 1.07 logical effort 1.11 */ +direction : input ; +capacitance : 2.91 ; +rise_capacitance : 2.87 ; +fall_capacitance : 2.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 133 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x1 4.41 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.12, 2.22, 2.30, 2.33, 2.33", \ + " 2.02, 2.10, 2.21, 2.27, 2.29", \ + " 2.07, 2.11, 2.19, 2.26, 2.28", \ + " 2.22, 2.18, 2.20, 2.25, 2.27", \ + " 2.56, 2.41, 2.32, 2.30, 2.29", \ + " 3.15, 2.86, 2.59, 2.44, 2.37", \ + " 4.15, 3.67, 3.16, 2.78, 2.57", \ + " 5.73, 5.04, 4.18, 3.46, 3.01", \ + " 8.24, 7.30, 5.99, 4.77, 3.91", \ + " 12.15, 10.97, 9.09, 7.14, 5.66" ); }} +timing() { /* ring osc delay iv1v5x1, path a to z 31.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 37.3 ; */ +/* intrinsic_fall : 36.0 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.9, 31.6, 64.8, 138.9, 270.3, 549.4", \ + " 26.7, 39.5, 72.6, 146.8, 278.2, 557.4", \ + " 31.0, 45.9, 78.7, 152.8, 284.2, 563.4", \ + " 35.7, 53.2, 87.1, 160.9, 292.3, 571.4", \ + " 42.5, 63.3, 102.2, 175.4, 306.5, 585.5", \ + " 50.7, 74.9, 121.3, 196.7, 327.2, 605.8", \ + " 60.9, 89.3, 143.9, 229.3, 358.8, 636.7", \ + " 73.7, 107.0, 170.7, 272.3, 406.1, 682.6", \ + " 90.0, 129.3, 203.8, 323.4, 478.0, 752.6", \ + " 111.2, 157.7, 245.5, 385.7, 570.1, 860.9" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.9, 70.5, 164.1, 374.7, 749.1, 1544.7", \ + " 45.1, 76.2, 165.5, 374.7, 749.1, 1544.7", \ + " 53.9, 83.1, 169.6, 375.1, 749.1, 1544.7", \ + " 66.8, 93.7, 177.0, 378.3, 749.1, 1544.7", \ + " 83.1, 114.0, 192.7, 387.9, 751.6, 1544.7", \ + " 104.4, 141.9, 218.8, 406.5, 761.9, 1545.0", \ + " 134.3, 177.1, 261.3, 440.3, 785.2, 1553.4", \ + " 175.8, 224.0, 322.5, 497.1, 828.9, 1579.0", \ + " 235.1, 289.7, 402.2, 589.3, 906.5, 1633.6", \ + " 321.5, 383.3, 511.2, 728.4, 1038.8, 1736.9" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 32.9, 65.7, 138.9, 268.8, 544.8", \ + " 26.8, 39.8, 72.8, 146.2, 276.2, 552.2", \ + " 30.0, 45.5, 78.4, 151.8, 281.8, 557.8", \ + " 32.9, 51.4, 85.9, 159.2, 289.3, 565.3", \ + " 36.3, 58.7, 99.4, 172.5, 302.5, 578.5", \ + " 39.4, 65.7, 115.0, 191.8, 321.5, 597.3", \ + " 41.8, 72.7, 131.5, 221.1, 350.3, 625.8", \ + " 42.9, 79.1, 148.3, 256.4, 393.2, 668.0", \ + " 41.6, 84.1, 165.3, 294.2, 456.7, 732.0", \ + " 36.3, 86.1, 181.6, 333.8, 530.4, 830.2" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 28.8, 59.4, 141.3, 325.6, 653.2, 1349.4", \ + " 36.6, 63.9, 142.2, 325.6, 653.2, 1349.4", \ + " 45.2, 70.6, 146.0, 325.8, 653.2, 1349.4", \ + " 55.4, 81.1, 153.3, 328.6, 653.2, 1349.4", \ + " 70.4, 101.3, 169.5, 338.2, 655.3, 1349.4", \ + " 90.3, 125.8, 196.2, 357.5, 665.6, 1349.6", \ + " 118.2, 158.6, 239.2, 393.0, 689.9, 1357.8", \ + " 157.0, 202.8, 295.3, 452.2, 736.1, 1384.3", \ + " 212.5, 264.6, 369.8, 546.3, 818.1, 1442.0", \ + " 293.7, 352.8, 472.6, 676.2, 955.9, 1551.9" ); }} +} +} +cell(iv1v5x2) { /* 2008-01-06:07h19 characteristic delay 9.1 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 450 ; /* iv1v5x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x2 FO4 effort 1.04 logical effort 1.06 */ +direction : input ; +capacitance : 4.35 ; +rise_capacitance : 4.29 ; +fall_capacitance : 4.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 208 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x2 6.62 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 3.16, 3.33, 3.45, 3.49, 3.49", \ + " 3.02, 3.14, 3.31, 3.41, 3.43", \ + " 3.11, 3.16, 3.28, 3.38, 3.41", \ + " 3.34, 3.28, 3.31, 3.38, 3.41", \ + " 3.88, 3.64, 3.48, 3.45, 3.44", \ + " 4.81, 4.34, 3.91, 3.67, 3.56", \ + " 6.38, 5.62, 4.79, 4.20, 3.87", \ + " 8.87, 7.76, 6.39, 5.26, 4.54", \ + " 12.79, 11.29, 9.20, 7.28, 5.94", \ + " 18.93, 17.03, 14.03, 10.97, 8.65" ); }} +timing() { /* ring osc delay iv1v5x2, path a to z 29.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 36.9 ; */ +/* intrinsic_fall : 35.5 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 2.08 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.6, 31.7, 65.8, 142.0, 277.1, 564.2", \ + " 26.3, 39.6, 73.6, 149.8, 285.0, 572.2", \ + " 30.6, 46.0, 79.7, 155.9, 291.0, 578.1", \ + " 35.2, 53.3, 88.1, 164.0, 299.1, 586.2", \ + " 42.0, 63.5, 103.2, 178.5, 313.4, 600.3", \ + " 50.2, 75.1, 122.5, 199.8, 334.0, 620.6", \ + " 60.5, 89.7, 145.4, 232.4, 365.6, 651.5", \ + " 73.2, 107.5, 172.5, 276.0, 412.9, 697.4", \ + " 89.6, 130.0, 206.1, 327.9, 485.0, 767.3", \ + " 111.0, 158.8, 248.4, 391.1, 578.6, 875.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.3, 70.9, 167.2, 383.8, 768.9, 1587.2", \ + " 44.7, 76.6, 168.6, 383.8, 768.9, 1587.2", \ + " 53.5, 83.5, 172.6, 384.2, 768.9, 1587.2", \ + " 66.2, 94.0, 179.9, 387.2, 768.9, 1587.2", \ + " 82.4, 114.3, 195.5, 396.6, 771.1, 1587.3", \ + " 103.6, 142.2, 221.4, 414.9, 781.0, 1587.4", \ + " 133.3, 177.4, 263.7, 448.4, 803.9, 1595.1", \ + " 174.7, 224.3, 325.1, 504.7, 847.0, 1619.9", \ + " 233.9, 289.9, 405.2, 596.5, 923.8, 1673.5", \ + " 320.0, 383.6, 514.4, 736.1, 1055.1, 1775.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.7, 32.6, 66.0, 140.6, 272.8, 553.8", \ + " 26.3, 39.6, 73.2, 147.9, 280.2, 561.3", \ + " 29.4, 45.2, 78.7, 153.4, 285.8, 566.9", \ + " 32.2, 51.2, 86.3, 160.9, 293.3, 574.3", \ + " 35.5, 58.4, 99.8, 174.2, 306.5, 587.5", \ + " 38.4, 65.4, 115.5, 193.4, 325.5, 606.4", \ + " 40.6, 72.3, 132.1, 222.8, 354.3, 634.9", \ + " 41.4, 78.6, 149.0, 258.5, 397.2, 677.0", \ + " 39.8, 83.4, 166.0, 296.7, 461.0, 740.9", \ + " 33.9, 85.1, 182.3, 336.7, 535.6, 839.1" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.9, 59.2, 142.5, 330.1, 663.7, 1372.6", \ + " 36.0, 63.7, 143.4, 330.1, 663.7, 1372.6", \ + " 44.5, 70.4, 147.2, 330.3, 663.7, 1372.6", \ + " 54.5, 81.0, 154.5, 333.1, 663.7, 1372.6", \ + " 69.5, 101.1, 170.6, 342.6, 665.7, 1372.6", \ + " 89.3, 125.6, 197.3, 361.8, 675.8, 1372.7", \ + " 117.1, 158.4, 240.3, 397.1, 699.8, 1380.5", \ + " 155.8, 202.6, 296.7, 456.2, 745.7, 1406.5", \ + " 211.0, 264.5, 371.5, 550.3, 827.3, 1463.6", \ + " 292.1, 352.6, 474.6, 681.0, 964.9, 1572.7" ); }} +} +} +cell(iv1v5x3) { /* 2008-01-06:07h19 characteristic delay 8.7 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 635 ; /* iv1v5x3 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x3 FO4 effort 1.00 logical effort 1.02 */ +direction : input ; +capacitance : 5.81 ; +rise_capacitance : 5.73 ; +fall_capacitance : 5.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 297 ; +max_fanout : 8 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x3 8.03 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 3.77, 4.03, 4.20, 4.25, 4.24", \ + " 3.60, 3.78, 4.01, 4.14, 4.16", \ + " 3.75, 3.81, 3.98, 4.11, 4.14", \ + " 4.09, 3.97, 4.02, 4.10, 4.13", \ + " 4.87, 4.48, 4.25, 4.20, 4.18", \ + " 6.20, 5.47, 4.84, 4.50, 4.34", \ + " 8.43, 7.24, 6.04, 5.20, 4.75", \ + " 11.94, 10.23, 8.23, 6.64, 5.66", \ + " 17.46, 15.16, 12.10, 9.39, 7.53", \ + " 26.06, 23.16, 18.75, 14.41, 11.19" ); }} +timing() { /* ring osc delay iv1v5x3, path a to z 26.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.3 ; */ +/* intrinsic_fall : 34.4 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.53 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.3, 31.0, 66.8, 146.7, 288.6, 590.0", \ + " 24.7, 38.9, 74.6, 154.6, 296.6, 598.0", \ + " 28.7, 45.3, 80.7, 160.6, 302.6, 604.0", \ + " 33.1, 52.5, 89.1, 168.8, 310.6, 612.0", \ + " 39.4, 62.5, 104.2, 183.3, 324.9, 626.1", \ + " 47.0, 73.9, 123.7, 204.5, 345.5, 646.5", \ + " 56.4, 88.0, 146.7, 237.0, 377.0, 677.3", \ + " 68.0, 105.2, 173.7, 281.3, 424.1, 723.0", \ + " 82.8, 126.7, 207.0, 333.9, 496.2, 792.8", \ + " 102.1, 153.9, 248.6, 397.6, 591.7, 900.5" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.2, 69.4, 170.5, 398.0, 802.3, 1661.6", \ + " 42.0, 75.3, 171.9, 398.0, 802.3, 1661.6", \ + " 51.1, 82.2, 175.8, 398.2, 802.3, 1661.6", \ + " 63.1, 92.9, 183.0, 401.0, 802.3, 1661.6", \ + " 78.9, 113.4, 198.6, 410.2, 804.1, 1661.6", \ + " 99.8, 141.1, 224.4, 428.2, 813.5, 1661.6", \ + " 129.3, 176.3, 266.8, 461.3, 835.7, 1668.3", \ + " 170.3, 223.4, 328.9, 517.4, 878.0, 1691.7", \ + " 229.1, 289.3, 410.1, 609.2, 954.0, 1743.8", \ + " 315.0, 383.3, 520.8, 750.6, 1084.8, 1844.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.9, 33.1, 69.8, 151.8, 297.3, 606.3", \ + " 25.2, 40.1, 77.0, 159.1, 304.7, 613.8", \ + " 28.2, 45.7, 82.5, 164.7, 310.3, 619.4", \ + " 30.9, 51.8, 90.0, 172.2, 317.8, 626.9", \ + " 34.1, 59.3, 103.6, 185.4, 330.9, 640.0", \ + " 36.9, 66.5, 120.2, 204.6, 349.9, 658.9", \ + " 39.1, 73.9, 138.0, 234.0, 378.7, 687.4", \ + " 40.2, 80.8, 156.3, 272.2, 421.6, 729.5", \ + " 39.0, 86.7, 175.1, 313.6, 486.6, 793.3", \ + " 34.0, 89.9, 193.8, 357.5, 567.2, 891.4" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 26.3, 60.6, 152.4, 358.8, 725.7, 1505.5", \ + " 34.7, 65.1, 153.1, 358.8, 725.7, 1505.5", \ + " 43.4, 71.8, 156.6, 358.8, 725.7, 1505.5", \ + " 52.8, 82.3, 163.6, 361.1, 725.7, 1505.5", \ + " 67.5, 102.3, 179.2, 369.8, 726.9, 1505.5", \ + " 86.9, 127.0, 205.4, 388.0, 735.6, 1505.5", \ + " 114.4, 159.9, 248.1, 422.0, 757.9, 1510.9", \ + " 152.7, 204.1, 305.7, 479.7, 801.5, 1533.8", \ + " 207.6, 266.0, 381.6, 572.7, 880.3, 1587.0", \ + " 288.6, 354.3, 485.7, 706.8, 1015.1, 1691.1" ); }} +} +} +cell(iv1v5x4) { /* 2008-01-06:07h19 characteristic delay 8.7 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 901 ; /* iv1v5x4 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x4 FO4 effort 0.99 logical effort 1.02 */ +direction : input ; +capacitance : 8.32 ; +rise_capacitance : 8.19 ; +fall_capacitance : 8.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 417 ; +max_fanout : 10 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x4 10.52 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 4.86, 5.26, 5.52, 5.61, 5.60", \ + " 4.65, 4.90, 5.24, 5.44, 5.49", \ + " 4.89, 4.95, 5.19, 5.39, 5.45", \ + " 5.41, 5.22, 5.26, 5.39, 5.44", \ + " 6.58, 5.99, 5.63, 5.54, 5.51", \ + " 8.54, 7.45, 6.51, 5.99, 5.75", \ + " 11.80, 10.08, 8.30, 7.06, 6.38", \ + " 16.91, 14.45, 11.55, 9.21, 7.75", \ + " 24.88, 21.64, 17.23, 13.29, 10.56", \ + " 37.27, 33.24, 26.99, 20.71, 16.01" ); }} +timing() { /* ring osc delay iv1v5x4, path a to z 25.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 34.6 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 1.04 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.5, 29.6, 63.8, 139.9, 275.1, 562.1", \ + " 23.8, 37.6, 71.6, 147.8, 283.0, 570.1", \ + " 27.6, 43.9, 77.7, 153.8, 289.0, 576.1", \ + " 31.8, 50.9, 86.1, 162.0, 297.1, 584.1", \ + " 38.0, 60.5, 101.3, 176.5, 311.3, 598.3", \ + " 45.5, 71.8, 120.2, 197.8, 332.0, 618.6", \ + " 55.0, 85.7, 142.7, 230.4, 363.6, 649.5", \ + " 66.8, 102.9, 169.3, 273.7, 410.9, 695.4", \ + " 82.0, 124.6, 202.3, 325.2, 483.0, 765.4", \ + " 102.1, 152.4, 244.0, 388.0, 576.2, 873.5" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.1, 65.4, 161.6, 378.2, 763.3, 1581.7", \ + " 40.1, 71.5, 163.2, 378.2, 763.3, 1581.7", \ + " 49.5, 78.6, 167.3, 378.6, 763.3, 1581.7", \ + " 60.8, 89.4, 174.7, 381.8, 763.3, 1581.7", \ + " 76.1, 110.2, 190.6, 391.3, 765.6, 1581.7", \ + " 96.4, 136.8, 216.7, 409.8, 775.7, 1581.9", \ + " 125.4, 171.1, 259.2, 443.5, 798.7, 1589.7", \ + " 165.7, 217.2, 320.0, 500.0, 841.9, 1614.6", \ + " 223.7, 282.0, 399.2, 591.9, 918.9, 1668.3", \ + " 309.0, 374.4, 507.6, 731.1, 1050.5, 1770.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.5, 30.5, 63.9, 138.4, 270.7, 551.7", \ + " 23.5, 37.5, 71.1, 145.8, 278.1, 559.1", \ + " 26.0, 43.0, 76.6, 151.3, 283.7, 564.7", \ + " 28.3, 48.5, 84.2, 158.8, 291.2, 572.2", \ + " 30.9, 55.1, 97.6, 172.1, 304.4, 585.4", \ + " 33.1, 61.5, 112.9, 191.4, 323.4, 604.3", \ + " 34.4, 67.8, 129.0, 220.7, 352.2, 632.8", \ + " 34.2, 73.4, 145.3, 256.0, 395.2, 674.9", \ + " 31.4, 77.3, 161.7, 293.6, 458.8, 738.9", \ + " 24.1, 78.0, 177.3, 333.1, 533.0, 837.0" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.3, 54.4, 137.8, 325.4, 659.0, 1367.9", \ + " 32.3, 59.5, 138.8, 325.4, 659.0, 1367.9", \ + " 40.9, 66.4, 142.8, 325.6, 659.0, 1367.9", \ + " 49.6, 77.2, 150.2, 328.6, 659.0, 1367.9", \ + " 63.9, 97.0, 166.6, 338.2, 661.1, 1367.9", \ + " 82.9, 120.8, 193.6, 357.6, 671.3, 1368.0", \ + " 109.9, 152.9, 236.4, 393.1, 695.5, 1375.9", \ + " 147.6, 196.4, 292.1, 452.4, 741.5, 1402.0", \ + " 201.8, 257.5, 366.3, 546.5, 823.4, 1459.3", \ + " 282.6, 344.6, 468.7, 676.5, 961.1, 1568.6" ); }} +} +} +cell(iv1v5x6) { /* 2008-01-06:07h19 characteristic delay 8.8 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 1340 ; /* iv1v5x6 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x6 FO4 effort 0.99 logical effort 1.02 */ +direction : input ; +capacitance : 12.30 ; +rise_capacitance : 12.13 ; +fall_capacitance : 12.47 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 626 ; +max_fanout : 15 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x6 16.06 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 7.47, 8.05, 8.42, 8.55, 8.54", \ + " 7.13, 7.51, 8.01, 8.30, 8.37", \ + " 7.48, 7.57, 7.93, 8.23, 8.32", \ + " 8.22, 7.95, 8.03, 8.23, 8.30", \ + " 9.92, 9.07, 8.56, 8.44, 8.40", \ + " 12.79, 11.21, 9.84, 9.10, 8.75", \ + " 17.57, 15.05, 12.46, 10.65, 9.66", \ + " 25.08, 21.47, 17.21, 13.79, 11.66", \ + " 36.82, 32.05, 25.56, 19.77, 15.76", \ + " 55.10, 49.11, 39.88, 30.64, 23.74" ); }} +timing() { /* ring osc delay iv1v5x6, path a to z 26.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 34.7 ; */ +/* intrinsic_fall : 33.7 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.6, 29.7, 63.8, 140.0, 275.1, 562.2", \ + " 23.9, 37.7, 71.7, 147.9, 283.1, 570.2", \ + " 27.7, 44.0, 77.8, 153.9, 289.1, 576.2", \ + " 31.9, 51.0, 86.2, 162.1, 297.2, 584.2", \ + " 38.1, 60.6, 101.3, 176.6, 311.4, 598.3", \ + " 45.5, 71.7, 120.2, 197.8, 332.1, 618.7", \ + " 54.8, 85.6, 142.6, 230.4, 363.7, 649.5", \ + " 66.3, 102.4, 169.0, 273.6, 410.9, 695.4", \ + " 81.0, 123.7, 201.7, 324.9, 482.9, 765.3", \ + " 100.2, 150.7, 242.7, 387.1, 575.8, 873.3" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.5, 65.9, 162.1, 378.7, 763.8, 1582.2", \ + " 40.6, 72.0, 163.7, 378.7, 763.8, 1582.2", \ + " 50.0, 79.1, 167.8, 379.2, 763.8, 1582.2", \ + " 61.5, 90.0, 175.3, 382.3, 763.8, 1582.2", \ + " 77.0, 110.7, 191.1, 391.8, 766.2, 1582.2", \ + " 97.5, 137.6, 217.3, 410.4, 776.2, 1582.4", \ + " 126.7, 172.3, 260.0, 444.1, 799.2, 1590.2", \ + " 167.4, 218.7, 321.1, 500.9, 842.6, 1615.2", \ + " 225.7, 283.9, 400.9, 593.2, 919.9, 1669.0", \ + " 311.3, 376.9, 510.0, 733.0, 1051.9, 1771.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.1, 31.5, 66.0, 142.8, 279.2, 569.0", \ + " 24.3, 38.5, 73.1, 150.2, 286.6, 576.4", \ + " 27.0, 44.0, 78.7, 155.7, 292.2, 582.0", \ + " 29.4, 49.7, 86.2, 163.2, 299.7, 589.5", \ + " 32.3, 56.7, 99.7, 176.4, 312.9, 602.7", \ + " 34.7, 63.5, 115.5, 195.7, 331.9, 621.5", \ + " 36.5, 70.2, 132.2, 225.1, 360.7, 650.0", \ + " 37.0, 76.4, 149.2, 261.4, 403.6, 692.2", \ + " 35.0, 81.2, 166.6, 300.4, 467.9, 756.1", \ + " 29.0, 83.2, 183.5, 341.5, 544.3, 854.3" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.3, 56.4, 142.4, 335.9, 679.9, 1411.0", \ + " 32.9, 61.2, 143.4, 335.9, 679.9, 1411.0", \ + " 41.7, 68.1, 147.1, 336.1, 679.9, 1411.0", \ + " 50.7, 78.8, 154.4, 338.7, 679.9, 1411.0", \ + " 65.0, 98.6, 170.5, 348.1, 681.7, 1411.0", \ + " 84.1, 122.7, 197.2, 367.0, 691.4, 1411.0", \ + " 111.2, 155.0, 240.0, 402.0, 714.9, 1418.1", \ + " 149.0, 198.6, 296.2, 460.7, 760.1, 1443.2", \ + " 203.3, 259.8, 370.8, 554.5, 840.9, 1499.0", \ + " 284.2, 347.2, 473.5, 685.6, 977.6, 1606.6" ); }} +} +} +cell(iv1v5x8) { /* 2008-01-06:07h19 characteristic delay 8.7 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1663 ; /* iv1v5x8 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x8 FO4 effort 0.98 logical effort 1.01 */ +direction : input ; +capacitance : 15.18 ; +rise_capacitance : 14.96 ; +fall_capacitance : 15.39 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 775 ; +max_fanout : 20 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x8 19.04 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 8.80, 9.55, 10.00, 10.15, 10.12", \ + " 8.39, 8.88, 9.51, 9.85, 9.92", \ + " 8.82, 8.95, 9.41, 9.76, 9.86", \ + " 9.76, 9.41, 9.52, 9.76, 9.84", \ + " 11.88, 10.77, 10.14, 10.00, 9.95", \ + " 15.46, 13.39, 11.68, 10.78, 10.37", \ + " 21.42, 18.12, 14.85, 12.64, 11.44", \ + " 30.77, 26.03, 20.63, 16.40, 13.81", \ + " 45.39, 39.10, 30.82, 23.62, 18.72", \ + " 68.13, 60.23, 48.38, 36.83, 28.32" ); }} +timing() { /* ring osc delay iv1v5x8, path a to z 25.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 34.5 ; */ +/* intrinsic_fall : 33.3 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.57 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.6, 30.7, 67.4, 149.4, 295.0, 604.1", \ + " 23.9, 38.7, 75.3, 157.3, 302.9, 612.1", \ + " 27.7, 45.1, 81.4, 163.3, 308.9, 618.1", \ + " 32.0, 52.2, 89.7, 171.5, 317.0, 626.1", \ + " 38.2, 62.1, 104.8, 186.0, 331.2, 640.2", \ + " 45.6, 73.5, 124.5, 207.1, 351.8, 660.5", \ + " 54.9, 87.7, 147.7, 239.7, 383.3, 691.3", \ + " 66.5, 105.0, 175.1, 284.5, 430.4, 737.1", \ + " 81.4, 126.8, 208.8, 337.9, 502.6, 806.8", \ + " 100.9, 154.6, 251.3, 402.6, 599.6, 914.5" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.4, 68.6, 172.2, 405.5, 820.2, 1701.5", \ + " 40.5, 74.5, 173.5, 405.5, 820.2, 1701.5", \ + " 49.9, 81.4, 177.4, 405.7, 820.2, 1701.5", \ + " 61.3, 92.1, 184.6, 408.4, 820.2, 1701.5", \ + " 76.7, 112.6, 200.1, 417.3, 821.8, 1701.5", \ + " 97.2, 140.1, 225.7, 435.1, 830.8, 1701.5", \ + " 126.3, 175.0, 267.9, 467.9, 852.6, 1707.5", \ + " 166.9, 221.8, 330.0, 523.5, 894.3, 1730.3", \ + " 225.1, 287.3, 411.1, 614.7, 969.5, 1781.3", \ + " 310.6, 380.7, 521.6, 756.1, 1099.1, 1880.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.9, 32.2, 68.9, 150.9, 296.3, 605.4", \ + " 24.0, 39.2, 76.1, 158.2, 303.8, 612.9", \ + " 26.7, 44.8, 81.6, 163.8, 309.4, 618.5", \ + " 29.1, 50.6, 89.1, 171.2, 316.8, 626.0", \ + " 31.9, 57.8, 102.6, 184.5, 330.0, 639.1", \ + " 34.3, 64.7, 119.1, 203.7, 349.0, 658.0", \ + " 35.9, 71.7, 136.6, 233.1, 377.8, 686.5", \ + " 36.2, 78.0, 154.4, 271.1, 420.6, 728.6", \ + " 33.9, 83.0, 172.7, 312.0, 485.6, 792.4", \ + " 27.6, 85.1, 190.5, 355.4, 565.8, 890.3" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.0, 58.3, 150.1, 356.4, 723.4, 1503.2", \ + " 32.8, 63.0, 150.8, 356.4, 723.4, 1503.2", \ + " 41.5, 69.8, 154.4, 356.5, 723.4, 1503.2", \ + " 50.5, 80.4, 161.5, 358.8, 723.4, 1503.2", \ + " 64.8, 100.4, 177.2, 367.6, 724.6, 1503.2", \ + " 83.8, 124.8, 203.6, 385.9, 733.4, 1503.2", \ + " 111.0, 157.4, 246.4, 420.1, 755.7, 1508.6", \ + " 148.8, 201.4, 303.8, 478.0, 799.5, 1531.6", \ + " 203.1, 263.0, 379.6, 571.3, 878.6, 1584.9", \ + " 284.0, 350.9, 483.6, 705.4, 1013.8, 1689.3" ); }} +} +} +cell(iv1v5x12) { /* 2008-01-06:07h19 characteristic delay 8.7 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 2564 ; /* iv1v5x12 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v5x12 FO4 effort 0.98 logical effort 1.01 */ +direction : input ; +capacitance : 23.42 ; +rise_capacitance : 23.08 ; +fall_capacitance : 23.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v5x12 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 12 ; +max_capacitance : 1185 ; +max_fanout : 30 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v5x12 29.38 nW/MHz */ +related_pin : "a" ; +power(pwr_x12_1560_5x10) { +values( " 13.57, 14.73, 15.44, 15.68, 15.64", \ + " 12.95, 13.69, 14.67, 15.21, 15.33", \ + " 13.62, 13.81, 14.52, 15.07, 15.24", \ + " 15.07, 14.53, 14.69, 15.07, 15.21", \ + " 18.35, 16.65, 15.67, 15.45, 15.37", \ + " 23.87, 20.72, 18.08, 16.68, 16.03", \ + " 33.07, 28.04, 23.02, 19.58, 17.72", \ + " 47.49, 40.29, 32.00, 25.46, 21.43", \ + " 70.01, 60.48, 47.82, 36.70, 29.10", \ + " 105.04, 93.11, 75.03, 57.23, 44.08" ); }} +timing() { /* ring osc delay iv1v5x12, path a to z 25.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 34.6 ; */ +/* intrinsic_fall : 33.2 ; */ +/* rise_resistance : 0.37 ; */ +/* fall_resistance : 0.37 ; */ +cell_rise(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.6, 30.5, 66.5, 147.0, 289.8, 593.1", \ + " 23.9, 38.4, 74.3, 154.9, 297.7, 601.0", \ + " 27.7, 44.8, 80.5, 160.9, 303.7, 607.0", \ + " 32.0, 51.9, 88.8, 169.0, 311.8, 615.1", \ + " 38.2, 61.8, 103.9, 183.5, 326.0, 629.2", \ + " 45.7, 73.1, 123.4, 204.7, 346.7, 649.5", \ + " 55.1, 87.3, 146.5, 237.3, 378.2, 680.3", \ + " 66.9, 104.7, 173.7, 281.8, 425.3, 726.1", \ + " 82.0, 126.6, 207.4, 334.8, 497.6, 795.9", \ + " 101.9, 154.6, 249.8, 399.1, 593.7, 903.8" ); } +rise_transition(x12_1560_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.3, 67.7, 169.4, 398.3, 805.2, 1669.9", \ + " 40.4, 73.6, 170.8, 398.3, 805.2, 1669.9", \ + " 49.7, 80.7, 174.7, 398.5, 805.2, 1669.9", \ + " 61.2, 91.4, 182.0, 401.3, 805.2, 1669.9", \ + " 76.5, 111.9, 197.5, 410.4, 807.0, 1669.9", \ + " 96.9, 139.1, 223.3, 428.4, 816.2, 1669.9", \ + " 125.9, 173.9, 265.5, 461.4, 838.3, 1676.4", \ + " 166.4, 220.4, 327.2, 517.2, 880.4, 1699.6", \ + " 224.5, 285.6, 407.7, 608.5, 956.0, 1751.3", \ + " 309.9, 378.7, 517.5, 749.2, 1086.0, 1850.9" ); } +cell_fall(x12_1560_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.7, 31.5, 67.1, 146.4, 287.2, 586.3", \ + " 23.8, 38.5, 74.3, 153.8, 294.6, 593.8", \ + " 26.4, 44.1, 79.8, 159.3, 300.2, 599.4", \ + " 28.7, 49.8, 87.3, 166.8, 307.7, 606.9", \ + " 31.4, 56.8, 100.9, 180.1, 320.9, 620.0", \ + " 33.7, 63.5, 116.9, 199.3, 339.9, 638.9", \ + " 35.1, 70.2, 133.9, 228.7, 368.7, 667.4", \ + " 35.1, 76.1, 151.1, 265.7, 411.5, 709.5", \ + " 32.5, 80.7, 168.6, 305.5, 476.1, 773.3", \ + " 25.6, 82.1, 185.5, 347.3, 554.2, 871.3" ); } +fall_transition(x12_1560_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.6, 56.8, 145.6, 345.3, 700.4, 1455.1", \ + " 32.6, 61.6, 146.5, 345.3, 700.4, 1455.1", \ + " 41.2, 68.5, 150.2, 345.4, 700.4, 1455.1", \ + " 50.1, 79.2, 157.3, 347.9, 700.4, 1455.1", \ + " 64.3, 99.1, 173.4, 357.0, 701.9, 1455.1", \ + " 83.4, 123.3, 199.9, 375.7, 711.2, 1455.1", \ + " 110.5, 155.8, 242.9, 410.4, 734.2, 1461.3", \ + " 148.2, 199.6, 299.7, 468.8, 778.8, 1485.4", \ + " 202.5, 261.0, 374.9, 562.5, 858.9, 1540.1", \ + " 283.3, 348.7, 478.5, 695.4, 995.1, 1646.3" ); }} +} +} +cell(iv1v6x1) { /* 2008-01-06:07h19 characteristic delay 9.3 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 312 ; /* iv1v6x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v6x1 FO4 effort 1.06 logical effort 1.08 */ +direction : input ; +capacitance : 3.18 ; +rise_capacitance : 3.10 ; +fall_capacitance : 3.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v6x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 134 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v6x1 5.04 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.42, 2.53, 2.62, 2.66, 2.67", \ + " 2.32, 2.40, 2.51, 2.59, 2.62", \ + " 2.39, 2.41, 2.49, 2.57, 2.61", \ + " 2.55, 2.51, 2.52, 2.57, 2.60", \ + " 2.95, 2.78, 2.66, 2.63, 2.62", \ + " 3.62, 3.31, 3.00, 2.81, 2.72", \ + " 4.76, 4.26, 3.68, 3.23, 2.97", \ + " 6.57, 5.85, 4.90, 4.06, 3.52", \ + " 9.41, 8.46, 7.02, 5.63, 4.62", \ + " 13.85, 12.65, 10.64, 8.44, 6.73" ); }} +timing() { /* ring osc delay iv1v6x1, path a to z 30.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.1 ; */ +/* intrinsic_fall : 33.8 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.56 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 33.3, 66.6, 140.7, 272.2, 551.3", \ + " 28.5, 41.1, 74.3, 148.5, 280.0, 559.2", \ + " 33.2, 47.5, 80.4, 154.5, 286.0, 565.2", \ + " 38.5, 55.3, 88.8, 162.6, 294.1, 573.2", \ + " 46.3, 66.1, 104.1, 177.2, 308.3, 587.3", \ + " 56.0, 78.9, 123.9, 198.7, 329.1, 607.7", \ + " 68.7, 95.3, 147.8, 231.8, 361.0, 638.7", \ + " 85.1, 116.0, 176.8, 276.1, 408.8, 685.0", \ + " 106.8, 143.2, 213.8, 329.8, 481.9, 755.7", \ + " 136.4, 179.3, 262.0, 396.8, 577.1, 865.4" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.6, 73.6, 167.2, 377.8, 752.2, 1547.8", \ + " 47.5, 78.9, 168.4, 377.8, 752.2, 1547.8", \ + " 55.7, 85.5, 172.4, 378.2, 752.2, 1547.8", \ + " 67.9, 95.6, 179.5, 381.2, 752.2, 1547.8", \ + " 84.8, 115.0, 194.7, 390.5, 754.5, 1547.8", \ + " 105.3, 142.3, 219.7, 408.6, 764.6, 1548.1", \ + " 134.1, 176.3, 260.5, 441.3, 787.3, 1556.2", \ + " 174.3, 221.3, 319.6, 496.0, 829.8, 1581.3", \ + " 231.8, 284.3, 396.2, 584.5, 904.8, 1634.5", \ + " 315.8, 374.5, 500.3, 718.3, 1032.2, 1734.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.9, 27.7, 53.2, 110.2, 211.2, 425.9", \ + " 24.1, 34.9, 60.5, 117.6, 218.7, 433.3", \ + " 26.7, 40.0, 66.1, 123.2, 224.3, 439.0", \ + " 28.9, 44.8, 73.7, 130.7, 231.8, 446.5", \ + " 31.2, 50.4, 86.0, 144.0, 245.0, 459.6", \ + " 32.5, 55.4, 98.5, 163.3, 264.0, 478.5", \ + " 32.3, 59.4, 111.0, 190.0, 293.0, 507.1", \ + " 29.7, 61.6, 122.6, 217.9, 335.9, 549.3", \ + " 22.7, 60.3, 132.5, 246.3, 390.1, 613.3", \ + " 8.8, 53.1, 138.5, 273.7, 447.5, 710.5" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 25.0, 48.7, 112.3, 255.7, 510.5, 1052.0", \ + " 33.8, 54.4, 114.3, 255.7, 510.5, 1052.0", \ + " 42.8, 61.8, 119.1, 256.9, 510.5, 1052.0", \ + " 52.1, 73.1, 127.5, 261.4, 510.8, 1052.0", \ + " 67.0, 92.6, 145.4, 273.3, 516.0, 1052.0", \ + " 86.8, 116.1, 174.0, 295.5, 530.0, 1054.7", \ + " 114.6, 148.1, 215.8, 334.7, 559.1, 1069.2", \ + " 153.1, 191.6, 269.1, 397.7, 611.4, 1103.7", \ + " 207.8, 252.5, 341.2, 490.3, 701.1, 1171.7", \ + " 288.0, 339.1, 441.6, 613.1, 846.1, 1295.3" ); }} +} +} +cell(iv1v6x2) { /* 2008-01-06:07h20 characteristic delay 8.9 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 450 ; /* iv1v6x2 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v6x2 FO4 effort 1.02 logical effort 1.04 */ +direction : input ; +capacitance : 4.74 ; +rise_capacitance : 4.63 ; +fall_capacitance : 4.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v6x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 208 ; +max_fanout : 6 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v6x2 7.25 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 3.44, 3.62, 3.77, 3.82, 3.83", \ + " 3.30, 3.43, 3.60, 3.72, 3.76", \ + " 3.42, 3.46, 3.58, 3.69, 3.74", \ + " 3.70, 3.61, 3.62, 3.69, 3.73", \ + " 4.33, 4.05, 3.85, 3.79, 3.77", \ + " 5.40, 4.88, 4.38, 4.07, 3.92", \ + " 7.19, 6.37, 5.43, 4.72, 4.31", \ + " 10.03, 8.85, 7.31, 6.00, 5.15", \ + " 14.47, 12.91, 10.61, 8.42, 6.85", \ + " 21.40, 19.45, 16.23, 12.76, 10.10" ); }} +timing() { /* ring osc delay iv1v6x2, path a to z 28.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.2 ; */ +/* intrinsic_fall : 32.9 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.65 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.6, 32.8, 67.0, 143.2, 278.4, 565.5", \ + " 27.6, 40.6, 74.7, 151.0, 286.3, 573.4", \ + " 32.1, 47.1, 80.8, 157.0, 292.3, 579.4", \ + " 37.3, 54.7, 89.2, 165.2, 300.3, 587.4", \ + " 44.9, 65.5, 104.5, 179.7, 314.6, 601.6", \ + " 54.4, 78.2, 124.5, 201.2, 335.4, 622.0", \ + " 66.9, 94.5, 148.5, 234.2, 367.2, 652.9", \ + " 82.9, 115.2, 177.7, 279.1, 415.0, 699.1", \ + " 104.4, 142.2, 214.8, 333.3, 488.3, 769.8", \ + " 133.6, 178.2, 263.2, 400.9, 584.7, 879.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.8, 72.7, 168.9, 385.5, 770.6, 1589.0", \ + " 45.8, 78.0, 170.1, 385.5, 770.6, 1589.0", \ + " 54.2, 84.7, 174.0, 385.8, 770.6, 1589.0", \ + " 66.6, 94.8, 181.2, 388.7, 770.6, 1589.0", \ + " 82.5, 114.2, 196.3, 397.9, 772.7, 1589.0", \ + " 102.9, 141.3, 221.2, 415.8, 782.4, 1589.1", \ + " 131.4, 175.2, 261.9, 448.2, 804.8, 1596.6", \ + " 171.3, 220.1, 321.1, 502.6, 846.7, 1620.9", \ + " 228.4, 282.9, 397.9, 590.8, 921.1, 1673.3", \ + " 312.1, 372.9, 502.3, 725.2, 1047.8, 1772.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.1, 27.2, 53.5, 112.1, 216.0, 436.7", \ + " 23.2, 34.4, 60.8, 119.4, 223.4, 444.2", \ + " 25.6, 39.5, 66.4, 125.0, 229.0, 449.8", \ + " 27.7, 44.3, 73.9, 132.5, 236.5, 457.3", \ + " 29.7, 49.8, 86.4, 145.9, 249.8, 470.5", \ + " 30.8, 54.6, 99.0, 165.2, 268.8, 489.4", \ + " 30.4, 58.6, 111.6, 192.2, 297.7, 518.0", \ + " 27.4, 60.6, 123.3, 220.6, 340.6, 560.2", \ + " 20.1, 59.2, 133.4, 249.6, 396.0, 624.2", \ + " 5.7, 51.8, 139.6, 277.7, 454.7, 721.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.8, 48.0, 113.5, 260.9, 523.0, 1080.0", \ + " 32.9, 54.0, 115.5, 260.9, 523.0, 1080.0", \ + " 41.6, 61.4, 120.3, 262.1, 523.0, 1080.0", \ + " 50.8, 72.8, 128.7, 266.5, 523.3, 1080.0", \ + " 65.5, 92.0, 146.5, 278.3, 528.2, 1080.0", \ + " 85.0, 115.5, 175.0, 300.3, 541.9, 1082.4", \ + " 112.6, 147.4, 216.9, 339.3, 570.6, 1096.3", \ + " 150.7, 190.8, 270.5, 402.1, 622.4, 1130.0", \ + " 205.2, 251.5, 342.7, 495.1, 711.6, 1197.1", \ + " 285.0, 338.0, 443.3, 618.8, 856.3, 1319.5" ); }} +} +} +cell(iv1v7x1) { /* 2008-01-06:07h20 characteristic delay 9.2 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 312 ; /* iv1v7x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v7x1 FO4 effort 1.04 logical effort 1.07 */ +direction : input ; +capacitance : 3.15 ; +rise_capacitance : 3.08 ; +fall_capacitance : 3.21 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v7x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 134 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v7x1 4.65 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 2.21, 2.33, 2.42, 2.46, 2.46", \ + " 2.12, 2.20, 2.31, 2.39, 2.42", \ + " 2.20, 2.22, 2.29, 2.37, 2.40", \ + " 2.37, 2.32, 2.33, 2.37, 2.40", \ + " 2.78, 2.60, 2.47, 2.43, 2.42", \ + " 3.47, 3.14, 2.82, 2.62, 2.52", \ + " 4.63, 4.10, 3.50, 3.04, 2.78", \ + " 6.45, 5.71, 4.72, 3.88, 3.33", \ + " 9.31, 8.33, 6.86, 5.45, 4.43", \ + " 13.77, 12.54, 10.49, 8.27, 6.55" ); }} +timing() { /* ring osc delay iv1v7x1, path a to z 29.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.1 ; */ +/* intrinsic_fall : 32.8 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 2.56 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 32.3, 65.6, 139.7, 271.1, 550.3", \ + " 27.4, 40.1, 73.3, 147.5, 279.0, 558.2", \ + " 31.9, 46.6, 79.4, 153.5, 285.0, 564.2", \ + " 37.0, 54.2, 87.8, 161.6, 293.1, 572.2", \ + " 44.7, 64.8, 103.1, 176.2, 307.3, 586.3", \ + " 54.1, 77.4, 122.8, 197.7, 328.1, 606.7", \ + " 66.5, 93.6, 146.6, 230.8, 360.0, 637.7", \ + " 82.5, 114.1, 175.4, 275.0, 407.9, 684.0", \ + " 103.9, 140.9, 212.2, 328.6, 481.0, 754.8", \ + " 133.0, 176.7, 260.1, 395.3, 576.0, 864.4" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.4, 71.3, 164.8, 375.4, 749.8, 1545.5", \ + " 45.4, 76.7, 166.2, 375.4, 749.8, 1545.5", \ + " 53.9, 83.4, 170.2, 375.8, 749.8, 1545.5", \ + " 66.3, 93.6, 177.4, 378.9, 749.8, 1545.5", \ + " 82.1, 113.1, 192.7, 388.3, 752.2, 1545.5", \ + " 102.4, 140.0, 217.7, 406.4, 762.3, 1545.7", \ + " 130.9, 173.6, 258.5, 439.2, 785.1, 1553.9", \ + " 170.7, 218.3, 317.4, 493.9, 827.6, 1579.0", \ + " 227.8, 281.0, 393.6, 582.5, 902.7, 1632.3", \ + " 311.4, 370.7, 497.4, 716.1, 1030.2, 1732.7" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.0, 26.9, 52.4, 109.4, 210.4, 425.0", \ + " 23.0, 34.1, 59.7, 116.8, 217.9, 432.5", \ + " 25.4, 39.1, 65.3, 122.3, 223.5, 438.1", \ + " 27.5, 43.7, 72.9, 129.9, 231.0, 445.6", \ + " 29.5, 49.1, 85.1, 143.2, 244.2, 458.8", \ + " 30.5, 53.8, 97.4, 162.5, 263.2, 477.7", \ + " 30.0, 57.6, 109.7, 189.1, 292.2, 506.3", \ + " 27.0, 59.5, 121.1, 216.8, 335.1, 548.5", \ + " 19.6, 57.9, 130.7, 245.0, 389.2, 612.5", \ + " 5.1, 50.3, 136.5, 272.2, 446.4, 709.7" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.5, 47.1, 110.7, 254.1, 508.9, 1050.4", \ + " 32.7, 53.1, 112.8, 254.1, 508.9, 1050.4", \ + " 41.3, 60.6, 117.7, 255.4, 508.9, 1050.4", \ + " 50.5, 72.0, 126.2, 259.9, 509.2, 1050.4", \ + " 65.1, 91.1, 144.2, 271.9, 514.5, 1050.4", \ + " 84.6, 114.4, 172.8, 294.2, 528.6, 1053.1", \ + " 112.1, 146.2, 214.4, 333.5, 557.7, 1067.8", \ + " 150.2, 189.4, 267.5, 396.5, 610.1, 1102.3", \ + " 204.5, 249.9, 339.3, 488.9, 699.9, 1170.3", \ + " 284.4, 336.1, 439.4, 611.5, 844.9, 1294.0" ); }} +} +} +cell(iv1v8x1) { /* 2008-01-06:07h20 characteristic delay 8.8 ps */ +area : 3 ; /* tracks */ +cell_leakage_power : 266 ; /* iv1v8x1 */ +cell_footprint : iv1 ; +pin(a) { /* iv1v8x1 FO4 effort 1.03 logical effort 1.02 */ +direction : input ; +capacitance : 2.44 ; +rise_capacitance : 2.39 ; +fall_capacitance : 2.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of iv1v8x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 111 ; +max_fanout : 4 ; +function : "a'" ; +internal_power(a_z_n) { /* iv1v8x1 3.99 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 1.91, 2.01, 2.07, 2.09, 2.09", \ + " 1.83, 1.90, 1.99, 2.05, 2.06", \ + " 1.88, 1.91, 1.98, 2.03, 2.05", \ + " 2.01, 1.98, 1.99, 2.03, 2.04", \ + " 2.32, 2.18, 2.09, 2.07, 2.06", \ + " 2.86, 2.58, 2.34, 2.20, 2.13", \ + " 3.77, 3.31, 2.83, 2.49, 2.30", \ + " 5.21, 4.55, 3.74, 3.09, 2.68", \ + " 7.49, 6.59, 5.35, 4.24, 3.47", \ + " 11.06, 9.91, 8.13, 6.34, 5.00" ); }} +timing() { /* ring osc delay iv1v8x1, path a to z 29.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.6 ; */ +/* intrinsic_fall : 34.6 ; */ +/* rise_resistance : 3.94 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.6, 35.8, 75.6, 164.5, 322.2, 657.1", \ + " 28.7, 43.7, 83.4, 172.3, 330.1, 665.0", \ + " 33.4, 50.1, 89.5, 178.3, 336.1, 671.0", \ + " 38.7, 58.2, 97.8, 186.4, 344.1, 679.0", \ + " 46.4, 69.6, 112.9, 200.9, 358.3, 693.2", \ + " 55.9, 82.8, 134.0, 222.2, 379.0, 713.5", \ + " 68.2, 99.5, 159.7, 254.8, 410.5, 744.3", \ + " 83.9, 120.3, 190.3, 302.1, 457.8, 790.1", \ + " 104.5, 147.3, 228.6, 360.2, 530.4, 860.1", \ + " 132.3, 182.8, 278.0, 431.5, 634.0, 968.4" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.8, 81.7, 194.0, 446.7, 896.0, 1850.8", \ + " 48.5, 86.5, 194.8, 446.7, 896.0, 1850.8", \ + " 56.7, 92.8, 198.2, 446.7, 896.0, 1850.8", \ + " 68.9, 102.7, 204.7, 448.8, 896.0, 1850.8", \ + " 86.3, 121.8, 219.1, 456.6, 896.8, 1850.8", \ + " 107.3, 150.4, 243.2, 473.1, 904.4, 1850.8", \ + " 136.8, 186.0, 283.3, 503.9, 924.2, 1854.7", \ + " 177.6, 232.9, 344.9, 556.5, 963.2, 1874.5", \ + " 236.1, 298.0, 426.4, 643.6, 1034.2, 1921.5", \ + " 321.1, 390.7, 536.1, 782.1, 1157.5, 2014.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.9, 31.5, 64.2, 137.4, 267.2, 543.2", \ + " 25.4, 38.6, 71.5, 144.7, 274.7, 550.7", \ + " 28.3, 44.2, 77.0, 150.3, 280.3, 556.3", \ + " 30.9, 49.9, 84.6, 157.8, 287.8, 563.8", \ + " 33.7, 56.8, 98.0, 171.1, 301.0, 576.9", \ + " 35.7, 63.1, 113.3, 190.3, 320.0, 595.8", \ + " 36.6, 68.9, 129.1, 219.5, 348.8, 624.3", \ + " 35.2, 73.3, 144.6, 254.2, 391.5, 666.4", \ + " 30.2, 75.1, 159.2, 290.6, 454.5, 730.1", \ + " 19.1, 71.9, 171.4, 327.6, 526.7, 827.8" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.3, 58.0, 139.8, 324.1, 651.7, 1348.0", \ + " 35.8, 62.9, 140.9, 324.1, 651.7, 1348.0", \ + " 44.6, 69.8, 144.9, 324.4, 651.7, 1348.0", \ + " 54.5, 80.5, 152.4, 327.4, 651.7, 1348.0", \ + " 69.7, 100.8, 168.8, 337.2, 654.0, 1348.0", \ + " 89.8, 125.6, 196.1, 356.8, 664.5, 1348.2", \ + " 118.0, 158.9, 239.5, 392.8, 689.2, 1356.6", \ + " 156.9, 203.6, 296.5, 452.9, 735.9, 1383.4", \ + " 212.4, 266.2, 372.3, 548.4, 819.1, 1441.7", \ + " 293.2, 355.0, 476.7, 680.4, 959.0, 1552.9" ); }} +} +} +cell(lant1v0x05) { /* 2008-01-06:07h35 */ +area : 10 ; /* tracks */ +cell_leakage_power : 762 ; /* lant1v0x05 */ +cell_footprint : lant1 ; +latch(IQ,IQN) {enable : e ; + data_in : d ; } +pin(e) { /* lant1v0x05 FO4 effort 2.45 */ +direction : input ; +capacitance : 4.00 ; +rise_capacitance : 4.34 ; +fall_capacitance : 3.66 ; +fanout_load : 1 ; +max_transition : 670.0 ; /* pin e of lant1v0x05 */ +internal_power(e) { /* lant1v0x05 4.27 nW/MHz */ +power(pwr_intran_x10) { +values( " 2.13, 2.06, 2.07, 2.13, 2.28, 2.56, 3.03, 3.79, 5.01, 6.93" ); }} +} +pin(d) { /* lant1v0x05 FO4 effort 2.54 */ +direction : input ; +capacitance : 2.39 ; +rise_capacitance : 2.41 ; +fall_capacitance : 2.37 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of lant1v0x05 */ +timing() { +related_pin : e ; +timing_type : setup_falling ; +rise_constraint(suh_4x4) { +values( " 125.60, 145.00, 177.20, 197.50", \ + " 164.19, 183.80, 208.00, 219.20", \ + " 368.80, 380.00, 372.10, 364.30", \ + " 403.10, 402.70, 402.40, 403.20" ); } +fall_constraint(suh_4x4) { +values( " 132.90, 161.70, 225.70, 313.80", \ + " 115.20, 144.80, 211.00, 342.50", \ + " 111.30, 135.90, 287.50, 365.60", \ + " 208.00, 218.20, 205.70, 252.00" ); }} +timing() { +related_pin : e ; +timing_type : hold_falling ; +rise_constraint(suh_4x4) { +values( " -27.50, -47.10, -73.50, -85.70", \ + " -56.80, -72.49, -89.70, -97.69", \ + "-102.70, -110.20, -120.40, -129.60", \ + "-119.00, -124.10, -134.40, -152.70" ); } +fall_constraint(suh_4x4) { +values( " -42.40, -71.20, -135.90, -227.10", \ + " -22.20, -51.30, -113.80, -201.39", \ + " -0.60, -26.30, -81.59, -166.20", \ + " 24.90, 2.20, -46.90, -125.60" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "IQ" ; +internal_power(e_z) { /* lant1v0x05 21.43 nW/MHz (at clock frequency) */ +related_pin : "e" ; +power(pwr_x05_113_5x10) { +values( " 17.66, 17.51, 17.46, 17.48, 17.48", \ + " 17.57, 17.41, 17.36, 17.38, 17.38", \ + " 17.47, 17.31, 17.26, 17.28, 17.29", \ + " 17.39, 17.22, 17.17, 17.18, 17.19", \ + " 17.36, 17.18, 17.11, 17.12, 17.12", \ + " 17.37, 17.18, 17.10, 17.11, 17.11", \ + " 17.57, 17.37, 17.27, 17.25, 17.25", \ + " 18.01, 17.78, 17.65, 17.61, 17.60", \ + " 18.79, 18.52, 18.34, 18.26, 18.22", \ + " 20.06, 19.73, 19.48, 19.35, 19.27" ); }} +timing() { +related_pin : "e" ; +timing_type : rising_edge ; +/* intrinsic_rise : 98.1 ; */ +/* intrinsic_fall : 168.6 ; */ +/* rise_resistance : 5.07 ; */ +/* fall_resistance : 4.19 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.5, 95.2, 136.1, 216.1, 354.4, 647.8", \ + " 82.9, 101.5, 142.5, 222.5, 360.9, 654.2", \ + " 87.8, 106.4, 147.3, 227.3, 365.7, 659.1", \ + " 94.3, 112.7, 153.5, 233.5, 371.9, 665.2", \ + " 103.7, 122.1, 162.8, 242.7, 381.1, 674.5", \ + " 112.5, 131.5, 172.9, 252.7, 391.0, 684.4", \ + " 120.4, 140.2, 182.5, 263.1, 401.1, 694.3", \ + " 125.4, 146.3, 190.3, 271.3, 409.6, 702.5", \ + " 124.5, 147.1, 193.3, 275.0, 413.0, 706.0", \ + " 112.3, 137.0, 186.5, 269.2, 406.5, 699.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.9, 103.0, 192.4, 397.4, 770.1, 1572.4", \ + " 66.9, 103.1, 192.4, 397.5, 770.1, 1572.4", \ + " 66.9, 103.1, 192.5, 397.5, 770.1, 1572.4", \ + " 67.3, 103.5, 192.7, 397.6, 770.2, 1572.4", \ + " 70.7, 106.1, 194.2, 398.1, 770.3, 1572.4", \ + " 76.0, 111.3, 198.1, 400.2, 771.0, 1572.5", \ + " 83.2, 118.7, 204.7, 404.3, 773.1, 1572.8", \ + " 93.4, 129.4, 213.9, 410.6, 776.1, 1573.9", \ + " 107.1, 144.1, 226.9, 419.3, 780.6, 1575.4", \ + " 124.8, 163.1, 244.7, 431.6, 787.3, 1577.5" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.1, 161.6, 202.0, 269.7, 377.9, 603.7", \ + " 147.5, 168.0, 208.4, 276.1, 384.3, 610.1", \ + " 151.6, 172.1, 212.5, 280.2, 388.4, 614.2", \ + " 155.8, 176.3, 216.7, 284.5, 392.7, 618.4", \ + " 161.2, 181.7, 222.1, 289.8, 398.0, 623.8", \ + " 166.6, 187.0, 227.4, 295.1, 403.3, 629.1", \ + " 173.0, 193.0, 232.8, 300.4, 408.7, 634.5", \ + " 178.0, 197.9, 237.6, 305.1, 413.3, 639.3", \ + " 181.3, 201.3, 241.1, 308.6, 416.8, 642.7", \ + " 181.1, 201.2, 241.3, 308.9, 417.1, 642.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.9, 97.4, 160.4, 293.9, 539.3, 1079.9", \ + " 67.9, 97.4, 160.4, 293.9, 539.3, 1079.9", \ + " 67.9, 97.4, 160.4, 293.9, 539.3, 1079.9", \ + " 67.9, 97.4, 160.4, 293.9, 539.3, 1079.9", \ + " 67.9, 97.4, 160.4, 293.9, 539.3, 1079.9", \ + " 66.8, 96.5, 159.9, 293.7, 539.2, 1079.9", \ + " 66.0, 95.3, 158.6, 293.0, 539.1, 1080.0", \ + " 65.9, 95.1, 158.3, 292.7, 538.9, 1080.1", \ + " 66.5, 95.8, 158.9, 292.9, 538.9, 1080.1", \ + " 68.0, 97.3, 160.1, 293.7, 539.1, 1080.1" ); }} +timing() { +related_pin : "d" ; +/* intrinsic_rise : 110.8 ; */ +/* intrinsic_fall : 153.4 ; */ +/* rise_resistance : 5.13 ; */ +/* fall_resistance : 4.35 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.7, 110.0, 144.0, 209.3, 320.2, 555.0", \ + " 99.3, 114.5, 148.5, 213.8, 324.8, 559.6", \ + " 102.4, 117.7, 151.7, 217.0, 327.9, 562.7", \ + " 106.7, 122.0, 155.9, 221.2, 332.2, 567.0", \ + " 114.1, 129.4, 163.2, 228.5, 339.5, 574.3", \ + " 122.7, 138.3, 172.6, 237.9, 348.8, 583.6", \ + " 132.3, 148.3, 183.3, 249.1, 360.0, 594.7", \ + " 141.8, 158.4, 194.3, 260.6, 371.7, 606.4", \ + " 150.2, 167.6, 204.9, 272.2, 383.3, 618.1", \ + " 154.9, 173.5, 212.9, 282.0, 393.7, 628.5" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.2, 94.5, 166.1, 328.6, 625.0, 1264.9", \ + " 65.3, 94.5, 166.2, 328.7, 625.0, 1264.9", \ + " 65.3, 94.6, 166.2, 328.7, 625.0, 1264.9", \ + " 65.3, 94.7, 166.3, 328.7, 625.1, 1264.9", \ + " 66.7, 95.8, 167.1, 329.1, 625.2, 1265.0", \ + " 69.8, 99.0, 169.6, 330.6, 625.8, 1265.1", \ + " 74.3, 103.7, 174.0, 333.7, 627.5, 1265.7", \ + " 80.6, 110.3, 180.0, 338.2, 630.2, 1266.8", \ + " 89.7, 119.9, 189.3, 345.2, 634.6, 1268.6", \ + " 102.7, 133.7, 203.2, 356.7, 642.6, 1272.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 123.9, 141.0, 175.8, 232.9, 320.9, 501.9", \ + " 131.0, 148.1, 182.9, 240.0, 328.0, 509.0", \ + " 135.8, 152.9, 187.6, 244.7, 332.8, 513.8", \ + " 141.9, 159.0, 193.7, 250.9, 338.9, 519.9", \ + " 152.7, 169.7, 204.4, 261.6, 349.6, 530.6", \ + " 167.9, 184.9, 219.7, 276.9, 365.0, 546.0", \ + " 188.3, 206.1, 241.9, 299.6, 387.7, 568.7", \ + " 215.5, 234.0, 271.4, 330.7, 419.4, 600.5", \ + " 253.2, 272.8, 312.1, 373.3, 463.1, 644.5", \ + " 305.8, 326.7, 368.5, 432.5, 523.7, 705.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.7, 90.2, 142.3, 249.2, 442.9, 871.7", \ + " 65.7, 90.2, 142.4, 249.2, 442.9, 871.7", \ + " 65.7, 90.3, 142.4, 249.2, 442.9, 871.7", \ + " 65.7, 90.3, 142.4, 249.2, 442.9, 871.7", \ + " 66.0, 90.6, 142.7, 249.4, 443.0, 871.7", \ + " 68.6, 92.7, 144.1, 250.1, 443.3, 871.9", \ + " 73.9, 98.2, 149.1, 253.5, 444.9, 872.3", \ + " 80.4, 105.3, 156.7, 260.1, 449.2, 874.1", \ + " 89.4, 114.8, 166.7, 269.0, 455.7, 877.5", \ + " 102.2, 128.4, 180.9, 281.9, 465.2, 882.7" ); }} +} +} +cell(lant1v0x1) { /* 2008-01-06:07h35 */ +area : 10 ; /* tracks */ +cell_leakage_power : 866 ; /* lant1v0x1 */ +cell_footprint : lant1 ; +latch(IQ,IQN) {enable : e ; + data_in : d ; } +pin(e) { /* lant1v0x1 FO4 effort 2.39 */ +direction : input ; +capacitance : 4.00 ; +rise_capacitance : 4.32 ; +fall_capacitance : 3.67 ; +fanout_load : 1 ; +max_transition : 670.0 ; /* pin e of lant1v0x1 */ +internal_power(e) { /* lant1v0x1 4.27 nW/MHz */ +power(pwr_intran_x10) { +values( " 2.13, 2.06, 2.08, 2.13, 2.28, 2.56, 3.03, 3.79, 5.01, 6.93" ); }} +} +pin(d) { /* lant1v0x1 FO4 effort 2.47 */ +direction : input ; +capacitance : 2.38 ; +rise_capacitance : 2.40 ; +fall_capacitance : 2.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of lant1v0x1 */ +timing() { +related_pin : e ; +timing_type : setup_falling ; +rise_constraint(suh_4x4) { +values( " 119.30, 138.90, 172.20, 193.50", \ + " 166.30, 185.90, 210.00, 219.80", \ + " 372.80, 383.80, 376.60, 368.60", \ + " 403.70, 403.50, 403.30, 404.00" ); } +fall_constraint(suh_4x4) { +values( " 140.40, 169.20, 235.90, 328.60", \ + " 123.80, 153.49, 224.30, 303.50", \ + " 125.20, 150.10, 193.60, 351.70", \ + " 226.30, 238.80, 232.80, 271.50" ); }} +timing() { +related_pin : e ; +timing_type : hold_falling ; +rise_constraint(suh_4x4) { +values( " -33.90, -53.60, -82.80, -98.40", \ + " -63.00, -79.80, -99.79, -110.70", \ + "-113.10, -121.30, -132.90, -143.40", \ + "-134.70, -140.00, -150.60, -168.70" ); } +fall_constraint(suh_4x4) { +values( " -52.30, -81.20, -148.00, -242.30", \ + " -32.30, -61.40, -126.40, -217.20", \ + " -10.19, -36.80, -95.30, -183.40", \ + " 15.10, -8.40, -60.80, -143.10" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "IQ" ; +internal_power(e_z) { /* lant1v0x1 23.80 nW/MHz (at clock frequency) */ +related_pin : "e" ; +power(pwr_x1_162_5x10) { +values( " 20.37, 19.98, 19.81, 19.79, 19.78", \ + " 20.29, 19.90, 19.73, 19.71, 19.71", \ + " 20.20, 19.80, 19.62, 19.61, 19.61", \ + " 20.13, 19.72, 19.54, 19.52, 19.52", \ + " 20.12, 19.70, 19.49, 19.46, 19.46", \ + " 20.15, 19.72, 19.49, 19.45, 19.44", \ + " 20.38, 19.92, 19.67, 19.60, 19.58", \ + " 20.88, 20.38, 20.08, 19.97, 19.93", \ + " 21.77, 21.21, 20.82, 20.65, 20.57", \ + " 23.22, 22.56, 22.06, 21.80, 21.66" ); }} +timing() { +related_pin : "e" ; +timing_type : rising_edge ; +/* intrinsic_rise : 105.0 ; */ +/* intrinsic_fall : 178.4 ; */ +/* rise_resistance : 3.41 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.2, 100.7, 140.9, 218.0, 349.9, 629.3", \ + " 88.6, 107.1, 147.3, 224.4, 356.3, 635.7", \ + " 93.5, 112.0, 152.2, 229.3, 361.2, 640.6", \ + " 100.1, 118.5, 158.5, 235.6, 367.5, 647.0", \ + " 110.4, 128.7, 168.6, 245.5, 377.4, 656.8", \ + " 120.8, 139.5, 179.9, 256.7, 388.5, 667.9", \ + " 130.5, 149.9, 191.2, 268.7, 400.2, 679.4", \ + " 137.7, 158.2, 201.0, 279.1, 410.8, 689.7", \ + " 139.6, 161.6, 206.7, 285.5, 417.0, 695.8", \ + " 130.8, 154.8, 203.0, 283.2, 414.1, 692.6" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.7, 103.6, 188.7, 382.7, 736.2, 1499.1", \ + " 68.7, 103.7, 188.8, 382.7, 736.2, 1499.1", \ + " 68.6, 103.7, 188.8, 382.7, 736.2, 1499.1", \ + " 68.7, 103.9, 189.0, 382.8, 736.3, 1499.1", \ + " 71.6, 106.0, 190.2, 383.3, 736.4, 1499.1", \ + " 77.1, 111.2, 194.0, 385.3, 737.1, 1499.2", \ + " 84.7, 119.0, 200.8, 389.6, 739.3, 1499.6", \ + " 95.4, 130.0, 210.6, 396.5, 742.9, 1500.9", \ + " 110.2, 145.5, 224.6, 406.1, 748.2, 1502.7", \ + " 129.7, 166.0, 244.1, 419.9, 756.1, 1505.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 149.6, 170.2, 210.9, 277.6, 381.7, 597.0", \ + " 156.0, 176.7, 217.4, 284.1, 388.2, 603.5", \ + " 160.2, 180.8, 221.5, 288.2, 392.3, 607.6", \ + " 164.5, 185.2, 225.8, 292.6, 396.7, 611.9", \ + " 169.9, 190.5, 231.2, 297.9, 402.0, 617.3", \ + " 175.1, 195.8, 236.5, 303.2, 407.3, 622.6", \ + " 181.7, 201.9, 242.0, 308.6, 412.7, 628.0", \ + " 186.9, 206.9, 246.9, 313.2, 417.4, 632.8", \ + " 190.3, 210.4, 250.4, 316.8, 420.8, 636.3", \ + " 190.2, 210.5, 250.7, 317.2, 421.3, 636.5" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.9, 100.9, 162.0, 288.8, 520.5, 1032.8", \ + " 71.8, 100.9, 162.0, 288.8, 520.5, 1032.8", \ + " 71.9, 100.9, 162.0, 288.8, 520.5, 1032.8", \ + " 71.9, 100.9, 162.0, 288.8, 520.5, 1032.8", \ + " 71.9, 100.9, 162.0, 288.8, 520.5, 1032.8", \ + " 70.9, 100.2, 161.6, 288.6, 520.4, 1032.8", \ + " 70.1, 98.9, 160.2, 287.7, 520.1, 1032.8", \ + " 69.9, 98.5, 159.7, 287.3, 519.9, 1032.9", \ + " 70.4, 99.1, 160.3, 287.5, 519.9, 1032.9", \ + " 71.8, 100.6, 161.5, 288.3, 520.1, 1032.9" ); }} +timing() { +related_pin : "d" ; +/* intrinsic_rise : 118.8 ; */ +/* intrinsic_fall : 166.2 ; */ +/* rise_resistance : 3.41 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.7, 120.2, 160.3, 237.3, 369.2, 648.7", \ + " 106.3, 124.7, 164.9, 241.9, 373.8, 653.2", \ + " 109.5, 127.9, 168.0, 245.0, 377.0, 656.4", \ + " 113.8, 132.2, 172.3, 249.3, 381.2, 660.7", \ + " 121.4, 139.7, 179.8, 256.7, 388.7, 668.1", \ + " 130.6, 149.3, 189.6, 266.5, 398.4, 677.8", \ + " 141.1, 160.3, 201.3, 278.6, 410.4, 689.8", \ + " 151.9, 171.7, 213.7, 291.5, 423.4, 702.6", \ + " 161.8, 182.5, 226.0, 304.6, 436.4, 715.7", \ + " 168.7, 190.7, 236.3, 316.6, 448.7, 727.9" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.4, 104.3, 189.2, 382.9, 736.3, 1499.1", \ + " 69.4, 104.4, 189.2, 382.9, 736.3, 1499.1", \ + " 69.4, 104.4, 189.2, 382.9, 736.3, 1499.1", \ + " 69.4, 104.5, 189.3, 382.9, 736.3, 1499.1", \ + " 70.5, 105.2, 189.9, 383.2, 736.4, 1499.1", \ + " 73.7, 108.3, 192.1, 384.4, 736.8, 1499.2", \ + " 78.3, 113.2, 196.5, 387.2, 738.2, 1499.6", \ + " 84.8, 120.0, 202.7, 391.6, 740.7, 1500.5", \ + " 94.4, 130.0, 212.0, 398.4, 744.7, 1502.1", \ + " 108.1, 144.4, 226.2, 409.6, 751.9, 1505.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 134.2, 154.9, 195.6, 262.3, 366.4, 581.7", \ + " 141.3, 162.0, 202.8, 269.5, 373.6, 588.9", \ + " 146.1, 166.8, 207.5, 274.2, 378.3, 593.6", \ + " 152.3, 172.9, 213.7, 280.4, 384.5, 599.8", \ + " 163.0, 183.7, 224.4, 291.1, 395.2, 610.5", \ + " 178.6, 199.1, 239.8, 306.6, 410.7, 626.0", \ + " 200.0, 221.2, 262.6, 329.6, 433.8, 649.1", \ + " 228.2, 250.3, 293.4, 361.8, 466.3, 681.6", \ + " 267.2, 290.3, 335.5, 405.8, 511.4, 726.8", \ + " 321.4, 346.0, 393.9, 466.9, 573.6, 789.6" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.9, 100.9, 162.0, 288.8, 520.4, 1032.8", \ + " 71.9, 100.9, 162.0, 288.8, 520.4, 1032.8", \ + " 71.9, 100.9, 162.0, 288.8, 520.4, 1032.8", \ + " 71.9, 101.0, 162.1, 288.8, 520.4, 1032.8", \ + " 72.1, 101.2, 162.2, 288.9, 520.5, 1032.8", \ + " 73.9, 102.6, 163.2, 289.4, 520.7, 1032.9", \ + " 79.4, 108.0, 167.5, 291.9, 521.8, 1033.2", \ + " 86.4, 115.5, 175.4, 298.1, 525.4, 1034.5", \ + " 95.8, 125.4, 185.6, 307.2, 531.5, 1037.5", \ + " 109.1, 139.4, 200.1, 319.9, 540.5, 1042.0" ); }} +} +} +cell(mxi2v0x05) { /* 2008-01-06:07h35 characteristic delay 21.2 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 670 ; /* mxi2v0x05 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v0x05 FO4 effort 1.78 logical effort 1.98 */ +direction : input ; +capacitance : 2.69 ; +rise_capacitance : 2.57 ; +fall_capacitance : 2.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v0x05 */ +} +pin(a1) { /* mxi2v0x05 FO4 effort 1.84 logical effort 2.07 */ +direction : input ; +capacitance : 2.81 ; +rise_capacitance : 2.67 ; +fall_capacitance : 2.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v0x05 */ +} +pin(s) { /* mxi2v0x05 FO4 effort 2.55 logical effort 3.39 */ +direction : input ; +capacitance : 4.44 ; +rise_capacitance : 4.42 ; +fall_capacitance : 4.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v0x05 */ +internal_power(s) { /* mxi2v0x05 6.40 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.32, 3.18, 3.17, 3.20, 3.31, 3.54, 3.96, 4.66, 5.82, 7.68" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v0x05 7.76 nW/MHz */ +related_pin : "a0" ; +power(pwr_x05_81_5x10) { +values( " 3.87, 3.92, 3.97, 4.01, 4.02", \ + " 3.77, 3.82, 3.91, 3.98, 4.02", \ + " 3.76, 3.80, 3.88, 3.96, 4.01", \ + " 3.81, 3.83, 3.88, 3.95, 4.00", \ + " 3.96, 3.95, 3.94, 3.97, 4.01", \ + " 4.29, 4.22, 4.13, 4.08, 4.06", \ + " 4.88, 4.74, 4.53, 4.34, 4.22", \ + " 5.88, 5.65, 5.27, 4.87, 4.58", \ + " 7.53, 7.20, 6.60, 5.90, 5.33", \ + " 10.19, 9.75, 8.88, 7.76, 6.78" ); }} +internal_power(a1_z_n) { /* mxi2v0x05 7.90 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 3.95, 4.01, 4.08, 4.13, 4.14", \ + " 3.82, 3.89, 4.00, 4.08, 4.13", \ + " 3.81, 3.86, 3.96, 4.06, 4.11", \ + " 3.84, 3.87, 3.95, 4.04, 4.10", \ + " 3.99, 3.98, 4.00, 4.05, 4.10", \ + " 4.31, 4.24, 4.17, 4.14, 4.14", \ + " 4.90, 4.76, 4.56, 4.39, 4.29", \ + " 5.89, 5.67, 5.30, 4.91, 4.64", \ + " 7.54, 7.21, 6.62, 5.93, 5.38", \ + " 10.20, 9.76, 8.90, 7.79, 6.81" ); }} +internal_power(s_z_n) { /* mxi2v0x05 15.08 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_81_5x10) { +values( " 4.37, 4.38, 4.39, 4.40, 4.39", \ + " 4.30, 4.33, 4.37, 4.40, 4.40", \ + " 4.28, 4.31, 4.35, 4.39, 4.40", \ + " 4.28, 4.30, 4.34, 4.38, 4.40", \ + " 4.35, 4.35, 4.37, 4.39, 4.41", \ + " 4.56, 4.52, 4.48, 4.46, 4.45", \ + " 5.04, 4.95, 4.80, 4.67, 4.58", \ + " 5.97, 5.78, 5.47, 5.13, 4.89", \ + " 7.56, 7.28, 6.75, 6.10, 5.58", \ + " 10.17, 9.79, 9.01, 7.93, 6.96" ); }} +internal_power(s_z_p) { /* mxi2v0x05 15.73 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_81_5x10) { +values( " 4.65, 4.66, 4.67, 4.66, 4.65", \ + " 4.63, 4.65, 4.68, 4.69, 4.68", \ + " 4.62, 4.64, 4.67, 4.69, 4.69", \ + " 4.62, 4.64, 4.67, 4.69, 4.69", \ + " 4.64, 4.65, 4.68, 4.70, 4.70", \ + " 4.75, 4.74, 4.73, 4.73, 4.73", \ + " 4.99, 4.95, 4.89, 4.83, 4.79", \ + " 5.46, 5.37, 5.22, 5.07, 4.95", \ + " 6.29, 6.14, 5.87, 5.55, 5.30", \ + " 7.66, 7.45, 7.03, 6.48, 5.99" ); }} +timing() { /* ring osc delay mxi2v0x05, path a0 to z 88.3 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 58.9 ; */ +/* intrinsic_fall : 53.4 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 56.5, 94.0, 177.0, 323.8, 634.2", \ + " 47.5, 61.9, 99.5, 182.9, 329.9, 640.4", \ + " 52.9, 66.9, 104.3, 187.6, 334.7, 645.3", \ + " 60.3, 74.3, 111.2, 194.3, 341.2, 651.9", \ + " 70.4, 87.0, 124.2, 206.5, 353.1, 663.6", \ + " 82.9, 101.7, 143.7, 224.9, 370.7, 680.6", \ + " 99.6, 120.6, 168.3, 253.9, 398.1, 706.9", \ + " 121.5, 145.1, 198.8, 296.2, 440.1, 746.7", \ + " 150.7, 177.7, 238.4, 349.0, 505.8, 808.5", \ + " 190.7, 221.7, 291.1, 416.2, 596.1, 905.7" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.3, 175.9, 276.8, 505.3, 912.8, 1779.4", \ + " 141.6, 177.5, 276.6, 505.3, 912.8, 1779.4", \ + " 147.6, 182.3, 279.1, 505.2, 912.8, 1779.4", \ + " 156.9, 190.3, 284.7, 506.8, 912.7, 1779.4", \ + " 174.6, 206.7, 297.5, 514.3, 913.8, 1779.4", \ + " 201.9, 232.5, 319.6, 530.0, 922.0, 1779.4", \ + " 244.6, 273.7, 356.9, 559.5, 942.0, 1785.6", \ + " 292.7, 329.4, 415.3, 610.3, 980.7, 1807.7", \ + " 362.2, 401.4, 500.0, 693.8, 1050.8, 1856.2", \ + " 463.8, 505.7, 611.8, 826.1, 1171.5, 1949.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.1, 52.8, 80.2, 140.2, 245.5, 468.3", \ + " 48.2, 59.0, 86.6, 146.8, 252.2, 475.1", \ + " 53.1, 63.7, 91.3, 151.6, 257.1, 480.0", \ + " 59.5, 70.4, 97.7, 158.0, 263.6, 486.5", \ + " 67.1, 80.3, 109.4, 169.4, 274.9, 497.9", \ + " 74.4, 89.9, 124.4, 186.1, 291.4, 514.2", \ + " 81.4, 99.4, 140.0, 211.2, 316.5, 539.0", \ + " 87.2, 108.2, 155.5, 239.5, 354.2, 575.9", \ + " 90.7, 115.1, 170.3, 268.8, 404.6, 632.3", \ + " 89.3, 118.1, 182.8, 298.0, 458.3, 718.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.5, 104.2, 175.2, 334.9, 618.6, 1221.5", \ + " 79.3, 105.1, 175.1, 334.8, 618.6, 1221.5", \ + " 84.2, 109.3, 177.6, 334.8, 618.6, 1221.5", \ + " 92.6, 116.9, 183.3, 337.5, 618.5, 1221.5", \ + " 109.8, 133.0, 197.0, 346.6, 621.8, 1221.4", \ + " 133.0, 159.6, 220.6, 365.2, 633.5, 1223.1", \ + " 163.7, 192.7, 259.7, 399.4, 659.2, 1235.9", \ + " 207.4, 238.9, 313.4, 455.4, 706.7, 1267.6", \ + " 270.6, 305.4, 386.4, 542.6, 788.6, 1331.0", \ + " 361.4, 401.4, 491.2, 661.6, 920.4, 1447.0" ); }} +timing() { /* ring osc delay mxi2v0x05, path a0 to z 83.2 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 58.9 ; */ +/* intrinsic_fall : 53.4 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.0, 62.3, 99.3, 181.3, 326.5, 634.5", \ + " 53.5, 67.7, 104.8, 187.2, 332.6, 640.8", \ + " 58.6, 72.6, 109.6, 192.0, 337.4, 645.6", \ + " 66.3, 79.9, 116.5, 198.6, 344.0, 652.2", \ + " 77.2, 93.0, 129.3, 210.7, 355.8, 663.9", \ + " 90.3, 108.2, 148.8, 229.1, 373.4, 681.0", \ + " 107.4, 127.5, 173.9, 258.0, 400.8, 707.2", \ + " 129.4, 152.2, 204.5, 300.2, 442.6, 747.0", \ + " 158.5, 184.7, 243.9, 352.8, 508.0, 808.7", \ + " 197.2, 227.7, 295.9, 419.4, 597.8, 905.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.7, 143.9, 246.0, 475.6, 883.8, 1751.1", \ + " 107.9, 144.9, 245.8, 475.6, 883.8, 1751.1", \ + " 113.2, 149.1, 247.7, 475.5, 883.8, 1751.1", \ + " 121.7, 156.5, 252.8, 476.8, 883.8, 1751.1", \ + " 138.7, 172.0, 265.0, 483.9, 884.7, 1751.1", \ + " 166.4, 197.2, 286.4, 499.1, 892.5, 1751.1", \ + " 200.4, 239.0, 323.0, 528.0, 912.1, 1757.0", \ + " 244.2, 285.7, 380.9, 578.0, 950.2, 1778.7", \ + " 308.8, 353.1, 459.7, 660.6, 1019.7, 1826.8", \ + " 403.9, 451.9, 566.7, 790.1, 1139.5, 1919.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.8, 44.5, 72.0, 131.7, 236.6, 459.0", \ + " 39.7, 50.5, 78.3, 138.3, 243.3, 465.8", \ + " 44.7, 55.3, 83.0, 143.0, 248.2, 470.8", \ + " 49.5, 61.9, 89.4, 149.5, 254.6, 477.3", \ + " 55.5, 70.1, 101.2, 160.9, 266.0, 488.6", \ + " 61.4, 78.2, 114.8, 177.7, 282.5, 505.0", \ + " 66.9, 86.1, 128.8, 202.3, 307.8, 529.9", \ + " 71.1, 93.2, 142.5, 229.0, 345.6, 566.8", \ + " 72.4, 98.1, 155.4, 256.4, 394.6, 623.4", \ + " 68.6, 98.6, 165.8, 283.8, 446.6, 709.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.2, 98.7, 169.5, 329.0, 612.7, 1215.3", \ + " 75.9, 100.9, 169.9, 329.0, 612.6, 1215.3", \ + " 82.2, 106.2, 173.1, 329.3, 612.6, 1215.3", \ + " 92.1, 115.2, 179.8, 332.6, 612.6, 1215.3", \ + " 111.9, 133.2, 195.0, 342.7, 616.6, 1215.2", \ + " 135.7, 161.1, 220.2, 362.4, 629.1, 1217.4", \ + " 168.6, 195.9, 261.4, 398.1, 655.8, 1230.9", \ + " 215.0, 244.3, 315.9, 455.7, 704.6, 1263.4", \ + " 281.1, 313.5, 390.8, 544.2, 788.0, 1327.9", \ + " 375.4, 412.4, 498.1, 664.5, 921.4, 1445.2" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 58.9 ; */ +/* intrinsic_fall : 53.4 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 56.5, 94.0, 177.0, 323.8, 634.2", \ + " 47.5, 61.9, 99.5, 182.9, 329.9, 640.4", \ + " 52.9, 66.9, 104.3, 187.6, 334.7, 645.3", \ + " 60.3, 74.3, 111.2, 194.3, 341.2, 651.9", \ + " 70.4, 87.0, 124.2, 206.5, 353.1, 663.6", \ + " 82.9, 101.7, 143.7, 224.9, 370.7, 680.6", \ + " 99.6, 120.6, 168.3, 253.9, 398.1, 706.9", \ + " 121.5, 145.1, 198.8, 296.2, 440.1, 746.7", \ + " 150.7, 177.7, 238.4, 349.0, 505.8, 808.5", \ + " 190.7, 221.7, 291.1, 416.2, 596.1, 905.7" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.3, 175.9, 276.8, 505.3, 912.8, 1779.4", \ + " 141.6, 177.5, 276.6, 505.3, 912.8, 1779.4", \ + " 147.6, 182.3, 279.1, 505.2, 912.8, 1779.4", \ + " 156.9, 190.3, 284.7, 506.8, 912.7, 1779.4", \ + " 174.6, 206.7, 297.5, 514.3, 913.8, 1779.4", \ + " 201.9, 232.5, 319.6, 530.0, 922.0, 1779.4", \ + " 244.6, 273.7, 356.9, 559.5, 942.0, 1785.6", \ + " 292.7, 329.4, 415.3, 610.3, 980.7, 1807.7", \ + " 362.2, 401.4, 500.0, 693.8, 1050.8, 1856.2", \ + " 463.8, 505.7, 611.8, 826.1, 1171.5, 1949.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.1, 52.8, 80.2, 140.2, 245.5, 468.3", \ + " 48.2, 59.0, 86.6, 146.8, 252.2, 475.1", \ + " 53.1, 63.7, 91.3, 151.6, 257.1, 480.0", \ + " 59.5, 70.4, 97.7, 158.0, 263.6, 486.5", \ + " 67.1, 80.3, 109.4, 169.4, 274.9, 497.9", \ + " 74.4, 89.9, 124.4, 186.1, 291.4, 514.2", \ + " 81.4, 99.4, 140.0, 211.2, 316.5, 539.0", \ + " 87.2, 108.2, 155.5, 239.5, 354.2, 575.9", \ + " 90.7, 115.1, 170.3, 268.8, 404.6, 632.3", \ + " 89.3, 118.1, 182.8, 298.0, 458.3, 718.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.5, 104.2, 175.2, 334.9, 618.6, 1221.5", \ + " 79.3, 105.1, 175.1, 334.8, 618.6, 1221.5", \ + " 84.2, 109.3, 177.6, 334.8, 618.6, 1221.5", \ + " 92.6, 116.9, 183.3, 337.5, 618.5, 1221.5", \ + " 109.8, 133.0, 197.0, 346.6, 621.8, 1221.4", \ + " 133.0, 159.6, 220.6, 365.2, 633.5, 1223.1", \ + " 163.7, 192.7, 259.7, 399.4, 659.2, 1235.9", \ + " 207.4, 238.9, 313.4, 455.4, 706.7, 1267.6", \ + " 270.6, 305.4, 386.4, 542.6, 788.6, 1331.0", \ + " 361.4, 401.4, 491.2, 661.6, 920.4, 1447.0" ); }} +timing() { /* ring osc delay mxi2v0x05, path a1 to z 89.5 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.2 ; */ +/* intrinsic_fall : 54.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.4, 58.0, 95.7, 178.9, 325.7, 636.2", \ + " 48.7, 63.2, 101.1, 184.7, 331.9, 642.5", \ + " 54.1, 68.2, 105.8, 189.4, 336.6, 647.4", \ + " 61.6, 75.6, 112.6, 196.0, 343.1, 653.9", \ + " 71.6, 88.3, 125.5, 208.1, 354.9, 665.6", \ + " 84.0, 102.8, 145.0, 226.4, 372.4, 682.6", \ + " 100.6, 121.7, 169.6, 255.3, 399.7, 708.8", \ + " 122.4, 146.1, 200.0, 297.6, 441.6, 748.4", \ + " 151.7, 178.7, 239.5, 350.2, 507.2, 810.1", \ + " 191.7, 222.7, 292.1, 417.3, 597.4, 907.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.6, 176.2, 277.2, 505.8, 913.3, 1779.9", \ + " 141.6, 177.4, 277.0, 505.8, 913.3, 1779.9", \ + " 147.7, 182.3, 279.1, 505.7, 913.3, 1779.9", \ + " 157.0, 190.5, 284.7, 507.0, 913.2, 1779.9", \ + " 174.9, 206.9, 297.6, 514.5, 914.1, 1779.9", \ + " 202.3, 232.7, 319.7, 530.1, 922.2, 1779.9", \ + " 245.9, 274.1, 357.1, 559.7, 942.2, 1785.9", \ + " 293.5, 330.4, 415.7, 610.5, 980.8, 1807.9", \ + " 362.7, 402.1, 500.9, 694.1, 1050.9, 1856.4", \ + " 464.1, 506.1, 612.4, 826.9, 1171.7, 1949.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 54.5, 81.9, 141.7, 246.9, 469.6", \ + " 49.6, 60.4, 88.0, 148.1, 253.5, 476.3", \ + " 54.4, 65.1, 92.6, 152.8, 258.3, 481.2", \ + " 60.8, 71.6, 99.0, 159.2, 264.7, 487.6", \ + " 68.4, 81.6, 110.6, 170.6, 276.0, 499.0", \ + " 75.6, 91.1, 125.6, 187.2, 292.5, 515.3", \ + " 82.5, 100.5, 141.1, 212.4, 317.6, 540.1", \ + " 88.2, 109.2, 156.5, 240.6, 355.4, 577.0", \ + " 91.7, 116.1, 171.3, 269.8, 405.7, 633.4", \ + " 90.3, 119.0, 183.8, 299.0, 459.4, 719.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.0, 103.5, 174.2, 333.6, 617.3, 1220.1", \ + " 78.4, 104.1, 174.0, 333.6, 617.3, 1220.1", \ + " 83.3, 108.2, 176.3, 333.5, 617.3, 1220.1", \ + " 91.7, 115.8, 182.0, 336.1, 617.2, 1220.1", \ + " 109.2, 132.1, 195.7, 345.2, 620.4, 1220.1", \ + " 132.8, 159.0, 219.5, 363.7, 632.0, 1221.8", \ + " 163.2, 192.2, 259.0, 398.1, 657.7, 1234.5", \ + " 206.8, 238.3, 312.8, 454.4, 705.3, 1266.1", \ + " 269.9, 304.8, 385.8, 542.1, 787.4, 1329.5", \ + " 360.8, 400.7, 490.5, 661.0, 919.7, 1445.6" ); }} +timing() { /* ring osc delay mxi2v0x05, path a1 to z 84.4 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.2 ; */ +/* intrinsic_fall : 54.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 63.8, 101.0, 183.2, 328.4, 636.5", \ + " 54.7, 69.0, 106.5, 189.0, 334.5, 642.8", \ + " 59.8, 73.9, 111.1, 193.7, 339.3, 647.7", \ + " 67.4, 81.1, 117.9, 200.3, 345.8, 654.2", \ + " 78.3, 94.3, 130.6, 212.3, 357.6, 665.9", \ + " 91.3, 109.3, 150.1, 230.5, 375.0, 682.9", \ + " 108.2, 128.5, 175.0, 259.3, 402.3, 709.0", \ + " 130.2, 153.1, 205.5, 301.5, 444.1, 748.7", \ + " 159.2, 185.4, 244.8, 353.9, 509.3, 810.3", \ + " 197.9, 228.5, 296.8, 420.4, 599.0, 907.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.9, 144.1, 246.2, 475.9, 884.1, 1751.4", \ + " 107.6, 144.6, 246.0, 475.9, 884.1, 1751.4", \ + " 113.0, 149.0, 247.5, 475.8, 884.1, 1751.4", \ + " 121.6, 156.4, 252.7, 476.8, 884.1, 1751.4", \ + " 138.7, 172.0, 264.9, 483.8, 884.8, 1751.4", \ + " 166.5, 197.2, 286.3, 499.0, 892.5, 1751.4", \ + " 201.0, 239.4, 322.9, 527.9, 912.1, 1757.1", \ + " 244.3, 286.1, 381.1, 577.9, 950.1, 1778.7", \ + " 308.7, 353.2, 460.1, 660.6, 1019.6, 1826.7", \ + " 403.5, 451.7, 566.7, 790.7, 1139.5, 1919.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.4, 46.2, 73.8, 133.5, 238.4, 460.8", \ + " 41.0, 51.9, 79.8, 139.9, 245.0, 467.5", \ + " 45.9, 56.5, 84.4, 144.6, 249.8, 472.4", \ + " 50.7, 63.1, 90.8, 150.9, 256.2, 478.8", \ + " 56.5, 71.2, 102.4, 162.3, 267.5, 490.2", \ + " 62.3, 79.1, 115.9, 179.0, 283.9, 506.5", \ + " 67.7, 87.0, 129.8, 203.5, 309.1, 531.3", \ + " 71.7, 93.9, 143.4, 230.1, 346.9, 568.2", \ + " 73.0, 98.8, 156.3, 257.4, 395.8, 624.7", \ + " 69.1, 99.3, 166.5, 284.7, 447.7, 710.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.0, 98.4, 169.1, 328.5, 612.0, 1214.6", \ + " 75.4, 100.3, 169.2, 328.5, 612.0, 1214.6", \ + " 81.7, 105.6, 172.4, 328.6, 612.0, 1214.6", \ + " 91.7, 114.6, 179.1, 331.8, 612.0, 1214.6", \ + " 111.6, 132.6, 194.2, 341.9, 615.9, 1214.6", \ + " 135.6, 161.1, 219.5, 361.5, 628.3, 1216.6", \ + " 168.2, 195.6, 261.0, 397.3, 654.9, 1230.0", \ + " 214.4, 243.8, 315.6, 455.0, 703.7, 1262.5", \ + " 280.4, 312.8, 390.3, 543.9, 787.1, 1326.9", \ + " 374.6, 411.6, 497.4, 664.0, 920.8, 1444.3" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 60.2 ; */ +/* intrinsic_fall : 54.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.4, 58.0, 95.7, 178.9, 325.7, 636.2", \ + " 48.7, 63.2, 101.1, 184.7, 331.9, 642.5", \ + " 54.1, 68.2, 105.8, 189.4, 336.6, 647.4", \ + " 61.6, 75.6, 112.6, 196.0, 343.1, 653.9", \ + " 71.6, 88.3, 125.5, 208.1, 354.9, 665.6", \ + " 84.0, 102.8, 145.0, 226.4, 372.4, 682.6", \ + " 100.6, 121.7, 169.6, 255.3, 399.7, 708.8", \ + " 122.4, 146.1, 200.0, 297.6, 441.6, 748.4", \ + " 151.7, 178.7, 239.5, 350.2, 507.2, 810.1", \ + " 191.7, 222.7, 292.1, 417.3, 597.4, 907.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 138.6, 176.2, 277.2, 505.8, 913.3, 1779.9", \ + " 141.6, 177.4, 277.0, 505.8, 913.3, 1779.9", \ + " 147.7, 182.3, 279.1, 505.7, 913.3, 1779.9", \ + " 157.0, 190.5, 284.7, 507.0, 913.2, 1779.9", \ + " 174.9, 206.9, 297.6, 514.5, 914.1, 1779.9", \ + " 202.3, 232.7, 319.7, 530.1, 922.2, 1779.9", \ + " 245.9, 274.1, 357.1, 559.7, 942.2, 1785.9", \ + " 293.5, 330.4, 415.7, 610.5, 980.8, 1807.9", \ + " 362.7, 402.1, 500.9, 694.1, 1050.9, 1856.4", \ + " 464.1, 506.1, 612.4, 826.9, 1171.7, 1949.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 54.5, 81.9, 141.7, 246.9, 469.6", \ + " 49.6, 60.4, 88.0, 148.1, 253.5, 476.3", \ + " 54.4, 65.1, 92.6, 152.8, 258.3, 481.2", \ + " 60.8, 71.6, 99.0, 159.2, 264.7, 487.6", \ + " 68.4, 81.6, 110.6, 170.6, 276.0, 499.0", \ + " 75.6, 91.1, 125.6, 187.2, 292.5, 515.3", \ + " 82.5, 100.5, 141.1, 212.4, 317.6, 540.1", \ + " 88.2, 109.2, 156.5, 240.6, 355.4, 577.0", \ + " 91.7, 116.1, 171.3, 269.8, 405.7, 633.4", \ + " 90.3, 119.0, 183.8, 299.0, 459.4, 719.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.0, 103.5, 174.2, 333.6, 617.3, 1220.1", \ + " 78.4, 104.1, 174.0, 333.6, 617.3, 1220.1", \ + " 83.3, 108.2, 176.3, 333.5, 617.3, 1220.1", \ + " 91.7, 115.8, 182.0, 336.1, 617.2, 1220.1", \ + " 109.2, 132.1, 195.7, 345.2, 620.4, 1220.1", \ + " 132.8, 159.0, 219.5, 363.7, 632.0, 1221.8", \ + " 163.2, 192.2, 259.0, 398.1, 657.7, 1234.5", \ + " 206.8, 238.3, 312.8, 454.4, 705.3, 1266.1", \ + " 269.9, 304.8, 385.8, 542.1, 787.4, 1329.5", \ + " 360.8, 400.7, 490.5, 661.0, 919.7, 1445.6" ); }} +timing() { /* ring osc delay mxi2v0x05, path s to z 87.8 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.6 ; */ +/* intrinsic_fall : 53.8 ; */ +/* rise_resistance : 7.26 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.7, 69.4, 105.6, 187.1, 332.0, 639.7", \ + " 62.7, 76.5, 112.9, 194.7, 339.7, 647.4", \ + " 67.6, 81.4, 117.8, 199.7, 344.7, 652.5", \ + " 74.0, 87.7, 124.1, 205.9, 351.0, 658.9", \ + " 83.1, 98.4, 135.0, 216.7, 361.8, 669.7", \ + " 93.8, 110.7, 150.7, 232.3, 377.2, 685.1", \ + " 108.5, 127.1, 171.0, 256.3, 400.8, 708.3", \ + " 128.5, 149.2, 197.4, 290.5, 436.3, 742.9", \ + " 156.5, 179.8, 233.3, 335.0, 490.7, 796.0", \ + " 195.1, 222.0, 282.6, 394.8, 565.0, 878.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.7, 145.8, 250.3, 485.2, 903.0, 1790.8", \ + " 107.4, 146.2, 250.4, 485.2, 903.1, 1790.8", \ + " 110.6, 148.7, 251.3, 485.3, 903.0, 1790.8", \ + " 116.1, 153.5, 254.9, 486.4, 903.0, 1790.8", \ + " 127.7, 164.0, 263.4, 491.9, 904.4, 1790.8", \ + " 149.3, 182.9, 278.9, 503.2, 911.1, 1791.3", \ + " 181.9, 217.6, 307.6, 525.1, 926.3, 1797.9", \ + " 227.9, 264.4, 356.9, 564.6, 955.9, 1815.7", \ + " 295.0, 332.6, 429.8, 633.0, 1011.1, 1853.6", \ + " 390.2, 430.3, 532.5, 745.6, 1108.5, 1927.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.5, 55.0, 82.0, 141.6, 246.6, 469.2", \ + " 48.7, 59.2, 86.4, 146.2, 251.3, 473.9", \ + " 51.7, 62.3, 89.6, 149.4, 254.6, 477.2", \ + " 55.2, 66.4, 93.8, 153.7, 259.0, 481.7", \ + " 59.8, 72.1, 101.5, 161.5, 266.7, 489.4", \ + " 64.6, 78.3, 110.4, 172.8, 278.0, 500.7", \ + " 69.0, 84.7, 120.8, 188.8, 295.2, 517.7", \ + " 71.9, 90.2, 131.7, 207.2, 320.7, 543.1", \ + " 72.0, 93.4, 141.9, 228.0, 352.9, 581.8", \ + " 67.0, 92.2, 149.0, 249.4, 390.3, 638.7" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 78.2, 105.2, 177.6, 340.8, 631.1, 1247.8", \ + " 80.3, 106.8, 178.2, 340.8, 631.1, 1247.8", \ + " 84.1, 110.0, 180.6, 341.8, 631.2, 1247.9", \ + " 90.8, 116.0, 185.1, 344.5, 632.0, 1247.9", \ + " 106.3, 129.6, 195.8, 351.7, 636.1, 1248.3", \ + " 127.6, 152.7, 215.5, 366.1, 645.4, 1252.2", \ + " 158.5, 184.8, 250.3, 393.6, 665.1, 1263.2", \ + " 202.8, 230.6, 299.4, 441.6, 702.4, 1287.4", \ + " 267.1, 297.1, 369.9, 518.8, 769.8, 1336.2", \ + " 359.5, 393.1, 472.2, 629.7, 885.2, 1428.3" ); }} +timing() { /* ring osc delay mxi2v0x05, path s to z 134.1 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 93.9 ; */ +/* intrinsic_fall : 126.8 ; */ +/* rise_resistance : 7.26 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.7, 94.4, 130.5, 211.8, 356.3, 663.7", \ + " 88.5, 102.4, 138.9, 220.3, 365.0, 672.5", \ + " 93.2, 107.2, 143.8, 225.3, 370.1, 677.7", \ + " 97.8, 111.9, 148.5, 230.2, 375.0, 682.7", \ + " 102.9, 117.0, 153.8, 235.6, 380.5, 688.3", \ + " 106.9, 121.0, 157.8, 239.7, 384.7, 692.6", \ + " 108.9, 123.1, 159.9, 241.8, 386.9, 694.8", \ + " 107.2, 121.6, 158.6, 240.5, 385.6, 693.5", \ + " 98.9, 113.8, 151.1, 233.3, 378.3, 686.2", \ + " 79.5, 94.9, 132.9, 215.5, 360.9, 668.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.2, 139.3, 236.6, 457.0, 849.7, 1684.5", \ + " 103.5, 139.7, 237.1, 457.5, 850.2, 1684.9", \ + " 103.7, 139.9, 237.3, 457.7, 850.4, 1685.1", \ + " 104.3, 140.3, 237.5, 457.9, 850.6, 1685.3", \ + " 105.2, 141.0, 238.0, 458.2, 850.8, 1685.5", \ + " 106.6, 142.2, 238.6, 458.3, 850.9, 1685.6", \ + " 109.3, 144.1, 239.7, 458.7, 850.9, 1685.7", \ + " 114.0, 148.1, 242.0, 459.7, 851.2, 1685.7", \ + " 121.3, 154.6, 247.0, 461.8, 852.2, 1686.0", \ + " 132.2, 164.5, 255.1, 467.4, 854.6, 1687.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.3, 116.6, 144.6, 204.6, 309.7, 532.2", \ + " 112.7, 123.9, 152.0, 212.0, 317.2, 539.8", \ + " 119.1, 130.3, 158.4, 218.5, 323.6, 546.2", \ + " 127.9, 139.2, 167.3, 227.4, 332.6, 555.2", \ + " 143.3, 154.6, 182.8, 242.9, 348.1, 570.7", \ + " 163.5, 174.9, 203.1, 263.3, 368.5, 591.1", \ + " 189.7, 201.2, 229.8, 290.2, 395.3, 617.9", \ + " 223.8, 235.6, 264.5, 325.1, 430.2, 652.7", \ + " 270.3, 282.4, 311.8, 372.7, 477.8, 700.3", \ + " 335.0, 347.7, 377.8, 439.4, 544.6, 766.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.0, 110.4, 176.1, 326.6, 597.4, 1176.4", \ + " 86.0, 110.4, 176.1, 326.7, 597.5, 1176.5", \ + " 86.1, 110.4, 176.2, 326.7, 597.5, 1176.6", \ + " 86.2, 110.6, 176.2, 326.7, 597.5, 1176.6", \ + " 87.3, 111.4, 176.7, 326.9, 597.6, 1176.6", \ + " 90.6, 114.1, 178.5, 327.8, 597.9, 1176.7", \ + " 95.4, 118.5, 181.7, 329.6, 598.8, 1176.8", \ + " 101.6, 124.1, 186.0, 332.3, 600.2, 1177.3", \ + " 110.0, 132.1, 192.4, 336.2, 602.3, 1178.0", \ + " 122.1, 143.8, 202.5, 342.8, 606.0, 1179.5" ); }} +} +} +cell(mxi2v0x1) { /* 2008-01-06:07h36 characteristic delay 19.7 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1016 ; /* mxi2v0x1 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v0x1 FO4 effort 1.82 logical effort 1.96 */ +direction : input ; +capacitance : 4.31 ; +rise_capacitance : 4.28 ; +fall_capacitance : 4.34 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v0x1 */ +} +pin(a1) { /* mxi2v0x1 FO4 effort 1.85 logical effort 1.97 */ +direction : input ; +capacitance : 4.21 ; +rise_capacitance : 4.20 ; +fall_capacitance : 4.21 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v0x1 */ +} +pin(s) { /* mxi2v0x1 FO4 effort 2.09 logical effort 2.99 */ +direction : input ; +capacitance : 5.60 ; +rise_capacitance : 5.39 ; +fall_capacitance : 5.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v0x1 */ +internal_power(s) { /* mxi2v0x1 9.37 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.76, 4.64, 4.64, 4.69, 4.84, 5.14, 5.67, 6.56, 8.02, 10.40" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 88 ; +max_fanout : 4 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v0x1 13.91 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_130_5x10) { +values( " 7.16, 7.18, 7.20, 7.21, 7.20", \ + " 6.95, 6.99, 7.05, 7.08, 7.09", \ + " 6.88, 6.92, 6.99, 7.04, 7.06", \ + " 6.87, 6.90, 6.95, 7.01, 7.03", \ + " 6.96, 6.96, 6.98, 7.01, 7.02", \ + " 7.31, 7.24, 7.16, 7.11, 7.08", \ + " 8.11, 7.94, 7.67, 7.43, 7.28", \ + " 9.63, 9.31, 8.77, 8.20, 7.78", \ + " 12.24, 11.77, 10.87, 9.78, 8.91", \ + " 16.49, 15.86, 14.58, 12.80, 11.19" ); }} +internal_power(a1_z_n) { /* mxi2v0x1 14.04 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 7.20, 7.21, 7.23, 7.23, 7.22", \ + " 7.01, 7.05, 7.09, 7.12, 7.12", \ + " 6.96, 7.00, 7.05, 7.08, 7.09", \ + " 6.95, 6.97, 7.02, 7.06, 7.07", \ + " 7.03, 7.03, 7.04, 7.06, 7.07", \ + " 7.35, 7.28, 7.21, 7.15, 7.12", \ + " 8.08, 7.92, 7.67, 7.45, 7.31", \ + " 9.51, 9.20, 8.69, 8.16, 7.77", \ + " 11.99, 11.53, 10.66, 9.63, 8.82", \ + " 16.05, 15.43, 14.18, 12.47, 10.95" ); }} +internal_power(s_z_n) { /* mxi2v0x1 14.00 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 2.15, 2.31, 2.47, 2.55, 2.58", \ + " 1.99, 2.13, 2.34, 2.50, 2.58", \ + " 2.03, 2.12, 2.30, 2.47, 2.56", \ + " 2.17, 2.20, 2.31, 2.46, 2.55", \ + " 2.53, 2.46, 2.45, 2.51, 2.57", \ + " 3.19, 3.00, 2.80, 2.70, 2.67", \ + " 4.32, 3.98, 3.53, 3.16, 2.95", \ + " 6.14, 5.62, 4.84, 4.08, 3.57", \ + " 9.00, 8.29, 7.10, 5.81, 4.82", \ + " 13.44, 12.55, 10.90, 8.88, 7.18" ); }} +internal_power(s_z_p) { /* mxi2v0x1 18.22 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 4.64, 4.62, 4.50, 4.31, 4.16", \ + " 4.54, 4.53, 4.45, 4.32, 4.19", \ + " 4.52, 4.50, 4.43, 4.31, 4.19", \ + " 4.54, 4.50, 4.43, 4.31, 4.20", \ + " 4.66, 4.58, 4.48, 4.35, 4.23", \ + " 4.95, 4.82, 4.64, 4.46, 4.30", \ + " 5.51, 5.30, 5.00, 4.71, 4.47", \ + " 6.44, 6.13, 5.67, 5.19, 4.81", \ + " 7.95, 7.53, 6.84, 6.09, 5.48", \ + " 10.37, 9.80, 8.82, 7.68, 6.72" ); }} +timing() { /* ring osc delay mxi2v0x1, path a0 to z 76.9 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.7 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 4.67 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 67.6, 105.5, 189.5, 338.2, 653.6", \ + " 60.1, 74.7, 112.8, 197.1, 345.8, 661.3", \ + " 65.0, 79.6, 117.7, 202.0, 350.9, 666.4", \ + " 71.5, 86.0, 124.0, 208.3, 357.2, 672.8", \ + " 80.4, 96.7, 134.9, 219.1, 368.0, 683.6", \ + " 91.2, 109.1, 150.8, 234.8, 383.4, 698.9", \ + " 106.3, 125.9, 171.6, 259.0, 407.0, 722.1", \ + " 127.4, 148.9, 198.7, 293.9, 442.8, 756.8", \ + " 156.9, 181.1, 236.0, 339.8, 497.9, 810.2", \ + " 197.9, 225.7, 287.8, 401.9, 574.3, 893.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.3, 140.8, 244.5, 479.2, 896.9, 1784.9", \ + " 102.9, 141.2, 244.6, 479.2, 896.9, 1784.9", \ + " 106.2, 143.5, 245.2, 479.2, 896.9, 1784.9", \ + " 111.6, 148.3, 248.6, 479.7, 896.9, 1784.9", \ + " 123.2, 158.6, 256.7, 484.5, 897.5, 1784.9", \ + " 144.3, 177.0, 271.6, 494.9, 902.8, 1784.9", \ + " 175.0, 209.9, 299.0, 515.4, 916.3, 1789.1", \ + " 218.3, 254.4, 346.0, 552.4, 943.4, 1803.9", \ + " 279.9, 317.8, 414.2, 617.0, 994.5, 1837.7", \ + " 366.8, 407.7, 509.9, 722.9, 1085.8, 1905.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 53.4, 79.4, 135.6, 234.0, 442.0", \ + " 46.6, 57.1, 83.3, 139.7, 238.1, 446.1", \ + " 49.1, 59.7, 86.0, 142.5, 241.0, 449.0", \ + " 51.7, 63.1, 89.7, 146.4, 245.0, 453.1", \ + " 55.2, 67.6, 96.5, 153.6, 252.3, 460.5", \ + " 58.7, 72.6, 104.3, 164.3, 263.2, 471.4", \ + " 61.6, 77.5, 113.1, 178.9, 279.9, 488.2", \ + " 62.5, 81.0, 122.1, 195.6, 304.3, 513.1", \ + " 59.8, 81.5, 129.6, 213.7, 334.0, 551.2", \ + " 50.8, 76.3, 132.7, 231.3, 367.8, 605.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.1, 97.3, 163.7, 312.3, 576.6, 1138.7", \ + " 74.1, 98.8, 164.2, 312.3, 576.5, 1138.7", \ + " 77.4, 101.7, 166.4, 313.0, 576.5, 1138.7", \ + " 83.5, 106.9, 170.3, 315.5, 577.1, 1138.7", \ + " 97.6, 119.5, 180.1, 322.0, 580.7, 1138.9", \ + " 116.6, 140.3, 198.8, 335.5, 589.3, 1142.2", \ + " 145.5, 170.3, 231.4, 362.0, 608.0, 1152.3", \ + " 187.4, 213.6, 277.6, 408.6, 644.1, 1175.2", \ + " 247.8, 276.5, 344.5, 481.9, 710.0, 1222.3", \ + " 334.1, 366.7, 441.7, 587.5, 822.4, 1312.2" ); }} +timing() { /* ring osc delay mxi2v0x1, path a0 to z 76.9 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.7 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 4.67 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 67.5, 105.5, 189.5, 338.2, 653.6", \ + " 60.0, 74.7, 112.8, 197.1, 345.8, 661.3", \ + " 65.0, 79.6, 117.7, 202.0, 350.9, 666.4", \ + " 71.4, 86.0, 124.0, 208.3, 357.2, 672.8", \ + " 80.4, 96.7, 134.9, 219.1, 368.0, 683.6", \ + " 91.2, 109.1, 150.8, 234.8, 383.4, 698.9", \ + " 106.3, 125.9, 171.6, 259.0, 407.1, 722.1", \ + " 127.4, 148.9, 198.7, 293.9, 442.8, 756.8", \ + " 156.9, 181.1, 236.0, 339.8, 497.9, 810.2", \ + " 197.9, 225.7, 287.8, 401.9, 574.3, 893.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.3, 140.8, 244.5, 479.2, 896.9, 1784.9", \ + " 103.0, 141.2, 244.6, 479.2, 897.0, 1784.9", \ + " 106.2, 143.5, 245.2, 479.2, 896.9, 1784.9", \ + " 111.6, 148.3, 248.6, 479.7, 896.9, 1784.9", \ + " 123.2, 158.6, 256.7, 484.5, 897.5, 1784.9", \ + " 144.4, 177.0, 271.6, 494.9, 902.8, 1784.9", \ + " 175.1, 209.9, 299.0, 515.4, 916.3, 1789.1", \ + " 218.3, 254.4, 346.0, 552.4, 943.4, 1803.9", \ + " 279.9, 317.8, 414.2, 617.0, 994.5, 1837.7", \ + " 366.8, 407.7, 509.9, 722.9, 1085.8, 1905.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 53.5, 79.5, 135.7, 234.0, 442.0", \ + " 46.7, 57.2, 83.3, 139.7, 238.1, 446.1", \ + " 49.2, 59.7, 86.0, 142.5, 241.0, 449.0", \ + " 51.8, 63.1, 89.8, 146.4, 245.0, 453.0", \ + " 55.2, 67.7, 96.5, 153.6, 252.3, 460.5", \ + " 58.7, 72.6, 104.3, 164.3, 263.2, 471.4", \ + " 61.6, 77.5, 113.1, 178.9, 279.9, 488.2", \ + " 62.5, 81.0, 122.1, 195.6, 304.3, 513.1", \ + " 59.8, 81.5, 129.6, 213.7, 334.0, 551.2", \ + " 50.8, 76.3, 132.7, 231.3, 367.8, 605.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.2, 97.4, 163.8, 312.3, 576.5, 1138.7", \ + " 74.2, 98.8, 164.3, 312.3, 576.5, 1138.7", \ + " 77.5, 101.7, 166.4, 313.0, 576.5, 1138.7", \ + " 83.6, 106.9, 170.4, 315.5, 577.1, 1138.7", \ + " 97.6, 119.5, 180.1, 322.0, 580.7, 1138.9", \ + " 116.7, 140.3, 198.8, 335.5, 589.3, 1142.2", \ + " 145.6, 170.3, 231.4, 362.0, 608.0, 1152.3", \ + " 187.4, 213.6, 277.6, 408.6, 644.1, 1175.2", \ + " 247.8, 276.5, 344.6, 481.9, 710.0, 1222.3", \ + " 334.2, 366.7, 441.7, 587.5, 822.4, 1312.2" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 67.7 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 4.67 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 67.6, 105.5, 189.5, 338.2, 653.6", \ + " 60.1, 74.7, 112.8, 197.1, 345.8, 661.3", \ + " 65.0, 79.6, 117.7, 202.0, 350.9, 666.4", \ + " 71.5, 86.0, 124.0, 208.3, 357.2, 672.8", \ + " 80.4, 96.7, 134.9, 219.1, 368.0, 683.6", \ + " 91.2, 109.1, 150.8, 234.8, 383.4, 698.9", \ + " 106.3, 125.9, 171.6, 259.0, 407.0, 722.1", \ + " 127.4, 148.9, 198.7, 293.9, 442.8, 756.8", \ + " 156.9, 181.1, 236.0, 339.8, 497.9, 810.2", \ + " 197.9, 225.7, 287.8, 401.9, 574.3, 893.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.3, 140.8, 244.5, 479.2, 896.9, 1784.9", \ + " 102.9, 141.2, 244.6, 479.2, 896.9, 1784.9", \ + " 106.2, 143.5, 245.2, 479.2, 896.9, 1784.9", \ + " 111.6, 148.3, 248.6, 479.7, 896.9, 1784.9", \ + " 123.2, 158.6, 256.7, 484.5, 897.5, 1784.9", \ + " 144.3, 177.0, 271.6, 494.9, 902.8, 1784.9", \ + " 175.0, 209.9, 299.0, 515.4, 916.3, 1789.1", \ + " 218.3, 254.4, 346.0, 552.4, 943.4, 1803.9", \ + " 279.9, 317.8, 414.2, 617.0, 994.5, 1837.7", \ + " 366.8, 407.7, 509.9, 722.9, 1085.8, 1905.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 53.4, 79.4, 135.6, 234.0, 442.0", \ + " 46.6, 57.1, 83.3, 139.7, 238.1, 446.1", \ + " 49.1, 59.7, 86.0, 142.5, 241.0, 449.0", \ + " 51.7, 63.1, 89.7, 146.4, 245.0, 453.1", \ + " 55.2, 67.6, 96.5, 153.6, 252.3, 460.5", \ + " 58.7, 72.6, 104.3, 164.3, 263.2, 471.4", \ + " 61.6, 77.5, 113.1, 178.9, 279.9, 488.2", \ + " 62.5, 81.0, 122.1, 195.6, 304.3, 513.1", \ + " 59.8, 81.5, 129.6, 213.7, 334.0, 551.2", \ + " 50.8, 76.3, 132.7, 231.3, 367.8, 605.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.1, 97.3, 163.7, 312.3, 576.6, 1138.7", \ + " 74.1, 98.8, 164.2, 312.3, 576.5, 1138.7", \ + " 77.4, 101.7, 166.4, 313.0, 576.5, 1138.7", \ + " 83.5, 106.9, 170.3, 315.5, 577.1, 1138.7", \ + " 97.6, 119.5, 180.1, 322.0, 580.7, 1138.9", \ + " 116.6, 140.3, 198.8, 335.5, 589.3, 1142.2", \ + " 145.5, 170.3, 231.4, 362.0, 608.0, 1152.3", \ + " 187.4, 213.6, 277.6, 408.6, 644.1, 1175.2", \ + " 247.8, 276.5, 344.5, 481.9, 710.0, 1222.3", \ + " 334.1, 366.7, 441.7, 587.5, 822.4, 1312.2" ); }} +timing() { /* ring osc delay mxi2v0x1, path a1 to z 80.5 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.5 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 4.66 ; */ +/* fall_resistance : 3.38 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.2, 108.8, 192.6, 341.2, 656.5", \ + " 63.6, 78.2, 116.0, 199.9, 348.5, 663.9", \ + " 68.3, 82.8, 120.7, 204.7, 353.3, 668.8", \ + " 74.2, 88.8, 126.7, 210.8, 359.5, 674.9", \ + " 83.0, 99.1, 137.2, 221.3, 370.0, 685.5", \ + " 93.4, 111.2, 152.7, 236.7, 385.3, 700.7", \ + " 107.7, 127.3, 173.0, 260.5, 408.7, 723.8", \ + " 127.6, 149.2, 199.3, 294.8, 444.0, 758.3", \ + " 155.4, 179.8, 235.2, 339.7, 498.3, 811.2", \ + " 193.9, 221.9, 284.7, 400.0, 573.4, 893.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.1, 148.6, 254.7, 494.7, 922.2, 1831.0", \ + " 109.7, 149.0, 254.8, 494.7, 922.2, 1831.0", \ + " 112.6, 151.1, 255.7, 494.8, 922.2, 1831.0", \ + " 117.4, 155.5, 258.9, 495.8, 922.3, 1831.0", \ + " 128.4, 165.4, 267.0, 501.0, 923.6, 1831.0", \ + " 148.9, 183.7, 282.1, 511.9, 929.8, 1831.4", \ + " 181.3, 217.5, 310.3, 533.5, 944.6, 1837.4", \ + " 227.4, 264.7, 358.8, 572.5, 973.8, 1854.6", \ + " 294.6, 333.2, 431.8, 640.2, 1028.3, 1891.9", \ + " 389.9, 431.1, 534.9, 752.1, 1125.0, 1965.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.2, 53.1, 81.0, 141.9, 249.0, 475.8", \ + " 46.7, 57.7, 85.7, 146.7, 253.9, 480.7", \ + " 49.9, 60.9, 88.9, 150.0, 257.2, 484.0", \ + " 53.5, 65.2, 93.2, 154.4, 261.6, 488.5", \ + " 58.2, 71.0, 101.0, 162.2, 269.4, 496.3", \ + " 63.0, 77.3, 110.1, 173.5, 280.7, 507.5", \ + " 67.3, 83.7, 120.6, 189.7, 297.9, 524.5", \ + " 70.1, 89.2, 131.6, 208.4, 323.5, 549.9", \ + " 70.1, 92.3, 141.8, 229.3, 356.1, 588.5", \ + " 64.9, 90.9, 148.9, 250.9, 393.8, 645.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.2, 100.7, 172.4, 334.4, 623.0, 1236.6", \ + " 76.2, 102.1, 172.7, 334.4, 623.0, 1236.6", \ + " 79.8, 105.2, 174.9, 334.9, 623.0, 1236.6", \ + " 86.4, 110.8, 179.0, 337.2, 623.2, 1236.6", \ + " 101.0, 123.9, 188.9, 343.5, 626.3, 1236.6", \ + " 121.2, 145.5, 207.7, 356.7, 634.3, 1239.0", \ + " 151.1, 176.6, 240.8, 382.6, 652.1, 1247.9", \ + " 193.7, 220.8, 288.2, 428.6, 686.8, 1269.1", \ + " 255.0, 284.7, 356.3, 502.8, 751.0, 1313.7", \ + " 342.5, 376.3, 454.8, 610.0, 862.2, 1400.1" ); }} +timing() { /* ring osc delay mxi2v0x1, path a1 to z 80.5 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.5 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 4.66 ; */ +/* fall_resistance : 3.38 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.2, 108.8, 192.6, 341.2, 656.5", \ + " 63.6, 78.2, 116.0, 199.9, 348.5, 664.0", \ + " 68.3, 82.8, 120.7, 204.7, 353.4, 668.8", \ + " 74.2, 88.8, 126.7, 210.8, 359.5, 674.9", \ + " 83.0, 99.1, 137.2, 221.3, 370.0, 685.5", \ + " 93.4, 111.2, 152.7, 236.7, 385.3, 700.7", \ + " 107.7, 127.3, 173.0, 260.5, 408.7, 723.8", \ + " 127.6, 149.2, 199.3, 294.8, 444.0, 758.3", \ + " 155.4, 179.8, 235.2, 339.7, 498.3, 811.2", \ + " 193.9, 221.9, 284.7, 400.0, 573.4, 893.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.1, 148.6, 254.7, 494.7, 922.2, 1831.0", \ + " 109.7, 149.0, 254.8, 494.7, 922.2, 1831.0", \ + " 112.6, 151.1, 255.7, 494.8, 922.2, 1831.0", \ + " 117.4, 155.5, 258.9, 495.8, 922.3, 1831.0", \ + " 128.4, 165.4, 267.0, 501.0, 923.6, 1831.0", \ + " 148.9, 183.7, 282.1, 511.9, 929.8, 1831.4", \ + " 181.3, 217.5, 310.3, 533.5, 944.6, 1837.4", \ + " 227.4, 264.7, 358.8, 572.5, 973.8, 1854.6", \ + " 294.6, 333.2, 431.8, 640.2, 1028.3, 1891.9", \ + " 389.9, 431.1, 534.9, 752.1, 1125.0, 1965.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.2, 53.1, 81.0, 141.9, 249.0, 475.8", \ + " 46.7, 57.7, 85.7, 146.7, 253.9, 480.7", \ + " 49.9, 60.9, 88.9, 150.0, 257.2, 484.0", \ + " 53.5, 65.2, 93.2, 154.4, 261.6, 488.5", \ + " 58.2, 71.0, 101.0, 162.2, 269.4, 496.3", \ + " 63.0, 77.3, 110.1, 173.5, 280.7, 507.5", \ + " 67.3, 83.7, 120.6, 189.7, 297.9, 524.5", \ + " 70.1, 89.2, 131.6, 208.4, 323.5, 549.9", \ + " 70.1, 92.3, 141.8, 229.3, 356.1, 588.5", \ + " 64.9, 90.9, 148.9, 250.9, 393.8, 645.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.1, 100.7, 172.4, 334.4, 623.0, 1236.6", \ + " 76.2, 102.1, 172.7, 334.4, 623.0, 1236.6", \ + " 79.8, 105.2, 174.9, 334.9, 623.0, 1236.6", \ + " 86.4, 110.8, 179.0, 337.2, 623.2, 1236.6", \ + " 101.0, 123.9, 188.9, 343.5, 626.3, 1236.6", \ + " 121.2, 145.5, 207.7, 356.7, 634.3, 1239.0", \ + " 151.1, 176.6, 240.8, 382.6, 652.1, 1247.9", \ + " 193.7, 220.8, 288.2, 428.6, 686.8, 1269.1", \ + " 255.0, 284.7, 356.3, 502.8, 751.0, 1313.7", \ + " 342.5, 376.3, 454.8, 610.0, 862.2, 1400.1" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 70.5 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 4.66 ; */ +/* fall_resistance : 3.38 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.2, 108.8, 192.6, 341.2, 656.5", \ + " 63.6, 78.2, 116.0, 199.9, 348.5, 663.9", \ + " 68.3, 82.8, 120.7, 204.7, 353.3, 668.8", \ + " 74.2, 88.8, 126.7, 210.8, 359.5, 674.9", \ + " 83.0, 99.1, 137.2, 221.3, 370.0, 685.5", \ + " 93.4, 111.2, 152.7, 236.7, 385.3, 700.7", \ + " 107.7, 127.3, 173.0, 260.5, 408.7, 723.8", \ + " 127.6, 149.2, 199.3, 294.8, 444.0, 758.3", \ + " 155.4, 179.8, 235.2, 339.7, 498.3, 811.2", \ + " 193.9, 221.9, 284.7, 400.0, 573.4, 893.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 109.1, 148.6, 254.7, 494.7, 922.2, 1831.0", \ + " 109.7, 149.0, 254.8, 494.7, 922.2, 1831.0", \ + " 112.6, 151.1, 255.7, 494.8, 922.2, 1831.0", \ + " 117.4, 155.5, 258.9, 495.8, 922.3, 1831.0", \ + " 128.4, 165.4, 267.0, 501.0, 923.6, 1831.0", \ + " 148.9, 183.7, 282.1, 511.9, 929.8, 1831.4", \ + " 181.3, 217.5, 310.3, 533.5, 944.6, 1837.4", \ + " 227.4, 264.7, 358.8, 572.5, 973.8, 1854.6", \ + " 294.6, 333.2, 431.8, 640.2, 1028.3, 1891.9", \ + " 389.9, 431.1, 534.9, 752.1, 1125.0, 1965.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.2, 53.1, 81.0, 141.9, 249.0, 475.8", \ + " 46.7, 57.7, 85.7, 146.7, 253.9, 480.7", \ + " 49.9, 60.9, 88.9, 150.0, 257.2, 484.0", \ + " 53.5, 65.2, 93.2, 154.4, 261.6, 488.5", \ + " 58.2, 71.0, 101.0, 162.2, 269.4, 496.3", \ + " 63.0, 77.3, 110.1, 173.5, 280.7, 507.5", \ + " 67.3, 83.7, 120.6, 189.7, 297.9, 524.5", \ + " 70.1, 89.2, 131.6, 208.4, 323.5, 549.9", \ + " 70.1, 92.3, 141.8, 229.3, 356.1, 588.5", \ + " 64.9, 90.9, 148.9, 250.9, 393.8, 645.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.2, 100.7, 172.4, 334.4, 623.0, 1236.6", \ + " 76.2, 102.1, 172.7, 334.4, 623.0, 1236.6", \ + " 79.8, 105.2, 174.9, 334.9, 623.0, 1236.6", \ + " 86.4, 110.8, 179.0, 337.2, 623.2, 1236.6", \ + " 101.0, 123.9, 188.9, 343.5, 626.3, 1236.6", \ + " 121.2, 145.5, 207.7, 356.7, 634.3, 1239.0", \ + " 151.1, 176.6, 240.8, 382.6, 652.1, 1247.9", \ + " 193.7, 220.8, 288.2, 428.6, 686.8, 1269.1", \ + " 255.0, 284.7, 356.3, 502.8, 751.0, 1313.7", \ + " 342.5, 376.3, 454.8, 610.0, 862.2, 1400.1" ); }} +timing() { /* ring osc delay mxi2v0x1, path s to z 60.9 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.6 ; */ +/* intrinsic_fall : 39.2 ; */ +/* rise_resistance : 4.63 ; */ +/* fall_resistance : 3.39 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 47.9, 84.0, 167.7, 316.8, 632.5", \ + " 39.5, 53.3, 89.5, 173.5, 322.9, 638.8", \ + " 45.2, 58.5, 94.4, 178.2, 327.7, 643.6", \ + " 50.8, 66.2, 101.4, 184.8, 334.2, 650.2", \ + " 59.4, 77.0, 114.7, 197.0, 346.0, 661.8", \ + " 70.3, 90.1, 133.4, 215.4, 363.6, 678.9", \ + " 84.8, 107.2, 156.2, 244.6, 391.1, 705.2", \ + " 103.7, 129.3, 184.7, 285.4, 433.1, 745.0", \ + " 128.8, 158.7, 222.2, 335.5, 498.6, 806.8", \ + " 162.8, 198.0, 272.1, 399.7, 586.7, 904.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 83.3, 125.0, 234.3, 477.7, 910.1, 1824.6", \ + " 87.7, 127.4, 234.2, 477.3, 909.8, 1824.5", \ + " 94.3, 132.9, 237.6, 477.2, 909.4, 1824.4", \ + " 104.4, 141.6, 243.8, 480.0, 908.8, 1824.2", \ + " 124.1, 159.2, 257.6, 488.7, 911.8, 1823.7", \ + " 154.2, 186.8, 281.0, 505.6, 921.7, 1824.7", \ + " 182.7, 228.5, 319.9, 536.8, 943.1, 1835.2", \ + " 227.2, 274.9, 381.0, 589.5, 983.3, 1861.0", \ + " 294.4, 344.1, 460.7, 675.4, 1056.0, 1912.5", \ + " 394.3, 447.2, 570.9, 810.1, 1180.1, 2008.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.5, 36.3, 63.2, 124.0, 231.3, 458.2", \ + " 32.4, 42.2, 69.3, 130.4, 238.0, 465.0", \ + " 35.9, 47.0, 74.0, 135.2, 242.8, 469.9", \ + " 38.9, 52.0, 80.5, 141.6, 249.2, 476.3", \ + " 42.1, 57.8, 91.8, 153.1, 260.6, 487.7", \ + " 44.6, 63.1, 103.2, 169.9, 277.2, 504.1", \ + " 46.1, 68.0, 115.0, 193.8, 302.5, 529.0", \ + " 45.6, 71.6, 126.4, 218.9, 340.5, 566.1", \ + " 41.5, 72.7, 136.7, 244.7, 388.7, 622.8", \ + " 31.6, 68.9, 144.1, 270.4, 439.7, 708.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 56.1, 84.9, 160.0, 328.8, 629.0, 1263.7", \ + " 60.9, 88.2, 161.0, 328.5, 628.9, 1263.7", \ + " 67.8, 94.2, 165.2, 329.9, 628.6, 1263.6", \ + " 78.3, 103.8, 172.8, 334.5, 630.0, 1263.5", \ + " 98.6, 122.7, 189.2, 346.2, 637.1, 1264.2", \ + " 119.3, 150.0, 215.5, 367.6, 652.5, 1271.7", \ + " 151.6, 183.8, 258.7, 405.3, 682.1, 1291.6", \ + " 198.6, 232.4, 312.6, 464.9, 733.6, 1330.8", \ + " 266.6, 302.8, 388.7, 556.0, 819.4, 1402.0", \ + " 365.2, 404.5, 498.7, 679.1, 955.1, 1525.3" ); }} +timing() { /* ring osc delay mxi2v0x1, path s to z 119.0 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.6 ; */ +/* intrinsic_fall : 115.8 ; */ +/* rise_resistance : 4.58 ; */ +/* fall_resistance : 3.05 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.7, 92.1, 127.5, 208.8, 355.6, 669.8", \ + " 85.4, 99.1, 134.9, 216.3, 363.0, 677.1", \ + " 89.9, 103.8, 139.9, 221.6, 368.4, 682.4", \ + " 95.1, 109.1, 145.6, 227.7, 374.6, 688.6", \ + " 101.6, 116.0, 152.9, 235.3, 382.4, 696.4", \ + " 110.0, 123.8, 159.7, 242.5, 389.7, 703.7", \ + " 117.1, 131.5, 167.9, 248.9, 396.3, 710.3", \ + " 122.2, 137.0, 174.2, 255.7, 401.3, 715.3", \ + " 123.9, 139.3, 177.0, 259.6, 405.1, 717.5", \ + " 119.1, 135.5, 174.2, 257.4, 404.6, 715.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.7, 140.8, 240.2, 465.5, 867.7, 1722.7", \ + " 104.0, 140.9, 240.2, 465.5, 867.7, 1722.7", \ + " 104.7, 141.3, 240.3, 465.5, 867.7, 1722.7", \ + " 106.1, 142.7, 240.8, 465.6, 867.7, 1722.7", \ + " 105.3, 141.4, 239.9, 465.6, 867.7, 1722.7", \ + " 105.4, 141.4, 239.0, 463.5, 866.7, 1722.7", \ + " 107.3, 141.7, 239.1, 462.4, 864.5, 1721.6", \ + " 113.2, 146.9, 240.1, 462.2, 863.2, 1719.2", \ + " 122.4, 155.5, 247.1, 463.0, 863.3, 1717.8", \ + " 136.3, 168.9, 258.9, 471.6, 865.7, 1718.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.7, 105.1, 130.4, 185.0, 281.9, 488.7", \ + " 101.9, 112.3, 137.8, 192.4, 289.2, 496.1", \ + " 108.2, 118.6, 144.1, 198.8, 295.6, 502.4", \ + " 116.7, 127.2, 152.9, 207.6, 304.4, 511.1", \ + " 131.2, 142.0, 167.9, 222.7, 319.5, 526.2", \ + " 149.6, 160.9, 187.4, 242.5, 339.3, 545.8", \ + " 174.0, 185.8, 212.8, 268.4, 365.1, 571.5", \ + " 204.2, 216.9, 245.3, 301.5, 397.9, 604.1", \ + " 244.1, 257.6, 287.6, 345.2, 442.0, 647.4", \ + " 297.8, 312.4, 344.5, 404.1, 501.9, 707.2" ); } +fall_transition(x1_130_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 78.7, 100.7, 157.5, 286.4, 521.3, 1026.7", \ + " 78.7, 100.7, 157.3, 286.4, 521.3, 1026.7", \ + " 78.8, 100.7, 157.3, 286.3, 521.3, 1026.6", \ + " 79.4, 101.0, 157.4, 286.3, 521.3, 1026.7", \ + " 82.3, 103.0, 158.3, 286.6, 521.4, 1026.7", \ + " 82.5, 103.8, 159.4, 287.8, 521.7, 1026.7", \ + " 83.9, 105.3, 161.0, 287.9, 522.0, 1026.8", \ + " 88.1, 109.5, 163.7, 289.4, 521.4, 1026.2", \ + " 95.0, 116.6, 170.0, 292.3, 522.3, 1024.9", \ + " 106.0, 127.8, 181.1, 300.3, 525.2, 1025.0" ); }} +} +} +cell(mxi2v0x2) { /* 2008-01-06:07h36 characteristic delay 18.8 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1975 ; /* mxi2v0x2 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v0x2 FO4 effort 1.85 logical effort 1.99 */ +direction : input ; +capacitance : 8.47 ; +rise_capacitance : 8.45 ; +fall_capacitance : 8.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v0x2 */ +} +pin(a1) { /* mxi2v0x2 FO4 effort 1.84 logical effort 1.98 */ +direction : input ; +capacitance : 8.43 ; +rise_capacitance : 8.40 ; +fall_capacitance : 8.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v0x2 */ +} +pin(s) { /* mxi2v0x2 FO4 effort 1.89 logical effort 2.63 */ +direction : input ; +capacitance : 9.81 ; +rise_capacitance : 9.43 ; +fall_capacitance : 10.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v0x2 */ +internal_power(s) { /* mxi2v0x2 16.39 nW/MHz */ +power(pwr_intran_x10) { +values( " 8.29, 8.11, 8.11, 8.20, 8.46, 8.98, 9.91, 11.46, 14.02, 18.18" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 176 ; +max_fanout : 6 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v0x2 27.23 nW/MHz */ +related_pin : "a0" ; +power(pwr_x2_260_5x10) { +values( " 14.01, 14.05, 14.08, 14.09, 14.07", \ + " 13.60, 13.68, 13.79, 13.85, 13.86", \ + " 13.48, 13.56, 13.68, 13.77, 13.80", \ + " 13.44, 13.50, 13.61, 13.72, 13.76", \ + " 13.63, 13.63, 13.66, 13.71, 13.74", \ + " 14.29, 14.16, 14.00, 13.90, 13.85", \ + " 15.82, 15.48, 14.97, 14.51, 14.22", \ + " 18.71, 18.08, 17.03, 15.94, 15.16", \ + " 23.72, 22.78, 21.02, 18.92, 17.27", \ + " 31.87, 30.62, 28.09, 24.64, 21.56" ); }} +internal_power(a1_z_n) { /* mxi2v0x2 27.32 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 14.01, 14.05, 14.09, 14.10, 14.08", \ + " 13.63, 13.71, 13.81, 13.88, 13.89", \ + " 13.53, 13.60, 13.71, 13.80, 13.83", \ + " 13.51, 13.56, 13.66, 13.75, 13.79", \ + " 13.70, 13.69, 13.71, 13.76, 13.78", \ + " 14.37, 14.23, 14.06, 13.95, 13.89", \ + " 15.89, 15.54, 15.03, 14.56, 14.26", \ + " 18.79, 18.15, 17.09, 15.99, 15.21", \ + " 23.80, 22.85, 21.08, 18.97, 17.32", \ + " 31.95, 30.70, 28.16, 24.69, 21.61" ); }} +internal_power(s_z_n) { /* mxi2v0x2 25.21 nW/MHz */ +related_pin : "s" ; +power(pwr_x2_260_5x10) { +values( " 4.09, 4.37, 4.65, 4.80, 4.85", \ + " 3.80, 4.05, 4.43, 4.72, 4.86", \ + " 3.89, 4.05, 4.37, 4.67, 4.83", \ + " 4.18, 4.22, 4.41, 4.66, 4.82", \ + " 4.93, 4.78, 4.71, 4.78, 4.88", \ + " 6.27, 5.87, 5.43, 5.18, 5.10", \ + " 8.57, 7.86, 6.91, 6.13, 5.68", \ + " 12.23, 11.17, 9.56, 8.01, 6.94", \ + " 17.98, 16.55, 14.13, 11.49, 9.47", \ + " 26.91, 25.11, 21.76, 17.66, 14.21" ); }} +internal_power(s_z_p) { /* mxi2v0x2 33.87 nW/MHz */ +related_pin : "s" ; +power(pwr_x2_260_5x10) { +values( " 9.10, 9.07, 8.85, 8.52, 8.25", \ + " 8.93, 8.91, 8.78, 8.54, 8.31", \ + " 8.91, 8.86, 8.74, 8.53, 8.32", \ + " 8.96, 8.88, 8.74, 8.54, 8.33", \ + " 9.22, 9.06, 8.85, 8.61, 8.38", \ + " 9.81, 9.55, 9.19, 8.83, 8.53", \ + " 10.94, 10.52, 9.92, 9.33, 8.87", \ + " 12.83, 12.20, 11.26, 10.31, 9.56", \ + " 15.87, 15.01, 13.61, 12.11, 10.90", \ + " 20.72, 19.56, 17.59, 15.30, 13.38" ); }} +timing() { /* ring osc delay mxi2v0x2, path a0 to z 88.1 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.5 ; */ +/* intrinsic_fall : 54.4 ; */ +/* rise_resistance : 2.34 ; */ +/* fall_resistance : 1.70 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 66.3, 104.2, 188.2, 336.9, 652.3", \ + " 58.8, 73.5, 111.6, 195.8, 344.5, 660.0", \ + " 63.8, 78.4, 116.5, 200.8, 349.6, 665.1", \ + " 70.2, 84.7, 122.7, 207.0, 355.9, 671.4", \ + " 78.9, 95.3, 133.7, 217.8, 366.6, 682.2", \ + " 89.5, 107.5, 149.5, 233.4, 382.1, 697.5", \ + " 104.0, 123.8, 169.8, 257.5, 405.6, 720.7", \ + " 124.0, 145.9, 196.2, 292.0, 441.1, 755.3", \ + " 151.7, 176.4, 232.2, 336.9, 495.7, 808.3", \ + " 189.9, 218.3, 281.5, 397.2, 570.8, 890.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.2, 139.7, 243.3, 478.0, 895.7, 1783.7", \ + " 101.9, 140.1, 243.4, 478.0, 895.7, 1783.7", \ + " 105.3, 142.6, 244.2, 478.0, 895.7, 1783.7", \ + " 110.8, 147.3, 247.6, 478.6, 895.7, 1783.7", \ + " 122.6, 157.8, 255.8, 483.5, 896.3, 1783.7", \ + " 144.1, 176.5, 270.9, 493.9, 901.7, 1783.7", \ + " 174.9, 209.7, 298.6, 514.7, 915.3, 1787.9", \ + " 218.7, 254.7, 346.3, 552.1, 942.7, 1802.9", \ + " 281.5, 319.2, 415.3, 617.7, 994.4, 1837.0", \ + " 370.3, 411.1, 512.9, 725.1, 1087.1, 1905.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.1, 57.2, 85.4, 146.6, 253.8, 480.6", \ + " 49.6, 60.9, 89.3, 150.6, 257.8, 484.7", \ + " 52.1, 63.4, 92.0, 153.4, 260.7, 487.6", \ + " 54.9, 67.0, 95.7, 157.3, 264.8, 491.7", \ + " 58.7, 71.9, 102.6, 164.5, 272.1, 499.2", \ + " 62.7, 77.3, 111.0, 175.3, 283.0, 510.1", \ + " 66.5, 83.2, 120.7, 190.8, 299.8, 526.9", \ + " 69.0, 88.3, 131.2, 208.8, 324.8, 551.9", \ + " 68.6, 91.1, 141.0, 229.1, 356.7, 590.1", \ + " 63.1, 89.4, 147.8, 250.2, 393.8, 646.8" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.0, 105.3, 177.6, 339.6, 628.0, 1241.4", \ + " 79.8, 106.6, 177.9, 339.6, 627.9, 1241.4", \ + " 83.0, 109.4, 180.0, 340.1, 628.0, 1241.4", \ + " 88.7, 114.4, 183.7, 342.4, 628.2, 1241.4", \ + " 102.6, 126.4, 193.1, 348.5, 631.4, 1241.4", \ + " 121.8, 147.3, 210.9, 361.3, 639.4, 1244.0", \ + " 150.8, 177.4, 243.2, 386.5, 656.9, 1252.9", \ + " 192.8, 220.9, 289.7, 431.5, 691.1, 1274.0", \ + " 253.6, 284.1, 357.0, 505.0, 754.4, 1318.3", \ + " 340.4, 374.9, 454.7, 611.3, 864.8, 1404.0" ); }} +timing() { /* ring osc delay mxi2v0x2, path a0 to z 88.1 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.5 ; */ +/* intrinsic_fall : 54.4 ; */ +/* rise_resistance : 2.34 ; */ +/* fall_resistance : 1.70 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 66.3, 104.2, 188.2, 336.9, 652.3", \ + " 58.8, 73.5, 111.6, 195.8, 344.5, 660.0", \ + " 63.8, 78.4, 116.5, 200.8, 349.6, 665.1", \ + " 70.2, 84.7, 122.7, 207.0, 355.9, 671.4", \ + " 78.9, 95.3, 133.7, 217.8, 366.6, 682.2", \ + " 89.5, 107.5, 149.5, 233.4, 382.1, 697.5", \ + " 104.0, 123.8, 169.8, 257.5, 405.6, 720.7", \ + " 124.0, 145.9, 196.2, 292.0, 441.1, 755.3", \ + " 151.7, 176.4, 232.2, 336.9, 495.7, 808.4", \ + " 189.9, 218.3, 281.5, 397.2, 570.8, 890.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.3, 139.7, 243.4, 478.0, 895.7, 1783.7", \ + " 102.0, 140.1, 243.4, 478.0, 895.7, 1783.7", \ + " 105.4, 142.6, 244.2, 478.0, 895.7, 1783.7", \ + " 110.8, 147.4, 247.6, 478.6, 895.7, 1783.7", \ + " 122.6, 157.8, 255.8, 483.5, 896.3, 1783.7", \ + " 144.1, 176.5, 270.9, 493.9, 901.7, 1783.7", \ + " 174.9, 209.7, 298.6, 514.7, 915.3, 1787.9", \ + " 218.8, 254.7, 346.3, 552.1, 942.7, 1802.9", \ + " 281.5, 319.2, 415.3, 617.7, 994.4, 1837.0", \ + " 370.3, 411.1, 512.9, 725.1, 1087.1, 1905.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.2, 57.3, 85.5, 146.6, 253.8, 480.6", \ + " 49.7, 60.9, 89.3, 150.6, 257.8, 484.7", \ + " 52.1, 63.5, 92.0, 153.4, 260.7, 487.6", \ + " 54.9, 67.0, 95.7, 157.3, 264.7, 491.7", \ + " 58.7, 71.9, 102.6, 164.5, 272.1, 499.2", \ + " 62.7, 77.3, 111.0, 175.3, 283.0, 510.1", \ + " 66.5, 83.2, 120.8, 190.8, 299.8, 526.9", \ + " 69.0, 88.3, 131.2, 208.8, 324.8, 551.9", \ + " 68.6, 91.1, 141.0, 229.1, 356.7, 590.1", \ + " 63.1, 89.4, 147.8, 250.2, 393.8, 646.8" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.1, 105.4, 177.6, 339.6, 627.9, 1241.4", \ + " 79.9, 106.7, 178.0, 339.6, 627.9, 1241.4", \ + " 83.1, 109.5, 180.0, 340.1, 627.9, 1241.4", \ + " 88.8, 114.4, 183.8, 342.4, 628.2, 1241.4", \ + " 102.7, 126.5, 193.1, 348.5, 631.4, 1241.4", \ + " 121.8, 147.3, 210.9, 361.3, 639.4, 1244.0", \ + " 150.8, 177.4, 243.3, 386.5, 656.9, 1252.9", \ + " 192.9, 220.9, 289.7, 431.6, 691.1, 1274.0", \ + " 253.6, 284.1, 357.0, 505.0, 754.4, 1318.3", \ + " 340.4, 374.9, 454.7, 611.3, 864.8, 1404.0" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 66.5 ; */ +/* intrinsic_fall : 54.4 ; */ +/* rise_resistance : 2.34 ; */ +/* fall_resistance : 1.70 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 66.3, 104.2, 188.2, 336.9, 652.3", \ + " 58.8, 73.5, 111.6, 195.8, 344.5, 660.0", \ + " 63.8, 78.4, 116.5, 200.8, 349.6, 665.1", \ + " 70.2, 84.7, 122.7, 207.0, 355.9, 671.4", \ + " 78.9, 95.3, 133.7, 217.8, 366.6, 682.2", \ + " 89.5, 107.5, 149.5, 233.4, 382.1, 697.5", \ + " 104.0, 123.8, 169.8, 257.5, 405.6, 720.7", \ + " 124.0, 145.9, 196.2, 292.0, 441.1, 755.3", \ + " 151.7, 176.4, 232.2, 336.9, 495.7, 808.3", \ + " 189.9, 218.3, 281.5, 397.2, 570.8, 890.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.2, 139.7, 243.3, 478.0, 895.7, 1783.7", \ + " 101.9, 140.1, 243.4, 478.0, 895.7, 1783.7", \ + " 105.3, 142.6, 244.2, 478.0, 895.7, 1783.7", \ + " 110.8, 147.3, 247.6, 478.6, 895.7, 1783.7", \ + " 122.6, 157.8, 255.8, 483.5, 896.3, 1783.7", \ + " 144.1, 176.5, 270.9, 493.9, 901.7, 1783.7", \ + " 174.9, 209.7, 298.6, 514.7, 915.3, 1787.9", \ + " 218.7, 254.7, 346.3, 552.1, 942.7, 1802.9", \ + " 281.5, 319.2, 415.3, 617.7, 994.4, 1837.0", \ + " 370.3, 411.1, 512.9, 725.1, 1087.1, 1905.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.1, 57.2, 85.4, 146.6, 253.8, 480.6", \ + " 49.6, 60.9, 89.3, 150.6, 257.8, 484.7", \ + " 52.1, 63.4, 92.0, 153.4, 260.7, 487.6", \ + " 54.9, 67.0, 95.7, 157.3, 264.8, 491.7", \ + " 58.7, 71.9, 102.6, 164.5, 272.1, 499.2", \ + " 62.7, 77.3, 111.0, 175.3, 283.0, 510.1", \ + " 66.5, 83.2, 120.7, 190.8, 299.8, 526.9", \ + " 69.0, 88.3, 131.2, 208.8, 324.8, 551.9", \ + " 68.6, 91.1, 141.0, 229.1, 356.7, 590.1", \ + " 63.1, 89.4, 147.8, 250.2, 393.8, 646.8" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.0, 105.3, 177.6, 339.6, 628.0, 1241.4", \ + " 79.8, 106.6, 177.9, 339.6, 627.9, 1241.4", \ + " 83.0, 109.4, 180.0, 340.1, 628.0, 1241.4", \ + " 88.7, 114.4, 183.7, 342.4, 628.2, 1241.4", \ + " 102.6, 126.4, 193.1, 348.5, 631.4, 1241.4", \ + " 121.8, 147.3, 210.9, 361.3, 639.4, 1244.0", \ + " 150.8, 177.4, 243.2, 386.5, 656.9, 1252.9", \ + " 192.8, 220.9, 289.7, 431.5, 691.1, 1274.0", \ + " 253.6, 284.1, 357.0, 505.0, 754.4, 1318.3", \ + " 340.4, 374.9, 454.7, 611.3, 864.8, 1404.0" ); }} +timing() { /* ring osc delay mxi2v0x2, path a1 to z 88.8 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 51.1 ; */ +/* rise_resistance : 2.33 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.3, 109.1, 192.9, 341.5, 656.8", \ + " 63.4, 78.0, 116.0, 200.0, 348.6, 664.1", \ + " 67.8, 82.5, 120.5, 204.6, 353.3, 668.8", \ + " 73.6, 88.3, 126.3, 210.5, 359.3, 674.8", \ + " 82.0, 98.3, 136.7, 220.9, 369.7, 685.2", \ + " 92.0, 110.0, 152.0, 236.2, 384.9, 700.3", \ + " 106.1, 125.9, 172.0, 259.9, 408.2, 723.3", \ + " 125.7, 147.6, 198.1, 294.0, 443.4, 757.8", \ + " 153.3, 177.9, 233.7, 338.6, 497.7, 810.7", \ + " 191.5, 219.8, 283.0, 398.7, 572.5, 892.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.0, 144.6, 248.2, 482.6, 900.3, 1788.3", \ + " 106.4, 144.8, 248.3, 482.6, 900.3, 1788.3", \ + " 109.1, 146.8, 248.8, 482.6, 900.3, 1788.3", \ + " 113.9, 151.0, 251.9, 483.2, 900.3, 1788.3", \ + " 124.5, 160.6, 259.7, 487.9, 900.9, 1788.3", \ + " 144.7, 178.4, 274.2, 498.2, 906.3, 1788.4", \ + " 175.2, 210.9, 301.3, 518.6, 919.8, 1792.6", \ + " 219.0, 255.6, 348.2, 555.6, 947.0, 1807.5", \ + " 282.1, 320.2, 417.1, 620.6, 998.4, 1841.6", \ + " 371.1, 412.1, 514.5, 727.5, 1090.5, 1909.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 52.1, 80.1, 141.1, 248.2, 475.0", \ + " 45.4, 56.4, 84.6, 145.7, 252.9, 479.7", \ + " 48.6, 59.6, 87.7, 148.9, 256.2, 483.0", \ + " 52.1, 63.9, 92.1, 153.3, 260.6, 487.5", \ + " 56.7, 69.6, 99.8, 161.1, 268.4, 495.2", \ + " 61.4, 75.8, 108.9, 172.5, 279.7, 506.5", \ + " 65.7, 82.2, 119.3, 188.6, 296.9, 523.5", \ + " 68.5, 87.7, 130.3, 207.2, 322.5, 548.9", \ + " 68.5, 90.8, 140.4, 228.1, 355.0, 587.5", \ + " 63.3, 89.4, 147.5, 249.6, 392.7, 644.8" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.5, 102.5, 175.6, 341.3, 636.4, 1264.2", \ + " 78.0, 104.4, 176.3, 341.3, 636.4, 1264.2", \ + " 82.0, 107.8, 178.8, 342.2, 636.4, 1264.2", \ + " 89.0, 113.8, 183.3, 344.9, 637.1, 1264.2", \ + " 104.5, 127.6, 194.0, 352.0, 641.0, 1264.4", \ + " 125.4, 150.3, 213.7, 366.2, 650.0, 1268.0", \ + " 156.1, 182.3, 248.2, 393.5, 669.4, 1278.5", \ + " 200.0, 227.8, 297.0, 441.2, 706.3, 1302.2", \ + " 263.5, 293.8, 367.3, 518.0, 773.2, 1350.2", \ + " 354.8, 389.1, 469.3, 628.7, 887.8, 1441.1" ); }} +timing() { /* ring osc delay mxi2v0x2, path a1 to z 88.8 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 51.1 ; */ +/* rise_resistance : 2.33 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.3, 109.1, 192.9, 341.5, 656.8", \ + " 63.4, 78.0, 116.0, 200.0, 348.7, 664.1", \ + " 67.8, 82.5, 120.5, 204.6, 353.3, 668.8", \ + " 73.6, 88.3, 126.3, 210.5, 359.3, 674.8", \ + " 82.0, 98.3, 136.7, 220.9, 369.7, 685.2", \ + " 92.0, 110.0, 151.9, 236.2, 384.9, 700.3", \ + " 106.1, 125.9, 172.0, 259.9, 408.2, 723.3", \ + " 125.7, 147.6, 198.1, 294.0, 443.4, 757.8", \ + " 153.3, 177.9, 233.7, 338.6, 497.7, 810.7", \ + " 191.5, 219.8, 283.0, 398.7, 572.5, 892.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.0, 144.6, 248.2, 482.6, 900.3, 1788.3", \ + " 106.4, 144.8, 248.3, 482.6, 900.3, 1788.3", \ + " 109.1, 146.8, 248.8, 482.7, 900.3, 1788.3", \ + " 113.8, 151.0, 251.9, 483.2, 900.3, 1788.3", \ + " 124.5, 160.6, 259.7, 487.9, 900.9, 1788.3", \ + " 144.7, 178.4, 274.2, 498.2, 906.3, 1788.4", \ + " 175.1, 210.9, 301.2, 518.6, 919.8, 1792.6", \ + " 218.9, 255.6, 348.2, 555.6, 947.0, 1807.5", \ + " 282.1, 320.2, 417.1, 620.6, 998.4, 1841.6", \ + " 371.1, 412.1, 514.5, 727.5, 1090.5, 1909.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 52.1, 80.1, 141.1, 248.2, 475.0", \ + " 45.4, 56.4, 84.6, 145.7, 252.9, 479.7", \ + " 48.6, 59.6, 87.8, 148.9, 256.2, 483.0", \ + " 52.1, 63.9, 92.1, 153.3, 260.6, 487.5", \ + " 56.7, 69.6, 99.8, 161.1, 268.4, 495.2", \ + " 61.4, 75.8, 108.9, 172.5, 279.7, 506.5", \ + " 65.7, 82.2, 119.3, 188.6, 296.9, 523.5", \ + " 68.5, 87.7, 130.3, 207.2, 322.5, 548.9", \ + " 68.5, 90.8, 140.4, 228.1, 355.0, 587.5", \ + " 63.3, 89.4, 147.5, 249.6, 392.7, 644.8" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.5, 102.5, 175.6, 341.3, 636.4, 1264.2", \ + " 78.0, 104.4, 176.3, 341.3, 636.4, 1264.2", \ + " 82.0, 107.8, 178.8, 342.2, 636.4, 1264.2", \ + " 89.0, 113.8, 183.3, 344.9, 637.1, 1264.2", \ + " 104.5, 127.6, 194.0, 352.0, 641.0, 1264.4", \ + " 125.4, 150.3, 213.7, 366.2, 650.0, 1268.0", \ + " 156.1, 182.3, 248.2, 393.5, 669.4, 1278.5", \ + " 200.0, 227.8, 297.0, 441.2, 706.3, 1302.2", \ + " 263.5, 293.8, 367.3, 518.0, 773.2, 1350.2", \ + " 354.8, 389.0, 469.3, 628.7, 887.8, 1441.1" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 51.1 ; */ +/* rise_resistance : 2.33 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.3, 109.1, 192.9, 341.5, 656.8", \ + " 63.4, 78.0, 116.0, 200.0, 348.6, 664.1", \ + " 67.8, 82.5, 120.5, 204.6, 353.3, 668.8", \ + " 73.6, 88.3, 126.3, 210.5, 359.3, 674.8", \ + " 82.0, 98.3, 136.7, 220.9, 369.7, 685.2", \ + " 92.0, 110.0, 152.0, 236.2, 384.9, 700.3", \ + " 106.1, 125.9, 172.0, 259.9, 408.2, 723.3", \ + " 125.7, 147.6, 198.1, 294.0, 443.4, 757.8", \ + " 153.3, 177.9, 233.7, 338.6, 497.7, 810.7", \ + " 191.5, 219.8, 283.0, 398.7, 572.5, 892.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.0, 144.6, 248.2, 482.6, 900.3, 1788.3", \ + " 106.4, 144.8, 248.3, 482.6, 900.3, 1788.3", \ + " 109.1, 146.8, 248.8, 482.6, 900.3, 1788.3", \ + " 113.9, 151.0, 251.9, 483.2, 900.3, 1788.3", \ + " 124.5, 160.6, 259.7, 487.9, 900.9, 1788.3", \ + " 144.7, 178.4, 274.2, 498.2, 906.3, 1788.4", \ + " 175.2, 210.9, 301.3, 518.6, 919.8, 1792.6", \ + " 219.0, 255.6, 348.2, 555.6, 947.0, 1807.5", \ + " 282.1, 320.2, 417.1, 620.6, 998.4, 1841.6", \ + " 371.1, 412.1, 514.5, 727.5, 1090.5, 1909.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 52.1, 80.1, 141.1, 248.2, 475.0", \ + " 45.4, 56.4, 84.6, 145.7, 252.9, 479.7", \ + " 48.6, 59.6, 87.7, 148.9, 256.2, 483.0", \ + " 52.1, 63.9, 92.1, 153.3, 260.6, 487.5", \ + " 56.7, 69.6, 99.8, 161.1, 268.4, 495.2", \ + " 61.4, 75.8, 108.9, 172.5, 279.7, 506.5", \ + " 65.7, 82.2, 119.3, 188.6, 296.9, 523.5", \ + " 68.5, 87.7, 130.3, 207.2, 322.5, 548.9", \ + " 68.5, 90.8, 140.4, 228.1, 355.0, 587.5", \ + " 63.3, 89.4, 147.5, 249.6, 392.7, 644.8" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.5, 102.5, 175.6, 341.3, 636.4, 1264.2", \ + " 78.0, 104.4, 176.3, 341.3, 636.4, 1264.2", \ + " 82.0, 107.8, 178.8, 342.2, 636.4, 1264.2", \ + " 89.0, 113.8, 183.3, 344.9, 637.1, 1264.2", \ + " 104.5, 127.6, 194.0, 352.0, 641.0, 1264.4", \ + " 125.4, 150.3, 213.7, 366.2, 650.0, 1268.0", \ + " 156.1, 182.3, 248.2, 393.5, 669.4, 1278.5", \ + " 200.0, 227.8, 297.0, 441.2, 706.3, 1302.2", \ + " 263.5, 293.8, 367.3, 518.0, 773.2, 1350.2", \ + " 354.8, 389.1, 469.3, 628.7, 887.8, 1441.1" ); }} +timing() { /* ring osc delay mxi2v0x2, path s to z 65.7 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.8 ; */ +/* intrinsic_fall : 38.1 ; */ +/* rise_resistance : 2.32 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.9, 81.8, 165.9, 314.9, 630.5", \ + " 37.9, 51.5, 87.4, 171.7, 321.0, 636.8", \ + " 43.6, 56.8, 92.3, 176.5, 325.8, 641.7", \ + " 49.1, 64.6, 99.4, 183.1, 332.4, 648.2", \ + " 57.7, 75.3, 112.7, 195.4, 344.3, 660.0", \ + " 68.5, 88.3, 131.2, 213.9, 362.0, 677.1", \ + " 82.9, 105.3, 153.7, 243.2, 389.5, 703.5", \ + " 101.6, 127.4, 181.8, 284.0, 431.7, 743.4", \ + " 126.5, 156.7, 218.7, 334.2, 497.4, 805.3", \ + " 160.4, 195.8, 267.8, 398.5, 585.6, 902.7" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 82.5, 124.3, 233.7, 477.4, 909.9, 1824.0", \ + " 87.2, 126.9, 233.9, 476.8, 909.6, 1823.9", \ + " 93.9, 132.5, 237.3, 476.9, 909.2, 1823.8", \ + " 104.0, 141.3, 243.6, 479.9, 908.7, 1823.6", \ + " 123.9, 159.0, 257.6, 488.8, 912.2, 1823.2", \ + " 153.2, 186.8, 281.3, 505.9, 922.5, 1824.7", \ + " 182.5, 228.0, 320.3, 537.4, 944.5, 1835.8", \ + " 227.5, 275.0, 381.6, 590.5, 985.2, 1862.5", \ + " 295.3, 344.7, 461.2, 676.5, 1058.0, 1915.2", \ + " 396.1, 448.4, 572.0, 811.0, 1182.3, 2013.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 35.4, 61.6, 122.1, 229.4, 456.3", \ + " 31.9, 41.3, 67.8, 128.5, 236.1, 463.1", \ + " 35.3, 46.2, 72.6, 133.3, 240.9, 468.0", \ + " 38.3, 51.1, 79.1, 139.7, 247.4, 474.5", \ + " 41.6, 56.8, 90.3, 151.2, 258.8, 485.8", \ + " 44.1, 62.1, 101.6, 168.1, 275.3, 502.2", \ + " 45.6, 66.8, 113.2, 191.8, 300.7, 527.1", \ + " 45.0, 70.1, 124.4, 216.7, 338.7, 564.2", \ + " 40.6, 70.5, 134.5, 242.1, 386.6, 620.9", \ + " 30.0, 65.7, 141.6, 267.3, 437.3, 706.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.6, 76.6, 148.4, 308.4, 593.0, 1189.7", \ + " 51.8, 79.2, 148.7, 308.2, 592.9, 1189.7", \ + " 58.5, 84.9, 152.1, 308.4, 592.7, 1189.7", \ + " 69.3, 94.0, 158.9, 311.5, 592.4, 1189.6", \ + " 84.2, 112.4, 174.2, 321.3, 596.5, 1189.6", \ + " 103.3, 135.2, 199.1, 340.6, 608.7, 1191.0", \ + " 132.1, 166.0, 237.9, 375.4, 634.6, 1203.7", \ + " 173.1, 209.7, 287.2, 431.2, 681.6, 1235.5", \ + " 231.3, 272.0, 356.2, 514.4, 761.3, 1299.1", \ + " 314.6, 360.3, 454.7, 627.0, 888.6, 1414.0" ); }} +timing() { /* ring osc delay mxi2v0x2, path s to z 131.5 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.6 ; */ +/* intrinsic_fall : 112.3 ; */ +/* rise_resistance : 2.29 ; */ +/* fall_resistance : 1.66 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.3, 98.0, 133.8, 215.3, 362.2, 676.4", \ + " 91.1, 105.0, 141.0, 222.6, 369.5, 683.7", \ + " 96.0, 110.0, 146.3, 228.1, 375.0, 689.2", \ + " 101.9, 116.0, 152.7, 234.8, 381.8, 695.9", \ + " 110.2, 124.6, 161.5, 244.0, 391.1, 705.2", \ + " 120.2, 134.1, 170.6, 253.3, 400.5, 714.6", \ + " 130.7, 145.1, 181.4, 262.8, 410.2, 724.2", \ + " 140.3, 155.3, 192.3, 273.5, 419.5, 733.5", \ + " 148.7, 164.3, 202.0, 284.1, 429.4, 742.2", \ + " 154.1, 170.6, 209.3, 292.2, 438.8, 749.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.2, 141.1, 240.1, 464.9, 866.7, 1721.6", \ + " 104.4, 141.1, 240.0, 464.8, 866.7, 1721.6", \ + " 104.9, 141.5, 240.1, 464.9, 866.7, 1721.6", \ + " 106.8, 142.6, 240.5, 464.9, 866.8, 1721.6", \ + " 107.0, 142.8, 240.7, 465.3, 866.8, 1721.6", \ + " 108.2, 143.4, 240.2, 463.9, 866.5, 1721.6", \ + " 110.5, 144.3, 240.9, 463.0, 864.4, 1721.1", \ + " 117.1, 150.3, 242.5, 463.4, 863.4, 1718.9", \ + " 127.3, 159.9, 250.2, 465.1, 863.7, 1717.6", \ + " 142.4, 174.5, 263.1, 474.0, 866.8, 1718.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.9, 102.8, 129.9, 189.3, 295.1, 520.8", \ + " 99.5, 110.4, 137.6, 197.0, 302.8, 528.6", \ + " 105.7, 116.7, 144.0, 203.4, 309.1, 534.9", \ + " 114.0, 125.1, 152.5, 212.0, 317.7, 543.4", \ + " 127.8, 139.1, 166.8, 226.5, 332.2, 557.8", \ + " 145.0, 156.7, 185.0, 244.8, 350.5, 576.0", \ + " 167.3, 179.6, 208.3, 268.2, 373.8, 599.1", \ + " 194.4, 207.5, 237.5, 297.9, 403.0, 628.1", \ + " 229.2, 243.3, 274.7, 336.4, 441.7, 665.9", \ + " 275.1, 290.3, 323.8, 387.2, 493.4, 717.2" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 81.7, 105.4, 167.6, 309.6, 567.3, 1119.2", \ + " 81.6, 105.3, 167.5, 309.6, 567.3, 1119.2", \ + " 81.8, 105.4, 167.5, 309.6, 567.3, 1119.2", \ + " 82.6, 105.9, 167.6, 309.6, 567.3, 1119.2", \ + " 84.9, 108.1, 168.6, 309.9, 567.4, 1119.2", \ + " 85.3, 108.3, 169.2, 310.9, 567.6, 1119.2", \ + " 86.9, 109.8, 170.6, 310.2, 567.3, 1119.3", \ + " 91.5, 114.3, 173.1, 311.3, 566.2, 1118.4", \ + " 99.3, 122.1, 179.8, 313.9, 566.7, 1116.6", \ + " 111.6, 134.6, 191.6, 322.0, 569.3, 1116.4" ); }} +} +} +cell(mxi2v2x05) { /* 2008-01-06:07h36 characteristic delay 16.2 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 554 ; /* mxi2v2x05 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v2x05 FO4 effort 1.57 logical effort 1.49 */ +direction : input ; +capacitance : 2.25 ; +rise_capacitance : 2.19 ; +fall_capacitance : 2.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v2x05 */ +} +pin(a1) { /* mxi2v2x05 FO4 effort 1.58 logical effort 1.51 */ +direction : input ; +capacitance : 2.28 ; +rise_capacitance : 2.23 ; +fall_capacitance : 2.34 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v2x05 */ +} +pin(s) { /* mxi2v2x05 FO4 effort 1.98 logical effort 2.67 */ +direction : input ; +capacitance : 3.54 ; +rise_capacitance : 3.46 ; +fall_capacitance : 3.62 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v2x05 */ +internal_power(s) { /* mxi2v2x05 5.03 nW/MHz */ +power(pwr_intran_x10) { +values( " 2.53, 2.45, 2.46, 2.51, 2.66, 2.92, 3.37, 4.12, 5.32, 7.22" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 45 ; +max_fanout : 2 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v2x05 8.44 nW/MHz */ +related_pin : "a0" ; +power(pwr_x05_65_5x10) { +values( " 4.16, 4.16, 4.17, 4.17, 4.16", \ + " 4.10, 4.12, 4.14, 4.15, 4.15", \ + " 4.13, 4.14, 4.16, 4.18, 4.19", \ + " 4.20, 4.21, 4.22, 4.24, 4.25", \ + " 4.39, 4.38, 4.37, 4.38, 4.38", \ + " 4.74, 4.70, 4.65, 4.62, 4.60", \ + " 5.36, 5.28, 5.16, 5.04, 4.97", \ + " 6.40, 6.26, 6.02, 5.77, 5.60", \ + " 8.10, 7.88, 7.48, 7.02, 6.66", \ + " 10.85, 10.54, 9.94, 9.16, 8.50" ); }} +internal_power(a1_z_n) { /* mxi2v2x05 8.40 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_65_5x10) { +values( " 4.14, 4.14, 4.15, 4.15, 4.15", \ + " 4.08, 4.10, 4.12, 4.13, 4.13", \ + " 4.11, 4.12, 4.14, 4.16, 4.17", \ + " 4.18, 4.19, 4.20, 4.22, 4.23", \ + " 4.37, 4.36, 4.35, 4.35, 4.36", \ + " 4.72, 4.68, 4.63, 4.59, 4.58", \ + " 5.34, 5.26, 5.13, 5.02, 4.95", \ + " 6.37, 6.23, 6.00, 5.75, 5.57", \ + " 8.08, 7.86, 7.46, 7.00, 6.64", \ + " 10.83, 10.52, 9.91, 9.13, 8.47" ); }} +internal_power(s_z_n) { /* mxi2v2x05 7.71 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_65_5x10) { +values( " 1.25, 1.31, 1.38, 1.43, 1.44", \ + " 1.19, 1.25, 1.34, 1.41, 1.45", \ + " 1.22, 1.26, 1.33, 1.40, 1.44", \ + " 1.29, 1.30, 1.34, 1.40, 1.44", \ + " 1.47, 1.43, 1.41, 1.43, 1.45", \ + " 1.80, 1.71, 1.60, 1.53, 1.50", \ + " 2.37, 2.20, 1.97, 1.77, 1.65", \ + " 3.28, 3.03, 2.65, 2.26, 1.99", \ + " 4.73, 4.39, 3.83, 3.19, 2.67", \ + " 7.00, 6.57, 5.81, 4.84, 3.97" ); }} +internal_power(s_z_p) { /* mxi2v2x05 10.17 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_65_5x10) { +values( " 2.60, 2.55, 2.43, 2.29, 2.17", \ + " 2.62, 2.57, 2.46, 2.32, 2.21", \ + " 2.68, 2.62, 2.50, 2.36, 2.24", \ + " 2.77, 2.70, 2.57, 2.41, 2.28", \ + " 2.96, 2.87, 2.71, 2.52, 2.35", \ + " 3.26, 3.14, 2.94, 2.69, 2.48", \ + " 3.75, 3.59, 3.32, 2.99, 2.70", \ + " 4.50, 4.30, 3.94, 3.50, 3.08", \ + " 5.72, 5.43, 4.95, 4.34, 3.76", \ + " 7.69, 7.31, 6.60, 5.75, 4.94" ); }} +timing() { /* ring osc delay mxi2v2x05, path a0 to z 76.3 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 6.39 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 51.0, 77.0, 134.7, 236.9, 453.8", \ + " 48.9, 58.9, 84.9, 142.6, 244.7, 461.7", \ + " 55.2, 65.1, 91.0, 148.6, 250.7, 467.7", \ + " 63.4, 73.6, 99.3, 156.7, 258.8, 475.8", \ + " 74.9, 86.7, 114.0, 171.1, 273.0, 489.9", \ + " 88.4, 101.9, 133.0, 191.8, 293.4, 510.1", \ + " 105.6, 120.9, 156.3, 221.9, 324.0, 540.5", \ + " 127.4, 144.7, 184.9, 259.5, 368.3, 585.1", \ + " 155.9, 175.7, 221.6, 306.3, 429.6, 651.5", \ + " 193.6, 216.6, 269.5, 366.3, 506.8, 750.1" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.7, 132.5, 219.7, 420.2, 779.7, 1545.4", \ + " 105.0, 135.4, 220.8, 420.5, 779.7, 1545.4", \ + " 110.8, 140.4, 224.0, 421.2, 779.8, 1545.4", \ + " 119.6, 148.4, 230.0, 424.1, 780.2, 1545.4", \ + " 136.5, 163.9, 243.0, 432.7, 783.4, 1545.5", \ + " 161.7, 187.5, 263.7, 448.8, 793.4, 1547.0", \ + " 194.1, 222.9, 296.8, 476.8, 814.4, 1556.8", \ + " 236.6, 267.5, 346.9, 521.2, 851.4, 1581.4", \ + " 295.9, 328.9, 413.7, 591.5, 913.4, 1629.6", \ + " 380.3, 416.0, 506.5, 697.0, 1013.9, 1715.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 46.5, 66.9, 111.6, 190.4, 357.6", \ + " 45.5, 53.5, 73.9, 118.7, 197.6, 364.8", \ + " 50.5, 58.6, 79.2, 124.1, 203.0, 370.3", \ + " 56.0, 64.9, 86.0, 131.2, 210.2, 377.6", \ + " 62.6, 73.0, 96.7, 143.0, 222.6, 390.3", \ + " 68.8, 81.0, 108.3, 158.8, 239.6, 408.1", \ + " 74.4, 88.7, 120.8, 178.4, 263.7, 434.0", \ + " 78.5, 95.3, 133.0, 199.9, 295.6, 470.5", \ + " 79.5, 99.3, 143.8, 222.5, 332.5, 522.0", \ + " 74.9, 98.2, 151.1, 244.5, 373.2, 588.8" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.4, 86.1, 144.2, 276.1, 512.8, 1017.6", \ + " 68.5, 89.3, 145.8, 276.6, 512.9, 1017.6", \ + " 74.2, 94.4, 149.5, 277.8, 513.1, 1017.6", \ + " 82.9, 102.3, 155.9, 281.6, 513.8, 1017.7", \ + " 99.7, 117.6, 169.1, 291.6, 518.7, 1017.9", \ + " 120.8, 140.2, 190.0, 309.0, 531.0, 1021.6", \ + " 150.0, 170.5, 223.2, 338.2, 554.8, 1035.3", \ + " 190.3, 212.1, 267.8, 385.2, 595.2, 1065.1", \ + " 247.2, 271.1, 330.5, 454.9, 662.0, 1119.9", \ + " 327.9, 355.0, 420.3, 552.5, 769.5, 1214.1" ); }} +timing() { /* ring osc delay mxi2v2x05, path a0 to z 76.3 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 6.39 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 50.9, 77.0, 134.7, 236.8, 453.8", \ + " 48.9, 58.8, 84.8, 142.5, 244.7, 461.7", \ + " 55.2, 65.1, 91.0, 148.6, 250.7, 467.7", \ + " 63.4, 73.6, 99.3, 156.7, 258.8, 475.7", \ + " 74.9, 86.7, 114.0, 171.1, 273.0, 489.9", \ + " 88.4, 101.9, 133.0, 191.7, 293.4, 510.1", \ + " 105.6, 120.9, 156.3, 221.9, 324.0, 540.5", \ + " 127.4, 144.7, 184.9, 259.5, 368.3, 585.1", \ + " 155.9, 175.7, 221.5, 306.3, 429.6, 651.5", \ + " 193.6, 216.6, 269.5, 366.3, 506.8, 750.1" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.7, 132.4, 219.6, 420.2, 779.6, 1545.4", \ + " 104.9, 135.4, 220.8, 420.4, 779.7, 1545.4", \ + " 110.8, 140.4, 224.0, 421.2, 779.8, 1545.4", \ + " 119.6, 148.4, 230.0, 424.1, 780.1, 1545.4", \ + " 136.5, 163.9, 242.9, 432.7, 783.4, 1545.5", \ + " 161.7, 187.5, 263.7, 448.8, 793.3, 1547.0", \ + " 194.1, 222.9, 296.8, 476.7, 814.3, 1556.8", \ + " 236.6, 267.5, 346.9, 521.2, 851.4, 1581.3", \ + " 295.9, 328.9, 413.7, 591.5, 913.4, 1629.6", \ + " 380.3, 416.0, 506.5, 697.0, 1013.9, 1715.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 46.5, 66.9, 111.6, 190.4, 357.7", \ + " 45.5, 53.5, 73.9, 118.7, 197.6, 364.8", \ + " 50.5, 58.7, 79.2, 124.1, 203.0, 370.3", \ + " 56.0, 64.9, 86.0, 131.2, 210.3, 377.6", \ + " 62.6, 73.0, 96.7, 143.0, 222.6, 390.3", \ + " 68.8, 81.0, 108.3, 158.8, 239.6, 408.1", \ + " 74.4, 88.7, 120.8, 178.5, 263.7, 434.0", \ + " 78.5, 95.3, 133.0, 199.9, 295.6, 470.5", \ + " 79.5, 99.3, 143.9, 222.5, 332.5, 522.0", \ + " 74.9, 98.2, 151.1, 244.5, 373.2, 588.8" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.4, 86.2, 144.3, 276.1, 512.8, 1017.6", \ + " 68.5, 89.3, 145.9, 276.7, 512.9, 1017.7", \ + " 74.3, 94.4, 149.5, 277.9, 513.1, 1017.7", \ + " 83.0, 102.3, 156.0, 281.7, 513.9, 1017.7", \ + " 99.7, 117.6, 169.2, 291.6, 518.8, 1017.9", \ + " 120.8, 140.2, 190.0, 309.0, 531.0, 1021.6", \ + " 150.0, 170.5, 223.2, 338.2, 554.9, 1035.4", \ + " 190.3, 212.2, 267.9, 385.2, 595.2, 1065.1", \ + " 247.2, 271.1, 330.5, 454.9, 662.0, 1119.9", \ + " 327.9, 355.1, 420.3, 552.5, 769.5, 1214.2" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 6.39 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 51.0, 77.0, 134.7, 236.9, 453.8", \ + " 48.9, 58.9, 84.9, 142.6, 244.7, 461.7", \ + " 55.2, 65.1, 91.0, 148.6, 250.7, 467.7", \ + " 63.4, 73.6, 99.3, 156.7, 258.8, 475.8", \ + " 74.9, 86.7, 114.0, 171.1, 273.0, 489.9", \ + " 88.4, 101.9, 133.0, 191.8, 293.4, 510.1", \ + " 105.6, 120.9, 156.3, 221.9, 324.0, 540.5", \ + " 127.4, 144.7, 184.9, 259.5, 368.3, 585.1", \ + " 155.9, 175.7, 221.6, 306.3, 429.6, 651.5", \ + " 193.6, 216.6, 269.5, 366.3, 506.8, 750.1" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.7, 132.5, 219.7, 420.2, 779.7, 1545.4", \ + " 105.0, 135.4, 220.8, 420.5, 779.7, 1545.4", \ + " 110.8, 140.4, 224.0, 421.2, 779.8, 1545.4", \ + " 119.6, 148.4, 230.0, 424.1, 780.2, 1545.4", \ + " 136.5, 163.9, 243.0, 432.7, 783.4, 1545.5", \ + " 161.7, 187.5, 263.7, 448.8, 793.4, 1547.0", \ + " 194.1, 222.9, 296.8, 476.8, 814.4, 1556.8", \ + " 236.6, 267.5, 346.9, 521.2, 851.4, 1581.4", \ + " 295.9, 328.9, 413.7, 591.5, 913.4, 1629.6", \ + " 380.3, 416.0, 506.5, 697.0, 1013.9, 1715.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 46.5, 66.9, 111.6, 190.4, 357.6", \ + " 45.5, 53.5, 73.9, 118.7, 197.6, 364.8", \ + " 50.5, 58.6, 79.2, 124.1, 203.0, 370.3", \ + " 56.0, 64.9, 86.0, 131.2, 210.2, 377.6", \ + " 62.6, 73.0, 96.7, 143.0, 222.6, 390.3", \ + " 68.8, 81.0, 108.3, 158.8, 239.6, 408.1", \ + " 74.4, 88.7, 120.8, 178.4, 263.7, 434.0", \ + " 78.5, 95.3, 133.0, 199.9, 295.6, 470.5", \ + " 79.5, 99.3, 143.8, 222.5, 332.5, 522.0", \ + " 74.9, 98.2, 151.1, 244.5, 373.2, 588.8" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.4, 86.1, 144.2, 276.1, 512.8, 1017.6", \ + " 68.5, 89.3, 145.8, 276.6, 512.9, 1017.6", \ + " 74.2, 94.4, 149.5, 277.8, 513.1, 1017.6", \ + " 82.9, 102.3, 155.9, 281.6, 513.8, 1017.7", \ + " 99.7, 117.6, 169.1, 291.6, 518.7, 1017.9", \ + " 120.8, 140.2, 190.0, 309.0, 531.0, 1021.6", \ + " 150.0, 170.5, 223.2, 338.2, 554.8, 1035.3", \ + " 190.3, 212.1, 267.8, 385.2, 595.2, 1065.1", \ + " 247.2, 271.1, 330.5, 454.9, 662.0, 1119.9", \ + " 327.9, 355.0, 420.3, 552.5, 769.5, 1214.1" ); }} +timing() { /* ring osc delay mxi2v2x05, path a1 to z 76.2 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 53.9 ; */ +/* rise_resistance : 6.41 ; */ +/* fall_resistance : 4.96 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 53.0, 79.3, 137.1, 239.3, 456.2", \ + " 50.5, 60.8, 87.1, 144.9, 247.1, 464.1", \ + " 56.6, 66.9, 93.2, 150.9, 253.1, 470.1", \ + " 64.5, 75.1, 101.4, 159.0, 261.2, 478.1", \ + " 75.8, 87.9, 115.7, 173.3, 275.3, 492.2", \ + " 89.1, 102.8, 134.4, 193.6, 295.6, 512.4", \ + " 106.1, 121.6, 157.4, 223.4, 325.9, 542.7", \ + " 127.8, 145.3, 185.7, 260.6, 369.9, 587.1", \ + " 156.0, 176.1, 222.1, 307.2, 430.9, 653.3", \ + " 193.6, 216.8, 269.9, 367.0, 507.7, 751.5" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.1, 134.4, 222.6, 423.7, 783.4, 1549.3", \ + " 106.2, 137.3, 223.7, 424.0, 783.5, 1549.3", \ + " 111.9, 142.2, 226.8, 424.8, 783.6, 1549.3", \ + " 120.5, 149.9, 232.7, 427.7, 784.0, 1549.3", \ + " 137.0, 165.1, 245.4, 436.2, 787.2, 1549.4", \ + " 161.7, 188.2, 265.6, 452.0, 797.1, 1551.0", \ + " 193.9, 223.2, 298.1, 479.4, 817.9, 1560.8", \ + " 236.2, 267.5, 347.7, 523.3, 854.6, 1585.2", \ + " 295.2, 328.6, 414.1, 592.9, 915.9, 1633.1", \ + " 379.4, 415.5, 506.6, 698.0, 1015.8, 1718.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 44.4, 64.4, 108.9, 187.7, 355.0", \ + " 43.7, 51.5, 71.6, 116.1, 194.9, 362.2", \ + " 49.0, 56.9, 77.0, 121.5, 200.4, 367.7", \ + " 54.6, 63.4, 84.0, 128.7, 207.7, 375.0", \ + " 61.4, 71.8, 95.2, 140.9, 220.2, 387.8", \ + " 67.7, 79.9, 107.1, 157.1, 237.4, 405.7", \ + " 73.5, 87.8, 119.8, 177.2, 261.9, 431.9", \ + " 77.6, 94.4, 132.1, 198.9, 294.2, 468.6", \ + " 78.6, 98.4, 143.0, 221.8, 331.5, 520.4", \ + " 74.1, 97.4, 150.3, 243.8, 372.4, 587.6" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.9, 82.6, 139.6, 271.2, 507.8, 1012.7", \ + " 66.1, 85.8, 141.2, 271.7, 507.9, 1012.7", \ + " 72.1, 91.1, 144.9, 272.8, 508.1, 1012.7", \ + " 81.4, 99.5, 151.6, 276.7, 508.8, 1012.8", \ + " 98.6, 115.6, 165.5, 286.9, 513.8, 1013.0", \ + " 120.0, 138.7, 187.2, 304.8, 526.3, 1016.7", \ + " 149.5, 169.4, 221.1, 334.8, 550.6, 1030.7", \ + " 189.9, 211.3, 266.2, 382.7, 591.5, 1060.7", \ + " 246.8, 270.3, 329.2, 452.8, 659.1, 1115.9", \ + " 327.4, 354.3, 419.1, 550.8, 767.1, 1210.8" ); }} +timing() { /* ring osc delay mxi2v2x05, path a1 to z 76.2 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 53.9 ; */ +/* rise_resistance : 6.41 ; */ +/* fall_resistance : 4.96 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 53.0, 79.3, 137.1, 239.3, 456.2", \ + " 50.5, 60.8, 87.1, 144.9, 247.1, 464.1", \ + " 56.6, 66.9, 93.2, 150.9, 253.1, 470.1", \ + " 64.5, 75.1, 101.4, 159.0, 261.2, 478.1", \ + " 75.8, 87.9, 115.7, 173.3, 275.3, 492.3", \ + " 89.1, 102.8, 134.4, 193.6, 295.6, 512.4", \ + " 106.1, 121.6, 157.4, 223.4, 325.9, 542.7", \ + " 127.8, 145.3, 185.7, 260.6, 369.9, 587.2", \ + " 156.0, 176.1, 222.1, 307.2, 430.9, 653.3", \ + " 193.6, 216.8, 269.9, 367.0, 507.7, 751.5" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.1, 134.4, 222.6, 423.7, 783.4, 1549.3", \ + " 106.2, 137.3, 223.7, 424.0, 783.5, 1549.3", \ + " 111.9, 142.2, 226.8, 424.8, 783.6, 1549.3", \ + " 120.5, 149.9, 232.7, 427.7, 784.0, 1549.3", \ + " 137.0, 165.1, 245.4, 436.2, 787.2, 1549.5", \ + " 161.7, 188.2, 265.6, 452.0, 797.1, 1551.0", \ + " 193.9, 223.2, 298.1, 479.4, 817.9, 1560.8", \ + " 236.2, 267.5, 347.7, 523.3, 854.6, 1585.2", \ + " 295.2, 328.6, 414.1, 592.9, 915.9, 1633.1", \ + " 379.4, 415.5, 506.6, 698.0, 1015.8, 1718.2" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 44.4, 64.4, 108.9, 187.7, 355.0", \ + " 43.7, 51.5, 71.6, 116.1, 194.9, 362.2", \ + " 49.0, 56.9, 77.0, 121.5, 200.4, 367.7", \ + " 54.6, 63.4, 84.0, 128.7, 207.7, 375.0", \ + " 61.4, 71.8, 95.2, 140.9, 220.2, 387.8", \ + " 67.7, 79.9, 107.1, 157.1, 237.4, 405.7", \ + " 73.5, 87.8, 119.8, 177.2, 261.9, 431.9", \ + " 77.6, 94.4, 132.1, 198.9, 294.2, 468.5", \ + " 78.6, 98.4, 143.0, 221.8, 331.5, 520.4", \ + " 74.1, 97.4, 150.3, 243.8, 372.4, 587.6" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.9, 82.6, 139.6, 271.2, 507.8, 1012.7", \ + " 66.1, 85.7, 141.2, 271.7, 507.9, 1012.7", \ + " 72.1, 91.0, 144.9, 272.8, 508.1, 1012.7", \ + " 81.4, 99.5, 151.6, 276.7, 508.8, 1012.8", \ + " 98.6, 115.6, 165.5, 286.9, 513.8, 1013.0", \ + " 120.0, 138.7, 187.2, 304.8, 526.3, 1016.7", \ + " 149.5, 169.4, 221.1, 334.8, 550.6, 1030.7", \ + " 189.9, 211.3, 266.2, 382.7, 591.5, 1060.7", \ + " 246.8, 270.3, 329.2, 452.8, 659.1, 1115.9", \ + " 327.4, 354.3, 419.1, 550.8, 767.1, 1210.8" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 53.9 ; */ +/* rise_resistance : 6.41 ; */ +/* fall_resistance : 4.96 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 53.0, 79.3, 137.1, 239.3, 456.2", \ + " 50.5, 60.8, 87.1, 144.9, 247.1, 464.1", \ + " 56.6, 66.9, 93.2, 150.9, 253.1, 470.1", \ + " 64.5, 75.1, 101.4, 159.0, 261.2, 478.1", \ + " 75.8, 87.9, 115.7, 173.3, 275.3, 492.2", \ + " 89.1, 102.8, 134.4, 193.6, 295.6, 512.4", \ + " 106.1, 121.6, 157.4, 223.4, 325.9, 542.7", \ + " 127.8, 145.3, 185.7, 260.6, 369.9, 587.1", \ + " 156.0, 176.1, 222.1, 307.2, 430.9, 653.3", \ + " 193.6, 216.8, 269.9, 367.0, 507.7, 751.5" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.1, 134.4, 222.6, 423.7, 783.4, 1549.3", \ + " 106.2, 137.3, 223.7, 424.0, 783.5, 1549.3", \ + " 111.9, 142.2, 226.8, 424.8, 783.6, 1549.3", \ + " 120.5, 149.9, 232.7, 427.7, 784.0, 1549.3", \ + " 137.0, 165.1, 245.4, 436.2, 787.2, 1549.4", \ + " 161.7, 188.2, 265.6, 452.0, 797.1, 1551.0", \ + " 193.9, 223.2, 298.1, 479.4, 817.9, 1560.8", \ + " 236.2, 267.5, 347.7, 523.3, 854.6, 1585.2", \ + " 295.2, 328.6, 414.1, 592.9, 915.9, 1633.1", \ + " 379.4, 415.5, 506.6, 698.0, 1015.8, 1718.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 44.4, 64.4, 108.9, 187.7, 355.0", \ + " 43.7, 51.5, 71.6, 116.1, 194.9, 362.2", \ + " 49.0, 56.9, 77.0, 121.5, 200.4, 367.7", \ + " 54.6, 63.4, 84.0, 128.7, 207.7, 375.0", \ + " 61.4, 71.8, 95.2, 140.9, 220.2, 387.8", \ + " 67.7, 79.9, 107.1, 157.1, 237.4, 405.7", \ + " 73.5, 87.8, 119.8, 177.2, 261.9, 431.9", \ + " 77.6, 94.4, 132.1, 198.9, 294.2, 468.6", \ + " 78.6, 98.4, 143.0, 221.8, 331.5, 520.4", \ + " 74.1, 97.4, 150.3, 243.8, 372.4, 587.6" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.9, 82.6, 139.6, 271.2, 507.8, 1012.7", \ + " 66.1, 85.8, 141.2, 271.7, 507.9, 1012.7", \ + " 72.1, 91.1, 144.9, 272.8, 508.1, 1012.7", \ + " 81.4, 99.5, 151.6, 276.7, 508.8, 1012.8", \ + " 98.6, 115.6, 165.5, 286.9, 513.8, 1013.0", \ + " 120.0, 138.7, 187.2, 304.8, 526.3, 1016.7", \ + " 149.5, 169.4, 221.1, 334.8, 550.6, 1030.7", \ + " 189.9, 211.3, 266.2, 382.7, 591.5, 1060.7", \ + " 246.8, 270.3, 329.2, 452.8, 659.1, 1115.9", \ + " 327.4, 354.3, 419.1, 550.8, 767.1, 1210.8" ); }} +timing() { /* ring osc delay mxi2v2x05, path s to z 64.1 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.1 ; */ +/* intrinsic_fall : 36.9 ; */ +/* rise_resistance : 6.59 ; */ +/* fall_resistance : 4.98 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.7, 47.0, 78.3, 136.9, 239.3, 456.5", \ + " 40.0, 52.9, 84.6, 143.5, 246.0, 463.3", \ + " 46.0, 58.3, 90.1, 149.1, 251.6, 469.0", \ + " 52.4, 66.4, 97.9, 156.8, 259.3, 476.6", \ + " 61.8, 78.0, 112.1, 170.6, 272.6, 489.6", \ + " 73.7, 92.0, 131.4, 189.9, 290.7, 506.9", \ + " 89.8, 110.4, 155.4, 218.4, 316.4, 530.5", \ + " 111.0, 134.5, 186.0, 254.9, 354.0, 562.9", \ + " 139.7, 167.0, 226.5, 303.6, 407.9, 612.2", \ + " 179.1, 211.2, 280.6, 371.2, 480.5, 692.2" ); } +rise_transition(x05_65_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 87.4, 135.4, 249.1, 480.7, 890.5, 1766.9", \ + " 92.0, 138.2, 250.3, 480.8, 890.6, 1766.9", \ + " 98.6, 143.8, 254.7, 482.7, 890.8, 1766.9", \ + " 108.9, 152.9, 262.4, 487.8, 892.4, 1766.9", \ + " 129.0, 171.1, 278.2, 499.5, 899.0, 1767.9", \ + " 162.6, 199.4, 303.8, 519.1, 911.6, 1772.7", \ + " 192.0, 246.9, 345.1, 552.2, 934.1, 1782.6", \ + " 239.6, 295.0, 409.0, 606.7, 973.9, 1801.5", \ + " 312.8, 368.7, 499.7, 696.2, 1045.6, 1842.8", \ + " 423.6, 481.6, 620.1, 841.4, 1171.4, 1931.5" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.3, 29.9, 50.2, 95.3, 174.2, 341.4", \ + " 28.8, 36.5, 56.9, 102.1, 181.1, 348.4", \ + " 31.8, 41.3, 61.7, 106.7, 185.6, 352.9", \ + " 34.3, 45.4, 68.0, 112.2, 190.8, 357.9", \ + " 36.7, 50.0, 76.3, 121.2, 198.6, 364.9", \ + " 38.0, 53.6, 83.7, 133.7, 209.2, 373.5", \ + " 37.3, 55.8, 89.8, 146.0, 226.3, 386.2", \ + " 33.6, 55.3, 93.7, 156.2, 246.7, 407.0", \ + " 24.6, 50.0, 93.4, 163.0, 264.2, 441.6", \ + " 7.1, 36.5, 85.2, 163.3, 276.6, 476.7" ); } +fall_transition(x05_65_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 49.9, 78.0, 147.3, 299.1, 568.7, 1142.2", \ + " 56.2, 83.2, 150.4, 300.1, 568.5, 1142.1", \ + " 63.7, 90.0, 155.7, 303.2, 569.8, 1141.9", \ + " 75.4, 100.4, 164.0, 308.6, 572.8, 1142.6", \ + " 94.2, 120.8, 181.0, 320.2, 579.9, 1146.0", \ + " 115.8, 147.7, 207.9, 340.7, 593.3, 1153.7", \ + " 149.9, 182.7, 250.5, 376.5, 619.6, 1169.9", \ + " 200.1, 234.0, 303.6, 434.2, 666.6, 1202.1", \ + " 274.4, 309.6, 381.4, 519.3, 745.9, 1264.0", \ + " 386.8, 421.1, 496.7, 638.6, 874.2, 1374.4" ); }} +timing() { /* ring osc delay mxi2v2x05, path s to z 87.7 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 51.6 ; */ +/* intrinsic_fall : 84.2 ; */ +/* rise_resistance : 6.05 ; */ +/* fall_resistance : 4.39 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.8, 46.2, 68.2, 122.5, 222.7, 438.4", \ + " 46.0, 53.8, 76.0, 130.0, 229.8, 445.1", \ + " 51.1, 58.9, 81.1, 135.0, 234.5, 449.5", \ + " 58.2, 65.3, 87.1, 140.7, 239.9, 454.6", \ + " 67.1, 75.7, 97.2, 149.5, 248.0, 462.1", \ + " 73.3, 83.4, 109.0, 161.4, 258.5, 471.4", \ + " 76.2, 87.4, 116.3, 177.7, 273.8, 484.2", \ + " 74.5, 86.6, 117.4, 186.5, 296.3, 503.1", \ + " 65.6, 78.7, 111.1, 183.9, 310.4, 533.1", \ + " 44.9, 59.2, 93.2, 168.3, 302.9, 567.2" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 114.3, 143.5, 227.6, 423.7, 782.6, 1549.3", \ + " 111.9, 141.1, 223.7, 421.1, 781.6, 1549.2", \ + " 111.4, 140.7, 223.0, 419.2, 780.3, 1549.0", \ + " 111.9, 141.4, 223.4, 418.7, 778.1, 1548.7", \ + " 112.5, 144.4, 227.5, 421.6, 778.1, 1546.5", \ + " 114.0, 145.1, 236.3, 430.8, 783.7, 1545.8", \ + " 119.7, 152.0, 242.0, 449.4, 799.2, 1554.0", \ + " 127.6, 160.4, 251.3, 467.0, 829.7, 1576.6", \ + " 139.1, 171.9, 263.3, 477.8, 869.5, 1623.5", \ + " 156.3, 188.8, 279.5, 494.3, 887.7, 1702.7" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 70.2, 87.0, 125.3, 197.7, 359.8", \ + " 70.8, 77.5, 94.6, 133.0, 205.4, 367.5", \ + " 77.4, 84.1, 101.3, 139.7, 211.9, 373.7", \ + " 86.7, 93.5, 110.7, 149.0, 220.9, 382.3", \ + " 102.8, 110.0, 127.4, 165.4, 236.6, 397.2", \ + " 125.0, 132.4, 149.9, 187.8, 258.1, 417.2", \ + " 151.8, 160.4, 180.1, 218.8, 288.1, 445.2", \ + " 185.3, 194.8, 216.4, 258.3, 329.2, 484.1", \ + " 230.0, 240.5, 264.0, 308.2, 382.9, 540.3", \ + " 291.6, 303.3, 328.9, 375.9, 452.6, 617.5" ); } +fall_transition(x05_65_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 125.1, 143.0, 185.4, 283.0, 487.4, 953.3", \ + " 117.5, 134.0, 178.9, 281.2, 487.1, 953.3", \ + " 115.2, 131.3, 175.0, 280.5, 487.0, 953.3", \ + " 114.5, 130.3, 172.9, 279.7, 487.1, 953.3", \ + " 116.1, 131.9, 173.6, 278.3, 487.4, 953.4", \ + " 118.3, 135.8, 178.5, 282.1, 488.1, 953.6", \ + " 121.9, 140.1, 185.8, 291.8, 494.3, 953.8", \ + " 127.6, 147.3, 195.1, 303.9, 507.8, 960.5", \ + " 137.3, 158.3, 208.4, 318.0, 524.7, 978.1", \ + " 152.7, 174.8, 227.1, 338.2, 543.4, 1004.4" ); }} +} +} +cell(mxi2v2x1) { /* 2008-01-06:07h36 characteristic delay 14.6 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 936 ; /* mxi2v2x1 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v2x1 FO4 effort 1.54 logical effort 1.46 */ +direction : input ; +capacitance : 4.05 ; +rise_capacitance : 3.93 ; +fall_capacitance : 4.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v2x1 */ +} +pin(a1) { /* mxi2v2x1 FO4 effort 1.53 logical effort 1.44 */ +direction : input ; +capacitance : 4.00 ; +rise_capacitance : 3.89 ; +fall_capacitance : 4.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v2x1 */ +} +pin(s) { /* mxi2v2x1 FO4 effort 1.73 logical effort 2.22 */ +direction : input ; +capacitance : 5.29 ; +rise_capacitance : 5.16 ; +fall_capacitance : 5.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v2x1 */ +internal_power(s) { /* mxi2v2x1 7.56 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.80, 3.69, 3.71, 3.78, 3.98, 4.34, 4.99, 6.04, 7.75, 10.49" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 85 ; +max_fanout : 4 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v2x1 15.04 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_130_5x10) { +values( " 7.40, 7.42, 7.43, 7.43, 7.42", \ + " 7.29, 7.32, 7.37, 7.39, 7.40", \ + " 7.34, 7.37, 7.41, 7.45, 7.46", \ + " 7.47, 7.49, 7.52, 7.55, 7.57", \ + " 7.82, 7.80, 7.79, 7.80, 7.81", \ + " 8.47, 8.39, 8.30, 8.23, 8.21", \ + " 9.62, 9.45, 9.21, 9.00, 8.88", \ + " 11.52, 11.24, 10.78, 10.31, 10.00", \ + " 14.65, 14.21, 13.44, 12.57, 11.92", \ + " 19.71, 19.09, 17.90, 16.43, 15.22" ); }} +internal_power(a1_z_n) { /* mxi2v2x1 15.07 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 7.41, 7.42, 7.43, 7.43, 7.42", \ + " 7.31, 7.34, 7.38, 7.40, 7.40", \ + " 7.36, 7.38, 7.42, 7.46, 7.47", \ + " 7.50, 7.51, 7.54, 7.57, 7.58", \ + " 7.85, 7.83, 7.81, 7.82, 7.82", \ + " 8.50, 8.42, 8.32, 8.25, 8.22", \ + " 9.64, 9.48, 9.23, 9.02, 8.90", \ + " 11.54, 11.26, 10.80, 10.33, 10.02", \ + " 14.67, 14.23, 13.46, 12.59, 11.94", \ + " 19.73, 19.11, 17.92, 16.44, 15.24" ); }} +internal_power(s_z_n) { /* mxi2v2x1 11.69 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 1.87, 2.01, 2.15, 2.23, 2.26", \ + " 1.77, 1.89, 2.07, 2.21, 2.27", \ + " 1.82, 1.90, 2.04, 2.18, 2.26", \ + " 1.96, 1.98, 2.06, 2.18, 2.25", \ + " 2.31, 2.23, 2.19, 2.22, 2.26", \ + " 2.93, 2.73, 2.52, 2.40, 2.35", \ + " 3.99, 3.65, 3.19, 2.82, 2.61", \ + " 5.70, 5.18, 4.41, 3.68, 3.18", \ + " 8.38, 7.68, 6.53, 5.30, 4.35", \ + " 12.56, 11.67, 10.09, 8.22, 6.61" ); }} +internal_power(s_z_p) { /* mxi2v2x1 16.64 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 4.64, 4.52, 4.27, 3.97, 3.74", \ + " 4.68, 4.56, 4.33, 4.04, 3.82", \ + " 4.79, 4.65, 4.41, 4.11, 3.87", \ + " 4.98, 4.81, 4.54, 4.21, 3.95", \ + " 5.34, 5.13, 4.80, 4.41, 4.09", \ + " 5.90, 5.64, 5.22, 4.73, 4.31", \ + " 6.81, 6.47, 5.92, 5.27, 4.71", \ + " 8.21, 7.77, 7.05, 6.18, 5.39", \ + " 10.41, 9.83, 8.87, 7.69, 6.59", \ + " 13.95, 13.17, 11.81, 10.21, 8.66" ); }} +timing() { /* ring osc delay mxi2v2x1, path a0 to z 69.7 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 55.1 ; */ +/* rise_resistance : 3.48 ; */ +/* fall_resistance : 2.73 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 50.8, 79.2, 142.1, 253.5, 490.2", \ + " 47.8, 58.7, 87.0, 150.0, 261.4, 498.1", \ + " 54.2, 65.0, 93.2, 156.0, 267.4, 504.1", \ + " 62.3, 73.5, 101.5, 164.1, 275.5, 512.2", \ + " 73.6, 86.6, 116.2, 178.5, 289.7, 526.3", \ + " 87.0, 101.8, 135.5, 199.1, 310.1, 546.5", \ + " 104.1, 120.8, 159.2, 229.6, 340.6, 576.9", \ + " 125.7, 144.7, 188.2, 268.2, 385.1, 621.5", \ + " 153.9, 175.7, 225.3, 316.3, 448.0, 688.0", \ + " 191.4, 216.6, 273.9, 377.7, 527.7, 787.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.2, 132.6, 227.7, 446.5, 838.8, 1674.2", \ + " 102.5, 135.5, 228.7, 446.7, 838.8, 1674.2", \ + " 108.4, 140.5, 231.7, 447.3, 838.9, 1674.2", \ + " 117.4, 148.5, 237.6, 449.9, 839.1, 1674.2", \ + " 134.3, 164.1, 250.3, 458.0, 841.6, 1674.2", \ + " 159.7, 187.7, 270.9, 473.6, 850.7, 1675.1", \ + " 191.8, 223.2, 303.8, 501.0, 870.7, 1683.3", \ + " 234.0, 267.7, 354.0, 544.9, 906.7, 1706.0", \ + " 292.9, 329.0, 421.3, 614.5, 967.6, 1752.1", \ + " 377.0, 416.0, 514.6, 721.0, 1066.9, 1835.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 47.0, 69.3, 118.0, 203.9, 386.4", \ + " 45.1, 53.9, 76.3, 125.1, 211.1, 393.6", \ + " 50.0, 59.0, 81.6, 130.5, 216.5, 399.0", \ + " 55.3, 65.2, 88.3, 137.6, 223.8, 406.3", \ + " 61.7, 73.2, 99.1, 149.4, 236.2, 419.0", \ + " 67.7, 81.1, 110.8, 165.3, 253.2, 436.9", \ + " 73.1, 88.8, 123.5, 185.5, 277.5, 462.9", \ + " 76.8, 95.3, 136.1, 207.9, 310.2, 499.7", \ + " 77.5, 99.3, 147.5, 231.7, 349.0, 551.7", \ + " 72.6, 98.2, 155.5, 255.3, 392.1, 621.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 87.4, 150.8, 294.7, 553.0, 1103.8", \ + " 67.6, 90.5, 152.3, 295.2, 553.1, 1103.8", \ + " 73.3, 95.5, 155.8, 296.2, 553.3, 1103.9", \ + " 82.0, 103.3, 162.1, 299.7, 553.8, 1103.9", \ + " 98.6, 118.4, 175.0, 309.2, 558.0, 1104.0", \ + " 119.4, 140.8, 195.5, 326.1, 569.4, 1106.7", \ + " 148.4, 171.0, 228.6, 354.7, 592.4, 1118.9", \ + " 188.5, 212.5, 273.3, 400.9, 631.7, 1147.0", \ + " 245.1, 271.4, 336.2, 471.3, 697.3, 1199.9", \ + " 325.6, 355.3, 426.3, 569.6, 804.5, 1292.0" ); }} +timing() { /* ring osc delay mxi2v2x1, path a0 to z 69.7 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 55.1 ; */ +/* rise_resistance : 3.48 ; */ +/* fall_resistance : 2.73 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 50.8, 79.2, 142.1, 253.5, 490.2", \ + " 47.8, 58.6, 87.0, 149.9, 261.4, 498.1", \ + " 54.2, 64.9, 93.1, 156.0, 267.4, 504.1", \ + " 62.3, 73.4, 101.5, 164.1, 275.5, 512.1", \ + " 73.6, 86.6, 116.1, 178.5, 289.7, 526.3", \ + " 87.0, 101.8, 135.5, 199.1, 310.0, 546.5", \ + " 104.1, 120.8, 159.2, 229.5, 340.6, 576.9", \ + " 125.7, 144.7, 188.2, 268.2, 385.1, 621.4", \ + " 153.9, 175.7, 225.3, 316.3, 448.0, 688.0", \ + " 191.4, 216.6, 273.9, 377.7, 527.7, 787.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.2, 132.6, 227.6, 446.5, 838.7, 1674.1", \ + " 102.5, 135.5, 228.6, 446.7, 838.8, 1674.1", \ + " 108.4, 140.5, 231.7, 447.3, 838.8, 1674.1", \ + " 117.4, 148.5, 237.6, 449.9, 839.1, 1674.2", \ + " 134.4, 164.1, 250.3, 458.0, 841.6, 1674.2", \ + " 159.7, 187.8, 270.9, 473.6, 850.7, 1675.0", \ + " 191.8, 223.2, 303.8, 501.0, 870.7, 1683.3", \ + " 234.0, 267.7, 354.0, 544.9, 906.7, 1705.9", \ + " 292.9, 329.0, 421.3, 614.5, 967.6, 1752.1", \ + " 377.0, 416.1, 514.6, 721.0, 1066.9, 1835.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 47.0, 69.3, 118.0, 204.0, 386.4", \ + " 45.1, 53.9, 76.3, 125.1, 211.1, 393.6", \ + " 50.0, 59.0, 81.6, 130.5, 216.6, 399.0", \ + " 55.3, 65.3, 88.3, 137.6, 223.8, 406.4", \ + " 61.7, 73.2, 99.1, 149.5, 236.2, 419.0", \ + " 67.7, 81.1, 110.8, 165.3, 253.2, 436.9", \ + " 73.1, 88.8, 123.5, 185.6, 277.5, 462.9", \ + " 76.8, 95.3, 136.1, 207.9, 310.3, 499.7", \ + " 77.5, 99.3, 147.5, 231.7, 349.0, 551.8", \ + " 72.6, 98.2, 155.5, 255.3, 392.1, 621.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 87.5, 150.9, 294.8, 553.1, 1103.9", \ + " 67.6, 90.5, 152.4, 295.3, 553.2, 1103.9", \ + " 73.4, 95.5, 155.8, 296.3, 553.3, 1103.9", \ + " 82.1, 103.4, 162.1, 299.7, 553.9, 1103.9", \ + " 98.6, 118.4, 175.0, 309.2, 558.1, 1104.1", \ + " 119.4, 140.8, 195.5, 326.1, 569.5, 1106.7", \ + " 148.4, 171.0, 228.6, 354.7, 592.4, 1119.0", \ + " 188.5, 212.5, 273.3, 401.0, 631.8, 1147.0", \ + " 245.1, 271.4, 336.2, 471.3, 697.3, 1200.0", \ + " 325.6, 355.3, 426.3, 569.7, 804.6, 1292.0" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 55.1 ; */ +/* rise_resistance : 3.48 ; */ +/* fall_resistance : 2.73 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 50.8, 79.2, 142.1, 253.5, 490.2", \ + " 47.8, 58.7, 87.0, 150.0, 261.4, 498.1", \ + " 54.2, 65.0, 93.2, 156.0, 267.4, 504.1", \ + " 62.3, 73.5, 101.5, 164.1, 275.5, 512.2", \ + " 73.6, 86.6, 116.2, 178.5, 289.7, 526.3", \ + " 87.0, 101.8, 135.5, 199.1, 310.1, 546.5", \ + " 104.1, 120.8, 159.2, 229.6, 340.6, 576.9", \ + " 125.7, 144.7, 188.2, 268.2, 385.1, 621.5", \ + " 153.9, 175.7, 225.3, 316.3, 448.0, 688.0", \ + " 191.4, 216.6, 273.9, 377.7, 527.7, 787.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.2, 132.6, 227.7, 446.5, 838.8, 1674.2", \ + " 102.5, 135.5, 228.7, 446.7, 838.8, 1674.2", \ + " 108.4, 140.5, 231.7, 447.3, 838.9, 1674.2", \ + " 117.4, 148.5, 237.6, 449.9, 839.1, 1674.2", \ + " 134.3, 164.1, 250.3, 458.0, 841.6, 1674.2", \ + " 159.7, 187.7, 270.9, 473.6, 850.7, 1675.1", \ + " 191.8, 223.2, 303.8, 501.0, 870.7, 1683.3", \ + " 234.0, 267.7, 354.0, 544.9, 906.7, 1706.0", \ + " 292.9, 329.0, 421.3, 614.5, 967.6, 1752.1", \ + " 377.0, 416.0, 514.6, 721.0, 1066.9, 1835.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 47.0, 69.3, 118.0, 203.9, 386.4", \ + " 45.1, 53.9, 76.3, 125.1, 211.1, 393.6", \ + " 50.0, 59.0, 81.6, 130.5, 216.5, 399.0", \ + " 55.3, 65.2, 88.3, 137.6, 223.8, 406.3", \ + " 61.7, 73.2, 99.1, 149.4, 236.2, 419.0", \ + " 67.7, 81.1, 110.8, 165.3, 253.2, 436.9", \ + " 73.1, 88.8, 123.5, 185.5, 277.5, 462.9", \ + " 76.8, 95.3, 136.1, 207.9, 310.2, 499.7", \ + " 77.5, 99.3, 147.5, 231.7, 349.0, 551.7", \ + " 72.6, 98.2, 155.5, 255.3, 392.1, 621.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 87.4, 150.8, 294.7, 553.0, 1103.8", \ + " 67.6, 90.5, 152.3, 295.2, 553.1, 1103.8", \ + " 73.3, 95.5, 155.8, 296.2, 553.3, 1103.9", \ + " 82.0, 103.3, 162.1, 299.7, 553.8, 1103.9", \ + " 98.6, 118.4, 175.0, 309.2, 558.0, 1104.0", \ + " 119.4, 140.8, 195.5, 326.1, 569.4, 1106.7", \ + " 148.4, 171.0, 228.6, 354.7, 592.4, 1118.9", \ + " 188.5, 212.5, 273.3, 400.9, 631.7, 1147.0", \ + " 245.1, 271.4, 336.2, 471.3, 697.3, 1199.9", \ + " 325.6, 355.3, 426.3, 569.6, 804.5, 1292.0" ); }} +timing() { /* ring osc delay mxi2v2x1, path a1 to z 69.8 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.71 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 53.4, 82.2, 145.2, 256.7, 493.4", \ + " 49.9, 61.2, 90.0, 153.1, 264.6, 501.3", \ + " 56.0, 67.4, 96.1, 159.1, 270.6, 507.3", \ + " 63.8, 75.5, 104.3, 167.2, 278.6, 515.3", \ + " 74.9, 88.3, 118.6, 181.4, 292.8, 529.4", \ + " 88.1, 103.2, 137.5, 201.7, 313.0, 549.6", \ + " 105.0, 122.0, 160.8, 231.7, 343.4, 579.9", \ + " 126.5, 145.7, 189.6, 270.0, 387.4, 624.3", \ + " 154.6, 176.6, 226.5, 317.7, 449.8, 690.5", \ + " 192.0, 217.4, 274.8, 378.9, 529.2, 789.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.0, 137.2, 233.4, 452.9, 845.4, 1681.0", \ + " 106.1, 140.1, 234.4, 453.1, 845.4, 1681.0", \ + " 111.8, 144.9, 237.4, 453.8, 845.5, 1681.0", \ + " 120.4, 152.6, 243.1, 456.3, 845.8, 1681.0", \ + " 136.7, 167.5, 255.4, 464.3, 848.4, 1681.0", \ + " 161.3, 190.4, 275.4, 479.6, 857.4, 1681.9", \ + " 193.2, 225.2, 307.3, 506.4, 877.1, 1690.1", \ + " 235.2, 269.5, 356.7, 549.5, 912.7, 1712.6", \ + " 294.0, 330.5, 423.7, 618.1, 972.8, 1758.5", \ + " 378.0, 417.4, 516.6, 724.0, 1071.2, 1841.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 43.9, 65.8, 114.4, 200.3, 382.8", \ + " 42.5, 51.1, 73.0, 121.6, 207.6, 390.1", \ + " 47.8, 56.5, 78.5, 127.0, 213.1, 395.6", \ + " 53.4, 63.1, 85.6, 134.3, 220.4, 403.0", \ + " 60.2, 71.6, 96.9, 146.5, 233.0, 415.7", \ + " 66.5, 79.8, 109.3, 163.0, 250.4, 433.7", \ + " 72.1, 87.8, 122.4, 183.9, 275.1, 460.1", \ + " 76.1, 94.5, 135.3, 206.7, 308.4, 497.1", \ + " 77.1, 98.7, 146.9, 231.0, 347.8, 549.7", \ + " 72.5, 97.9, 155.0, 254.8, 391.3, 620.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.4, 83.7, 145.8, 289.3, 547.6, 1098.4", \ + " 65.6, 86.9, 147.2, 289.7, 547.6, 1098.4", \ + " 71.8, 92.2, 150.7, 290.7, 547.8, 1098.4", \ + " 81.0, 100.6, 157.4, 294.2, 548.3, 1098.4", \ + " 98.2, 116.7, 171.1, 304.1, 552.6, 1098.5", \ + " 119.6, 139.8, 192.7, 321.6, 564.3, 1101.2", \ + " 148.9, 170.5, 226.7, 351.1, 587.7, 1113.7", \ + " 189.1, 212.4, 272.1, 398.3, 627.7, 1142.1", \ + " 245.8, 271.5, 335.4, 469.4, 694.2, 1195.5", \ + " 326.2, 355.5, 425.8, 568.3, 802.2, 1288.3" ); }} +timing() { /* ring osc delay mxi2v2x1, path a1 to z 69.8 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.71 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 53.4, 82.2, 145.2, 256.7, 493.4", \ + " 49.9, 61.2, 90.0, 153.1, 264.6, 501.3", \ + " 56.0, 67.4, 96.1, 159.1, 270.6, 507.3", \ + " 63.8, 75.5, 104.3, 167.2, 278.6, 515.3", \ + " 74.9, 88.3, 118.6, 181.4, 292.8, 529.4", \ + " 88.1, 103.2, 137.5, 201.7, 313.0, 549.6", \ + " 105.0, 122.0, 160.8, 231.7, 343.4, 579.9", \ + " 126.5, 145.7, 189.6, 270.0, 387.4, 624.3", \ + " 154.6, 176.6, 226.5, 317.7, 449.8, 690.5", \ + " 192.0, 217.4, 274.8, 378.9, 529.2, 789.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.0, 137.2, 233.4, 452.9, 845.4, 1681.0", \ + " 106.1, 140.1, 234.4, 453.2, 845.4, 1681.0", \ + " 111.8, 144.9, 237.4, 453.8, 845.5, 1681.0", \ + " 120.4, 152.6, 243.1, 456.3, 845.8, 1681.0", \ + " 136.7, 167.6, 255.5, 464.3, 848.4, 1681.1", \ + " 161.3, 190.4, 275.4, 479.6, 857.4, 1682.0", \ + " 193.2, 225.2, 307.4, 506.4, 877.2, 1690.2", \ + " 235.2, 269.5, 356.7, 549.5, 912.7, 1712.7", \ + " 294.0, 330.5, 423.7, 618.1, 972.8, 1758.5", \ + " 378.0, 417.4, 516.7, 724.0, 1071.2, 1841.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 43.9, 65.8, 114.4, 200.3, 382.8", \ + " 42.5, 51.1, 73.0, 121.6, 207.6, 390.1", \ + " 47.8, 56.5, 78.5, 127.0, 213.1, 395.6", \ + " 53.4, 63.1, 85.6, 134.3, 220.4, 402.9", \ + " 60.2, 71.6, 96.9, 146.5, 233.0, 415.7", \ + " 66.5, 79.8, 109.3, 163.0, 250.4, 433.7", \ + " 72.1, 87.8, 122.4, 183.9, 275.1, 460.0", \ + " 76.1, 94.5, 135.3, 206.7, 308.4, 497.1", \ + " 77.1, 98.7, 146.9, 231.0, 347.8, 549.7", \ + " 72.5, 97.9, 155.0, 254.8, 391.3, 620.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 83.7, 145.8, 289.3, 547.6, 1098.4", \ + " 65.6, 86.9, 147.2, 289.7, 547.6, 1098.4", \ + " 71.8, 92.2, 150.7, 290.7, 547.8, 1098.4", \ + " 81.0, 100.6, 157.4, 294.2, 548.3, 1098.4", \ + " 98.2, 116.7, 171.1, 304.1, 552.6, 1098.5", \ + " 119.6, 139.8, 192.7, 321.6, 564.3, 1101.2", \ + " 148.9, 170.5, 226.7, 351.1, 587.7, 1113.7", \ + " 189.1, 212.4, 272.1, 398.3, 627.7, 1142.1", \ + " 245.8, 271.5, 335.4, 469.4, 694.2, 1195.5", \ + " 326.2, 355.5, 425.8, 568.3, 802.2, 1288.3" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 52.8 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.71 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 53.4, 82.2, 145.2, 256.7, 493.4", \ + " 49.9, 61.2, 90.0, 153.1, 264.6, 501.3", \ + " 56.0, 67.4, 96.1, 159.1, 270.6, 507.3", \ + " 63.8, 75.5, 104.3, 167.2, 278.6, 515.3", \ + " 74.9, 88.3, 118.6, 181.4, 292.8, 529.4", \ + " 88.1, 103.2, 137.5, 201.7, 313.0, 549.6", \ + " 105.0, 122.0, 160.8, 231.7, 343.4, 579.9", \ + " 126.5, 145.7, 189.6, 270.0, 387.4, 624.3", \ + " 154.6, 176.6, 226.5, 317.7, 449.8, 690.5", \ + " 192.0, 217.4, 274.8, 378.9, 529.2, 789.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.0, 137.2, 233.4, 452.9, 845.4, 1681.0", \ + " 106.1, 140.1, 234.4, 453.1, 845.4, 1681.0", \ + " 111.8, 144.9, 237.4, 453.8, 845.5, 1681.0", \ + " 120.4, 152.6, 243.1, 456.3, 845.8, 1681.0", \ + " 136.7, 167.5, 255.4, 464.3, 848.4, 1681.0", \ + " 161.3, 190.4, 275.4, 479.6, 857.4, 1681.9", \ + " 193.2, 225.2, 307.3, 506.4, 877.1, 1690.1", \ + " 235.2, 269.5, 356.7, 549.5, 912.7, 1712.6", \ + " 294.0, 330.5, 423.7, 618.1, 972.8, 1758.5", \ + " 378.0, 417.4, 516.6, 724.0, 1071.2, 1841.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 43.9, 65.8, 114.4, 200.3, 382.8", \ + " 42.5, 51.1, 73.0, 121.6, 207.6, 390.1", \ + " 47.8, 56.5, 78.5, 127.0, 213.1, 395.6", \ + " 53.4, 63.1, 85.6, 134.3, 220.4, 403.0", \ + " 60.2, 71.6, 96.9, 146.5, 233.0, 415.7", \ + " 66.5, 79.8, 109.3, 163.0, 250.4, 433.7", \ + " 72.1, 87.8, 122.4, 183.9, 275.1, 460.1", \ + " 76.1, 94.5, 135.3, 206.7, 308.4, 497.1", \ + " 77.1, 98.7, 146.9, 231.0, 347.8, 549.7", \ + " 72.5, 97.9, 155.0, 254.8, 391.3, 620.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.4, 83.7, 145.8, 289.3, 547.6, 1098.4", \ + " 65.6, 86.9, 147.2, 289.7, 547.6, 1098.4", \ + " 71.8, 92.2, 150.7, 290.7, 547.8, 1098.4", \ + " 81.0, 100.6, 157.4, 294.2, 548.3, 1098.4", \ + " 98.2, 116.7, 171.1, 304.1, 552.6, 1098.5", \ + " 119.6, 139.8, 192.7, 321.6, 564.3, 1101.2", \ + " 148.9, 170.5, 226.7, 351.1, 587.7, 1113.7", \ + " 189.1, 212.4, 272.1, 398.3, 627.7, 1142.1", \ + " 245.8, 271.5, 335.4, 469.4, 694.2, 1195.5", \ + " 326.2, 355.5, 425.8, 568.3, 802.2, 1288.3" ); }} +timing() { /* ring osc delay mxi2v2x1, path s to z 55.8 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.8 ; */ +/* intrinsic_fall : 36.6 ; */ +/* rise_resistance : 3.56 ; */ +/* fall_resistance : 2.74 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.3, 45.5, 77.3, 140.8, 252.6, 489.7", \ + " 37.8, 51.5, 83.7, 147.5, 259.5, 496.6", \ + " 43.7, 57.0, 89.3, 153.0, 265.0, 502.2", \ + " 49.6, 65.1, 97.1, 160.6, 272.5, 509.6", \ + " 58.6, 76.3, 111.1, 173.8, 285.2, 522.1", \ + " 70.0, 89.9, 129.8, 192.0, 302.0, 538.1", \ + " 85.4, 107.9, 153.0, 218.7, 325.7, 559.5", \ + " 105.7, 131.5, 182.8, 252.6, 360.2, 588.4", \ + " 133.1, 163.2, 222.7, 297.4, 410.3, 632.5", \ + " 170.9, 206.4, 277.2, 359.2, 476.8, 706.0" ); } +rise_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 83.4, 135.7, 255.9, 508.0, 956.3, 1914.3", \ + " 88.3, 138.7, 257.2, 508.1, 956.4, 1914.2", \ + " 95.1, 144.4, 261.7, 509.8, 956.5, 1914.2", \ + " 105.6, 153.6, 269.3, 514.6, 957.8, 1914.1", \ + " 126.2, 172.0, 284.9, 525.4, 963.4, 1914.7", \ + " 159.1, 200.6, 309.9, 543.4, 974.1, 1918.6", \ + " 188.0, 248.8, 350.2, 574.1, 993.3, 1926.4", \ + " 235.5, 297.3, 413.2, 625.3, 1028.4, 1941.1", \ + " 309.3, 371.8, 504.3, 710.8, 1093.9, 1973.6", \ + " 421.8, 486.1, 625.8, 850.8, 1212.0, 2048.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 30.3, 52.7, 102.2, 188.3, 370.8", \ + " 28.3, 36.8, 59.3, 109.0, 195.3, 377.8", \ + " 31.3, 41.6, 64.3, 113.9, 200.2, 382.7", \ + " 33.7, 45.9, 70.8, 119.9, 206.0, 388.4", \ + " 36.1, 50.7, 80.3, 129.6, 214.8, 396.7", \ + " 37.3, 54.6, 89.0, 143.3, 226.3, 406.6", \ + " 36.7, 57.3, 97.2, 158.4, 244.2, 420.7", \ + " 33.0, 57.6, 104.0, 172.3, 268.7, 442.8", \ + " 24.3, 53.6, 108.0, 184.5, 292.4, 480.5", \ + " 7.2, 42.2, 106.6, 193.0, 313.5, 525.4" ); } +fall_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 45.5, 77.6, 154.6, 320.2, 613.6, 1238.5", \ + " 51.8, 82.5, 157.4, 320.8, 613.5, 1238.5", \ + " 59.2, 89.2, 162.6, 323.9, 614.4, 1238.3", \ + " 71.4, 99.5, 171.0, 329.4, 617.3, 1238.6", \ + " 88.0, 119.9, 188.2, 341.2, 624.3, 1241.5", \ + " 109.0, 146.6, 215.2, 361.7, 637.3, 1248.3", \ + " 142.4, 181.2, 259.2, 397.7, 662.6, 1262.9", \ + " 191.4, 232.2, 313.8, 455.7, 708.7, 1292.3", \ + " 264.9, 307.7, 393.3, 544.6, 788.1, 1350.0", \ + " 378.2, 419.1, 511.0, 667.9, 919.3, 1456.2" ); }} +timing() { /* ring osc delay mxi2v2x1, path s to z 84.8 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 56.7 ; */ +/* intrinsic_fall : 80.7 ; */ +/* rise_resistance : 3.26 ; */ +/* fall_resistance : 2.42 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.4, 51.3, 74.5, 132.8, 241.7, 476.9", \ + " 50.6, 58.9, 82.4, 140.4, 248.8, 483.5", \ + " 56.1, 64.5, 88.0, 145.9, 253.9, 488.1", \ + " 63.5, 71.6, 95.0, 152.5, 260.1, 493.9", \ + " 75.3, 83.9, 106.1, 162.7, 269.5, 502.6", \ + " 85.2, 95.4, 121.0, 176.1, 281.5, 513.4", \ + " 92.8, 104.5, 133.9, 195.9, 298.8, 528.2", \ + " 97.3, 110.1, 142.1, 212.9, 324.5, 549.5", \ + " 97.2, 111.3, 145.2, 221.0, 350.9, 582.9", \ + " 89.5, 104.8, 140.8, 219.5, 360.4, 630.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.9, 152.7, 243.1, 456.3, 846.0, 1681.0", \ + " 120.6, 151.2, 239.8, 453.8, 845.1, 1681.0", \ + " 121.0, 151.6, 239.6, 451.7, 844.0, 1680.9", \ + " 122.5, 153.2, 240.6, 450.9, 841.8, 1680.7", \ + " 125.3, 157.2, 244.4, 453.0, 840.6, 1679.4", \ + " 126.3, 160.5, 252.9, 460.6, 844.6, 1677.3", \ + " 132.0, 165.7, 261.9, 477.8, 857.7, 1682.9", \ + " 140.1, 174.7, 270.8, 500.4, 885.2, 1701.7", \ + " 152.2, 187.2, 284.5, 513.8, 929.2, 1742.9", \ + " 170.2, 205.3, 302.7, 533.3, 958.9, 1818.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.8, 67.9, 86.1, 128.2, 208.3, 386.5", \ + " 68.2, 75.4, 93.9, 136.2, 216.3, 394.3", \ + " 74.7, 82.0, 100.6, 142.8, 222.7, 400.5", \ + " 83.8, 91.2, 109.8, 151.9, 231.4, 408.8", \ + " 99.2, 107.0, 125.9, 167.6, 246.5, 423.1", \ + " 120.1, 128.4, 147.4, 188.9, 266.7, 442.0", \ + " 144.5, 154.0, 175.4, 218.0, 294.8, 468.0", \ + " 174.1, 184.7, 208.3, 254.2, 332.8, 504.0", \ + " 212.9, 224.6, 250.2, 298.7, 381.7, 555.8", \ + " 265.1, 278.1, 306.2, 357.5, 442.7, 626.0" ); } +fall_transition(x1_130_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 120.1, 139.4, 184.5, 293.8, 522.3, 1033.7", \ + " 112.3, 130.2, 179.4, 292.7, 522.2, 1033.7", \ + " 109.6, 127.1, 175.1, 292.2, 522.1, 1033.7", \ + " 108.4, 125.7, 172.6, 291.7, 522.2, 1033.7", \ + " 109.3, 126.6, 172.9, 290.6, 522.5, 1033.7", \ + " 110.6, 130.0, 177.9, 294.4, 522.8, 1033.8", \ + " 114.8, 134.9, 185.4, 304.1, 528.5, 1033.7", \ + " 121.6, 143.1, 195.6, 316.1, 541.8, 1039.8", \ + " 132.7, 155.3, 209.6, 330.2, 558.8, 1057.5", \ + " 149.5, 173.2, 229.4, 350.6, 576.9, 1085.1" ); }} +} +} +cell(mxi2v2x2) { /* 2008-01-06:07h36 characteristic delay 13.6 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1686 ; /* mxi2v2x2 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v2x2 FO4 effort 1.52 logical effort 1.38 */ +direction : input ; +capacitance : 6.91 ; +rise_capacitance : 6.70 ; +fall_capacitance : 7.11 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v2x2 */ +} +pin(a1) { /* mxi2v2x2 FO4 effort 1.54 logical effort 1.39 */ +direction : input ; +capacitance : 6.99 ; +rise_capacitance : 6.81 ; +fall_capacitance : 7.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v2x2 */ +} +pin(s) { /* mxi2v2x2 FO4 effort 1.67 logical effort 2.00 */ +direction : input ; +capacitance : 8.48 ; +rise_capacitance : 8.25 ; +fall_capacitance : 8.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v2x2 */ +internal_power(s) { /* mxi2v2x2 12.21 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.12, 5.98, 6.00, 6.11, 6.40, 6.95, 7.91, 9.49, 12.06, 16.20" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 154 ; +max_fanout : 6 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v2x2 29.12 nW/MHz */ +related_pin : "a0" ; +power(pwr_x2_195_5x10) { +values( " 14.32, 14.33, 14.35, 14.35, 14.34", \ + " 14.16, 14.20, 14.26, 14.30, 14.31", \ + " 14.26, 14.29, 14.35, 14.40, 14.43", \ + " 14.51, 14.52, 14.56, 14.61, 14.64", \ + " 15.15, 15.11, 15.08, 15.08, 15.08", \ + " 16.31, 16.20, 16.03, 15.90, 15.83", \ + " 18.37, 18.14, 17.74, 17.36, 17.10", \ + " 21.80, 21.39, 20.66, 19.84, 19.24", \ + " 27.44, 26.80, 25.58, 24.09, 22.88", \ + " 36.58, 35.67, 33.83, 31.34, 29.13" ); }} +internal_power(a1_z_n) { /* mxi2v2x2 29.72 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_195_5x10) { +values( " 14.62, 14.64, 14.66, 14.67, 14.66", \ + " 14.46, 14.50, 14.56, 14.61, 14.63", \ + " 14.55, 14.59, 14.65, 14.71, 14.74", \ + " 14.80, 14.81, 14.86, 14.92, 14.95", \ + " 15.42, 15.39, 15.37, 15.38, 15.39", \ + " 16.57, 16.46, 16.31, 16.19, 16.13", \ + " 18.61, 18.38, 18.00, 17.63, 17.39", \ + " 22.02, 21.61, 20.90, 20.10, 19.51", \ + " 27.64, 27.00, 25.80, 24.33, 23.14", \ + " 36.75, 35.85, 34.02, 31.56, 29.38" ); }} +internal_power(s_z_n) { /* mxi2v2x2 22.25 nW/MHz */ +related_pin : "s" ; +power(pwr_x2_195_5x10) { +values( " 4.78, 4.96, 5.18, 5.32, 5.37", \ + " 4.58, 4.75, 5.01, 5.25, 5.38", \ + " 4.65, 4.76, 4.97, 5.21, 5.36", \ + " 4.86, 4.89, 5.02, 5.20, 5.34", \ + " 5.43, 5.34, 5.27, 5.31, 5.38", \ + " 6.48, 6.22, 5.89, 5.66, 5.57", \ + " 8.33, 7.86, 7.15, 6.50, 6.09", \ + " 11.33, 10.61, 9.42, 8.17, 7.24", \ + " 16.11, 15.12, 13.34, 11.26, 9.55", \ + " 23.61, 22.35, 19.89, 16.77, 13.93" ); }} +internal_power(s_z_p) { /* mxi2v2x2 31.67 nW/MHz */ +related_pin : "s" ; +power(pwr_x2_195_5x10) { +values( " 9.81, 9.62, 9.20, 8.65, 8.22", \ + " 9.89, 9.69, 9.31, 8.80, 8.36", \ + " 10.09, 9.88, 9.47, 8.94, 8.48", \ + " 10.42, 10.18, 9.73, 9.15, 8.64", \ + " 11.07, 10.78, 10.24, 9.55, 8.94", \ + " 12.09, 11.71, 11.04, 10.18, 9.40", \ + " 13.71, 13.23, 12.36, 11.24, 10.21", \ + " 16.22, 15.60, 14.47, 12.99, 11.57", \ + " 20.15, 19.33, 17.84, 15.88, 13.92", \ + " 26.46, 25.35, 23.27, 20.61, 17.92" ); }} +timing() { /* ring osc delay mxi2v2x2, path a0 to z 70.6 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.5 ; */ +/* intrinsic_fall : 56.8 ; */ +/* rise_resistance : 1.91 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 50.2, 73.6, 125.5, 217.4, 412.7", \ + " 49.3, 58.1, 81.5, 133.4, 225.3, 420.6", \ + " 55.7, 64.4, 87.6, 139.5, 231.4, 426.6", \ + " 63.9, 73.0, 96.0, 147.6, 239.5, 434.7", \ + " 75.6, 86.1, 110.7, 162.1, 253.7, 448.9", \ + " 89.4, 101.4, 129.5, 182.8, 274.1, 469.1", \ + " 106.8, 120.4, 152.5, 212.5, 304.8, 499.5", \ + " 128.9, 144.3, 180.7, 248.9, 349.0, 544.1", \ + " 157.7, 175.4, 216.9, 294.5, 408.2, 610.5", \ + " 195.9, 216.4, 264.3, 353.0, 482.6, 707.7" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.7, 134.2, 212.5, 392.7, 716.0, 1405.0", \ + " 109.8, 137.2, 213.8, 392.9, 716.0, 1405.0", \ + " 115.5, 142.2, 217.1, 393.8, 716.1, 1405.0", \ + " 124.2, 150.1, 223.3, 397.1, 716.6, 1405.0", \ + " 140.8, 165.6, 236.4, 406.3, 720.6, 1405.1", \ + " 165.6, 189.1, 257.4, 422.9, 731.6, 1407.6", \ + " 198.5, 224.3, 290.7, 451.5, 753.7, 1419.2", \ + " 241.2, 268.9, 340.5, 496.6, 792.0, 1445.8", \ + " 300.6, 330.2, 406.7, 567.7, 855.2, 1496.4", \ + " 385.3, 417.4, 499.0, 671.6, 957.2, 1584.5" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.7, 46.9, 65.4, 105.8, 176.7, 327.2", \ + " 46.6, 53.9, 72.5, 112.9, 183.9, 334.4", \ + " 51.6, 58.9, 77.7, 118.3, 189.3, 339.9", \ + " 57.0, 65.1, 84.3, 125.3, 196.5, 347.2", \ + " 63.7, 73.1, 94.7, 136.9, 208.7, 359.8", \ + " 70.1, 80.9, 105.8, 152.2, 225.5, 377.4", \ + " 76.0, 88.7, 117.7, 170.8, 249.1, 403.1", \ + " 80.3, 95.3, 129.4, 191.0, 279.5, 439.0", \ + " 81.7, 99.3, 139.7, 212.2, 314.1, 489.4", \ + " 77.6, 98.4, 146.3, 232.3, 351.8, 552.1" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.7, 89.5, 141.9, 260.5, 473.3, 927.4", \ + " 73.5, 92.6, 143.7, 261.1, 473.4, 927.5", \ + " 79.0, 97.5, 147.3, 262.5, 473.7, 927.5", \ + " 87.2, 105.1, 153.8, 266.6, 474.7, 927.5", \ + " 103.3, 119.9, 166.7, 276.9, 480.4, 928.0", \ + " 124.1, 141.9, 187.3, 294.4, 493.4, 932.9", \ + " 153.1, 171.9, 219.9, 323.7, 517.9, 948.3", \ + " 193.4, 213.2, 263.9, 371.0, 559.0, 979.8", \ + " 250.3, 272.0, 326.0, 439.2, 626.7, 1036.3", \ + " 331.4, 355.8, 415.1, 535.5, 733.2, 1132.6" ); }} +timing() { /* ring osc delay mxi2v2x2, path a0 to z 70.6 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.5 ; */ +/* intrinsic_fall : 56.8 ; */ +/* rise_resistance : 1.91 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 50.1, 73.5, 125.5, 217.4, 412.7", \ + " 49.2, 58.1, 81.4, 133.4, 225.3, 420.6", \ + " 55.6, 64.4, 87.6, 139.4, 231.3, 426.6", \ + " 63.9, 72.9, 96.0, 147.6, 239.4, 434.7", \ + " 75.6, 86.1, 110.7, 162.0, 253.7, 448.8", \ + " 89.3, 101.3, 129.4, 182.7, 274.1, 469.1", \ + " 106.8, 120.4, 152.4, 212.4, 304.7, 499.5", \ + " 128.9, 144.3, 180.7, 248.9, 349.0, 544.1", \ + " 157.7, 175.4, 216.9, 294.5, 408.2, 610.4", \ + " 195.9, 216.4, 264.3, 353.0, 482.5, 707.7" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.7, 134.2, 212.5, 392.6, 715.9, 1404.9", \ + " 109.8, 137.2, 213.7, 392.9, 716.0, 1404.9", \ + " 115.5, 142.2, 217.1, 393.8, 716.1, 1404.9", \ + " 124.2, 150.1, 223.2, 397.1, 716.6, 1405.0", \ + " 140.8, 165.6, 236.4, 406.2, 720.6, 1405.1", \ + " 165.7, 189.1, 257.3, 422.9, 731.5, 1407.6", \ + " 198.5, 224.4, 290.6, 451.5, 753.7, 1419.2", \ + " 241.2, 268.9, 340.5, 496.6, 791.9, 1445.8", \ + " 300.6, 330.2, 406.7, 567.7, 855.2, 1496.4", \ + " 385.3, 417.4, 499.0, 671.6, 957.2, 1584.4" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.7, 47.0, 65.5, 105.8, 176.8, 327.3", \ + " 46.6, 53.9, 72.5, 112.9, 183.9, 334.4", \ + " 51.6, 59.0, 77.7, 118.3, 189.3, 339.9", \ + " 57.0, 65.1, 84.3, 125.3, 196.5, 347.2", \ + " 63.7, 73.1, 94.7, 136.9, 208.8, 359.8", \ + " 70.1, 81.0, 105.8, 152.2, 225.5, 377.5", \ + " 76.0, 88.7, 117.7, 170.8, 249.1, 403.1", \ + " 80.3, 95.3, 129.4, 191.0, 279.5, 439.0", \ + " 81.7, 99.3, 139.7, 212.2, 314.1, 489.5", \ + " 77.6, 98.4, 146.3, 232.3, 351.8, 552.1" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.8, 89.6, 142.0, 260.6, 473.3, 927.5", \ + " 73.6, 92.7, 143.8, 261.2, 473.5, 927.5", \ + " 79.1, 97.6, 147.4, 262.6, 473.7, 927.5", \ + " 87.3, 105.2, 153.8, 266.7, 474.8, 927.6", \ + " 103.4, 119.9, 166.8, 276.9, 480.5, 928.0", \ + " 124.2, 142.0, 187.3, 294.4, 493.5, 933.0", \ + " 153.2, 171.9, 220.0, 323.7, 518.0, 948.4", \ + " 193.4, 213.2, 264.0, 371.0, 559.0, 979.8", \ + " 250.3, 272.0, 326.0, 439.3, 626.7, 1036.4", \ + " 331.4, 355.8, 415.1, 535.5, 733.2, 1132.7" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 61.5 ; */ +/* intrinsic_fall : 56.8 ; */ +/* rise_resistance : 1.91 ; */ +/* fall_resistance : 1.51 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 50.2, 73.6, 125.5, 217.4, 412.7", \ + " 49.3, 58.1, 81.5, 133.4, 225.3, 420.6", \ + " 55.7, 64.4, 87.6, 139.5, 231.4, 426.6", \ + " 63.9, 73.0, 96.0, 147.6, 239.5, 434.7", \ + " 75.6, 86.1, 110.7, 162.1, 253.7, 448.9", \ + " 89.4, 101.4, 129.5, 182.8, 274.1, 469.1", \ + " 106.8, 120.4, 152.5, 212.5, 304.8, 499.5", \ + " 128.9, 144.3, 180.7, 248.9, 349.0, 544.1", \ + " 157.7, 175.4, 216.9, 294.5, 408.2, 610.5", \ + " 195.9, 216.4, 264.3, 353.0, 482.6, 707.7" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.7, 134.2, 212.5, 392.7, 716.0, 1405.0", \ + " 109.8, 137.2, 213.8, 392.9, 716.0, 1405.0", \ + " 115.5, 142.2, 217.1, 393.8, 716.1, 1405.0", \ + " 124.2, 150.1, 223.3, 397.1, 716.6, 1405.0", \ + " 140.8, 165.6, 236.4, 406.3, 720.6, 1405.1", \ + " 165.6, 189.1, 257.4, 422.9, 731.6, 1407.6", \ + " 198.5, 224.3, 290.7, 451.5, 753.7, 1419.2", \ + " 241.2, 268.9, 340.5, 496.6, 792.0, 1445.8", \ + " 300.6, 330.2, 406.7, 567.7, 855.2, 1496.4", \ + " 385.3, 417.4, 499.0, 671.6, 957.2, 1584.5" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.7, 46.9, 65.4, 105.8, 176.7, 327.2", \ + " 46.6, 53.9, 72.5, 112.9, 183.9, 334.4", \ + " 51.6, 58.9, 77.7, 118.3, 189.3, 339.9", \ + " 57.0, 65.1, 84.3, 125.3, 196.5, 347.2", \ + " 63.7, 73.1, 94.7, 136.9, 208.7, 359.8", \ + " 70.1, 80.9, 105.8, 152.2, 225.5, 377.4", \ + " 76.0, 88.7, 117.7, 170.8, 249.1, 403.1", \ + " 80.3, 95.3, 129.4, 191.0, 279.5, 439.0", \ + " 81.7, 99.3, 139.7, 212.2, 314.1, 489.4", \ + " 77.6, 98.4, 146.3, 232.3, 351.8, 552.1" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.7, 89.5, 141.9, 260.5, 473.3, 927.4", \ + " 73.5, 92.6, 143.7, 261.1, 473.4, 927.5", \ + " 79.0, 97.5, 147.3, 262.5, 473.7, 927.5", \ + " 87.2, 105.1, 153.8, 266.6, 474.7, 927.5", \ + " 103.3, 119.9, 166.7, 276.9, 480.4, 928.0", \ + " 124.1, 141.9, 187.3, 294.4, 493.4, 932.9", \ + " 153.1, 171.9, 219.9, 323.7, 517.9, 948.3", \ + " 193.4, 213.2, 263.9, 371.0, 559.0, 979.8", \ + " 250.3, 272.0, 326.0, 439.2, 626.7, 1036.3", \ + " 331.4, 355.8, 415.1, 535.5, 733.2, 1132.6" ); }} +timing() { /* ring osc delay mxi2v2x2, path a1 to z 71.8 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 54.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 54.1, 78.0, 130.1, 222.1, 417.4", \ + " 52.6, 61.9, 85.8, 137.9, 230.0, 425.3", \ + " 58.7, 68.1, 91.9, 144.0, 236.0, 431.3", \ + " 66.6, 76.2, 100.0, 152.0, 244.0, 439.3", \ + " 78.1, 89.0, 114.3, 166.3, 258.2, 453.5", \ + " 91.6, 103.9, 132.6, 186.6, 278.4, 473.6", \ + " 109.0, 122.8, 155.2, 215.8, 308.8, 503.9", \ + " 131.0, 146.6, 183.2, 251.9, 352.5, 548.3", \ + " 159.7, 177.6, 219.2, 297.1, 411.3, 614.2", \ + " 197.9, 218.5, 266.5, 355.4, 485.2, 710.9" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 111.9, 141.1, 220.3, 401.2, 724.7, 1413.8", \ + " 115.6, 143.8, 221.5, 401.5, 724.7, 1413.8", \ + " 121.1, 148.6, 224.7, 402.3, 724.9, 1413.8", \ + " 129.4, 156.1, 230.7, 405.6, 725.4, 1413.9", \ + " 145.1, 170.9, 243.3, 414.5, 729.4, 1414.0", \ + " 169.0, 193.5, 263.5, 430.7, 740.2, 1416.5", \ + " 201.9, 228.3, 295.8, 458.5, 761.9, 1428.0", \ + " 244.3, 272.5, 345.0, 502.7, 799.6, 1454.4", \ + " 303.6, 333.6, 410.9, 572.8, 861.9, 1504.5", \ + " 388.3, 420.6, 502.9, 676.4, 963.0, 1591.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.0, 44.0, 62.2, 102.3, 173.3, 323.9", \ + " 44.2, 51.2, 69.4, 109.5, 180.5, 331.1", \ + " 49.6, 56.7, 74.8, 115.0, 186.0, 336.6", \ + " 55.5, 63.4, 81.9, 122.2, 193.3, 344.0", \ + " 62.7, 71.9, 93.1, 134.4, 205.9, 356.8", \ + " 69.5, 80.3, 104.9, 150.6, 223.1, 374.7", \ + " 75.8, 88.5, 117.4, 169.9, 247.4, 400.7", \ + " 80.5, 95.4, 129.5, 190.8, 278.5, 437.0", \ + " 82.4, 99.9, 140.1, 212.3, 313.8, 488.2", \ + " 78.7, 99.3, 146.9, 232.8, 352.0, 551.5" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.0, 85.5, 136.6, 254.7, 467.4, 921.5", \ + " 71.0, 88.6, 138.2, 255.2, 467.5, 921.5", \ + " 76.9, 93.9, 141.9, 256.5, 467.7, 921.5", \ + " 85.9, 102.2, 148.9, 260.7, 468.7, 921.6", \ + " 103.0, 118.2, 162.9, 271.4, 474.5, 922.0", \ + " 124.6, 141.3, 184.8, 289.8, 487.9, 927.0", \ + " 154.2, 172.0, 218.5, 320.3, 513.1, 942.7", \ + " 194.7, 213.9, 263.4, 368.9, 555.1, 974.6", \ + " 251.9, 273.0, 326.0, 437.9, 624.0, 1031.9", \ + " 333.2, 357.1, 415.5, 534.8, 731.4, 1129.2" ); }} +timing() { /* ring osc delay mxi2v2x2, path a1 to z 71.8 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 54.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 54.1, 78.0, 130.1, 222.1, 417.4", \ + " 52.6, 61.9, 85.8, 137.9, 230.0, 425.3", \ + " 58.7, 68.1, 91.9, 144.0, 236.0, 431.3", \ + " 66.6, 76.2, 100.0, 152.1, 244.0, 439.3", \ + " 78.1, 89.0, 114.3, 166.3, 258.2, 453.5", \ + " 91.7, 103.9, 132.6, 186.6, 278.5, 473.7", \ + " 109.0, 122.8, 155.2, 215.8, 308.8, 504.0", \ + " 131.0, 146.6, 183.2, 251.9, 352.5, 548.3", \ + " 159.7, 177.6, 219.2, 297.1, 411.3, 614.2", \ + " 197.9, 218.5, 266.5, 355.4, 485.2, 710.9" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 111.9, 141.1, 220.4, 401.2, 724.7, 1413.8", \ + " 115.7, 143.9, 221.5, 401.5, 724.7, 1413.8", \ + " 121.1, 148.6, 224.7, 402.4, 724.9, 1413.9", \ + " 129.4, 156.2, 230.7, 405.6, 725.4, 1413.9", \ + " 145.1, 170.9, 243.3, 414.5, 729.4, 1414.1", \ + " 169.0, 193.5, 263.5, 430.8, 740.2, 1416.5", \ + " 201.9, 228.3, 295.8, 458.6, 761.9, 1428.1", \ + " 244.3, 272.5, 345.0, 502.7, 799.6, 1454.4", \ + " 303.6, 333.6, 410.9, 572.8, 862.0, 1504.5", \ + " 388.3, 420.6, 502.9, 676.4, 963.0, 1591.9" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.0, 44.0, 62.2, 102.3, 173.3, 323.9", \ + " 44.2, 51.2, 69.4, 109.5, 180.5, 331.1", \ + " 49.6, 56.7, 74.8, 115.0, 186.0, 336.6", \ + " 55.5, 63.4, 81.9, 122.2, 193.3, 344.0", \ + " 62.7, 71.9, 93.1, 134.4, 205.9, 356.8", \ + " 69.5, 80.3, 104.9, 150.6, 223.1, 374.6", \ + " 75.8, 88.5, 117.4, 169.9, 247.4, 400.7", \ + " 80.5, 95.4, 129.5, 190.8, 278.5, 437.0", \ + " 82.4, 99.8, 140.1, 212.3, 313.8, 488.2", \ + " 78.7, 99.3, 146.9, 232.8, 352.0, 551.5" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.0, 85.5, 136.6, 254.7, 467.3, 921.5", \ + " 71.0, 88.6, 138.2, 255.2, 467.5, 921.5", \ + " 76.9, 93.9, 141.9, 256.5, 467.7, 921.5", \ + " 85.9, 102.2, 148.9, 260.7, 468.7, 921.6", \ + " 103.0, 118.2, 162.9, 271.4, 474.5, 922.0", \ + " 124.6, 141.3, 184.8, 289.8, 487.9, 927.0", \ + " 154.2, 172.0, 218.5, 320.3, 513.1, 942.7", \ + " 194.7, 213.9, 263.4, 368.9, 555.1, 974.6", \ + " 251.9, 273.0, 326.0, 437.9, 624.0, 1031.9", \ + " 333.2, 357.1, 415.5, 534.8, 731.4, 1129.2" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 54.8 ; */ +/* rise_resistance : 1.93 ; */ +/* fall_resistance : 1.49 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 54.1, 78.0, 130.1, 222.1, 417.4", \ + " 52.6, 61.9, 85.8, 137.9, 230.0, 425.3", \ + " 58.7, 68.1, 91.9, 144.0, 236.0, 431.3", \ + " 66.6, 76.2, 100.0, 152.0, 244.0, 439.3", \ + " 78.1, 89.0, 114.3, 166.3, 258.2, 453.5", \ + " 91.6, 103.9, 132.6, 186.6, 278.4, 473.6", \ + " 109.0, 122.8, 155.2, 215.8, 308.8, 503.9", \ + " 131.0, 146.6, 183.2, 251.9, 352.5, 548.3", \ + " 159.7, 177.6, 219.2, 297.1, 411.3, 614.2", \ + " 197.9, 218.5, 266.5, 355.4, 485.2, 710.9" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 111.9, 141.1, 220.3, 401.2, 724.7, 1413.8", \ + " 115.6, 143.8, 221.5, 401.5, 724.7, 1413.8", \ + " 121.1, 148.6, 224.7, 402.3, 724.9, 1413.8", \ + " 129.4, 156.1, 230.7, 405.6, 725.4, 1413.9", \ + " 145.1, 170.9, 243.3, 414.5, 729.4, 1414.0", \ + " 169.0, 193.5, 263.5, 430.7, 740.2, 1416.5", \ + " 201.9, 228.3, 295.8, 458.5, 761.9, 1428.0", \ + " 244.3, 272.5, 345.0, 502.7, 799.6, 1454.4", \ + " 303.6, 333.6, 410.9, 572.8, 861.9, 1504.5", \ + " 388.3, 420.6, 502.9, 676.4, 963.0, 1591.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.0, 44.0, 62.2, 102.3, 173.3, 323.9", \ + " 44.2, 51.2, 69.4, 109.5, 180.5, 331.1", \ + " 49.6, 56.7, 74.8, 115.0, 186.0, 336.6", \ + " 55.5, 63.4, 81.9, 122.2, 193.3, 344.0", \ + " 62.7, 71.9, 93.1, 134.4, 205.9, 356.8", \ + " 69.5, 80.3, 104.9, 150.6, 223.1, 374.7", \ + " 75.8, 88.5, 117.4, 169.9, 247.4, 400.7", \ + " 80.5, 95.4, 129.5, 190.8, 278.5, 437.0", \ + " 82.4, 99.9, 140.1, 212.3, 313.8, 488.2", \ + " 78.7, 99.3, 146.9, 232.8, 352.0, 551.5" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.0, 85.5, 136.6, 254.7, 467.4, 921.5", \ + " 71.0, 88.6, 138.2, 255.2, 467.5, 921.5", \ + " 76.9, 93.9, 141.9, 256.5, 467.7, 921.5", \ + " 85.9, 102.2, 148.9, 260.7, 468.7, 921.6", \ + " 103.0, 118.2, 162.9, 271.4, 474.5, 922.0", \ + " 124.6, 141.3, 184.8, 289.8, 487.9, 927.0", \ + " 154.2, 172.0, 218.5, 320.3, 513.1, 942.7", \ + " 194.7, 213.9, 263.4, 368.9, 555.1, 974.6", \ + " 251.9, 273.0, 326.0, 437.9, 624.0, 1031.9", \ + " 333.2, 357.1, 415.5, 534.8, 731.4, 1129.2" ); }} +timing() { /* ring osc delay mxi2v2x2, path s to z 58.8 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.0 ; */ +/* intrinsic_fall : 39.9 ; */ +/* rise_resistance : 1.96 ; */ +/* fall_resistance : 1.52 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.9, 46.5, 72.8, 125.4, 217.7, 413.3", \ + " 41.1, 52.5, 79.2, 132.1, 224.6, 420.3", \ + " 47.0, 58.0, 84.8, 137.6, 230.1, 425.9", \ + " 53.6, 66.1, 92.6, 145.2, 237.6, 433.3", \ + " 63.2, 77.5, 106.6, 158.4, 250.2, 445.7", \ + " 75.1, 91.3, 124.6, 176.8, 267.2, 461.7", \ + " 91.2, 109.4, 147.1, 203.1, 291.2, 483.2", \ + " 112.3, 133.1, 175.9, 235.6, 326.5, 512.7", \ + " 140.7, 165.0, 214.5, 278.7, 373.7, 558.6", \ + " 179.7, 208.2, 267.0, 337.7, 436.8, 630.5" ); } +rise_transition(x2_195_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 97.7, 140.0, 239.1, 447.3, 816.8, 1606.1", \ + " 102.0, 143.0, 240.7, 447.5, 816.9, 1606.1", \ + " 108.5, 148.7, 245.4, 449.9, 817.0, 1606.1", \ + " 118.6, 157.9, 253.2, 455.3, 819.2, 1606.0", \ + " 138.5, 176.3, 269.3, 467.0, 826.2, 1607.7", \ + " 170.8, 204.8, 294.7, 486.3, 838.6, 1613.1", \ + " 206.0, 252.5, 335.6, 518.8, 860.6, 1623.2", \ + " 253.6, 303.1, 399.6, 572.3, 899.7, 1642.2", \ + " 328.1, 378.2, 487.3, 660.0, 970.3, 1682.6", \ + " 442.3, 493.2, 607.3, 798.5, 1093.4, 1769.4" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.5, 31.5, 50.2, 91.3, 162.6, 313.2", \ + " 31.0, 37.9, 56.8, 98.1, 169.6, 320.2", \ + " 34.6, 42.9, 61.8, 103.1, 174.5, 325.2", \ + " 37.7, 47.5, 68.5, 109.4, 180.7, 331.2", \ + " 40.8, 52.6, 77.8, 119.7, 190.1, 340.2", \ + " 43.0, 56.9, 86.4, 133.5, 202.7, 351.2", \ + " 43.5, 60.0, 94.6, 148.0, 222.1, 366.8", \ + " 41.2, 60.9, 101.5, 161.5, 244.8, 391.3", \ + " 34.2, 57.6, 105.8, 173.8, 266.7, 429.6", \ + " 19.1, 47.1, 104.9, 182.9, 286.7, 469.5" ); } +fall_transition(x2_195_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 53.9, 80.1, 144.3, 281.4, 523.1, 1037.8", \ + " 59.7, 84.8, 147.3, 282.3, 523.0, 1037.8", \ + " 66.8, 91.3, 152.6, 285.8, 524.4, 1037.7", \ + " 77.8, 101.6, 161.3, 292.0, 528.0, 1038.4", \ + " 97.6, 121.6, 179.0, 305.1, 536.4, 1042.3", \ + " 118.8, 148.8, 206.6, 327.4, 551.5, 1050.5", \ + " 152.2, 183.5, 250.2, 365.4, 580.0, 1067.4", \ + " 201.6, 234.4, 304.7, 425.7, 630.2, 1100.7", \ + " 274.8, 309.8, 384.1, 513.3, 714.5, 1164.6", \ + " 385.9, 421.2, 501.5, 636.6, 848.8, 1278.9" ); }} +timing() { /* ring osc delay mxi2v2x2, path s to z 89.5 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.1 ; */ +/* intrinsic_fall : 84.3 ; */ +/* rise_resistance : 1.76 ; */ +/* fall_resistance : 1.32 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.7, 54.2, 72.7, 119.6, 208.5, 401.9", \ + " 55.1, 61.8, 80.7, 127.4, 215.8, 408.6", \ + " 60.9, 67.7, 86.7, 133.2, 221.1, 413.5", \ + " 68.4, 75.3, 94.1, 140.4, 227.9, 419.6", \ + " 81.6, 88.4, 106.1, 151.6, 238.3, 429.2", \ + " 93.8, 101.8, 122.1, 166.2, 251.6, 441.2", \ + " 104.1, 113.4, 136.8, 187.1, 270.5, 457.6", \ + " 111.7, 122.0, 147.7, 204.6, 298.1, 481.3", \ + " 115.8, 127.0, 154.4, 215.3, 322.1, 517.9", \ + " 113.6, 125.8, 155.2, 218.5, 332.7, 561.5" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 133.9, 159.2, 232.7, 407.4, 726.4, 1414.0", \ + " 133.1, 158.2, 230.1, 404.2, 725.3, 1413.9", \ + " 134.1, 159.2, 230.6, 402.8, 724.0, 1413.8", \ + " 136.5, 161.5, 232.4, 402.9, 721.8, 1413.3", \ + " 141.1, 166.6, 237.0, 405.6, 721.6, 1410.9", \ + " 143.0, 171.9, 245.6, 413.4, 726.3, 1410.3", \ + " 149.6, 176.9, 254.5, 430.1, 740.1, 1417.5", \ + " 158.1, 186.2, 263.8, 449.6, 767.9, 1437.7", \ + " 170.5, 199.1, 277.9, 463.4, 806.0, 1480.2", \ + " 189.0, 217.8, 296.9, 483.6, 828.2, 1552.2" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.5, 69.3, 84.4, 118.8, 183.8, 329.6", \ + " 71.1, 77.0, 92.3, 126.8, 191.9, 337.5", \ + " 77.6, 83.5, 98.9, 133.4, 198.3, 343.7", \ + " 86.6, 92.7, 108.1, 142.5, 207.1, 352.1", \ + " 102.2, 108.6, 124.2, 158.4, 222.4, 366.6", \ + " 123.3, 130.0, 145.7, 179.7, 242.9, 385.8", \ + " 148.0, 155.6, 173.4, 208.8, 271.2, 412.2", \ + " 177.4, 185.9, 205.6, 244.0, 309.0, 448.6", \ + " 215.3, 224.7, 246.2, 286.9, 355.4, 499.7", \ + " 265.6, 276.0, 299.6, 343.1, 413.7, 565.0" ); } +fall_transition(x2_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 126.4, 142.3, 179.3, 266.8, 450.5, 869.1", \ + " 118.5, 133.2, 173.6, 265.4, 450.2, 869.1", \ + " 115.8, 130.1, 169.5, 264.6, 450.2, 869.1", \ + " 114.5, 128.7, 167.1, 263.3, 450.2, 869.1", \ + " 115.6, 129.7, 167.6, 262.2, 450.3, 869.2", \ + " 117.9, 133.5, 172.6, 266.5, 451.2, 869.4", \ + " 122.6, 138.8, 179.9, 276.5, 458.3, 870.1", \ + " 130.2, 147.5, 190.5, 288.0, 472.4, 878.5", \ + " 142.0, 160.2, 204.7, 303.0, 488.0, 897.9", \ + " 159.7, 178.7, 224.8, 323.8, 507.3, 922.1" ); }} +} +} +cell(mxi2v2x3) { /* 2008-01-06:07h36 characteristic delay 13.0 ps */ +area : 18 ; /* tracks */ +cell_leakage_power : 2656 ; /* mxi2v2x3 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v2x3 FO4 effort 1.47 logical effort 1.36 */ +direction : input ; +capacitance : 11.27 ; +rise_capacitance : 10.93 ; +fall_capacitance : 11.61 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v2x3 */ +} +pin(a1) { /* mxi2v2x3 FO4 effort 1.47 logical effort 1.34 */ +direction : input ; +capacitance : 11.13 ; +rise_capacitance : 10.84 ; +fall_capacitance : 11.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v2x3 */ +} +pin(s) { /* mxi2v2x3 FO4 effort 1.56 logical effort 1.88 */ +direction : input ; +capacitance : 13.12 ; +rise_capacitance : 12.73 ; +fall_capacitance : 13.51 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v2x3 */ +internal_power(s) { /* mxi2v2x3 18.74 nW/MHz */ +power(pwr_intran_x10) { +values( " 9.40, 9.18, 9.22, 9.38, 9.81, 10.62, 12.05, 14.41, 18.25, 24.44" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 257 ; +max_fanout : 8 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v2x3 43.53 nW/MHz */ +related_pin : "a0" ; +power(pwr_x3_390_5x10) { +values( " 21.34, 21.38, 21.41, 21.42, 21.38", \ + " 21.07, 21.15, 21.26, 21.33, 21.34", \ + " 21.23, 21.30, 21.42, 21.51, 21.53", \ + " 21.66, 21.69, 21.76, 21.85, 21.88", \ + " 22.75, 22.67, 22.61, 22.61, 22.61", \ + " 24.73, 24.47, 24.15, 23.93, 23.82", \ + " 28.22, 27.70, 26.93, 26.26, 25.86", \ + " 33.99, 33.10, 31.66, 30.22, 29.26", \ + " 43.44, 42.08, 39.69, 37.03, 35.04", \ + " 58.68, 56.78, 53.15, 48.64, 44.95" ); }} +internal_power(a1_z_n) { /* mxi2v2x3 43.92 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 21.54, 21.58, 21.61, 21.61, 21.58", \ + " 21.27, 21.35, 21.46, 21.53, 21.54", \ + " 21.43, 21.50, 21.62, 21.71, 21.73", \ + " 21.86, 21.89, 21.96, 22.05, 22.08", \ + " 22.94, 22.86, 22.80, 22.80, 22.81", \ + " 24.91, 24.66, 24.34, 24.12, 24.01", \ + " 28.38, 27.86, 27.10, 26.44, 26.05", \ + " 34.13, 33.25, 31.82, 30.40, 29.44", \ + " 43.56, 42.21, 39.83, 37.18, 35.21", \ + " 58.79, 56.89, 53.27, 48.78, 45.11" ); }} +internal_power(s_z_n) { /* mxi2v2x3 30.89 nW/MHz */ +related_pin : "s" ; +power(pwr_x3_390_5x10) { +values( " 5.51, 5.92, 6.33, 6.56, 6.62", \ + " 5.22, 5.57, 6.08, 6.48, 6.67", \ + " 5.38, 5.59, 6.01, 6.42, 6.63", \ + " 5.80, 5.84, 6.07, 6.40, 6.60", \ + " 6.83, 6.59, 6.46, 6.54, 6.65", \ + " 8.69, 8.09, 7.43, 7.06, 6.92", \ + " 11.89, 10.83, 9.44, 8.32, 7.68", \ + " 17.00, 15.43, 13.08, 10.87, 9.38", \ + " 25.06, 22.94, 19.42, 15.71, 12.87", \ + " 37.60, 34.92, 30.08, 24.42, 19.59" ); }} +internal_power(s_z_p) { /* mxi2v2x3 45.68 nW/MHz */ +related_pin : "s" ; +power(pwr_x3_390_5x10) { +values( " 13.86, 13.44, 12.57, 11.56, 10.82", \ + " 14.00, 13.57, 12.77, 11.80, 11.06", \ + " 14.36, 13.88, 13.04, 12.04, 11.24", \ + " 14.94, 14.39, 13.46, 12.37, 11.49", \ + " 16.07, 15.40, 14.30, 13.01, 11.95", \ + " 17.81, 16.98, 15.61, 14.01, 12.67", \ + " 20.59, 19.52, 17.78, 15.70, 13.90", \ + " 24.84, 23.48, 21.21, 18.47, 16.00", \ + " 31.48, 29.70, 26.72, 23.08, 19.65", \ + " 42.09, 39.71, 35.59, 30.69, 25.93" ); }} +timing() { /* ring osc delay mxi2v2x3, path a0 to z 63.9 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.7 ; */ +/* intrinsic_fall : 54.2 ; */ +/* rise_resistance : 1.16 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.9, 48.8, 77.1, 140.0, 251.4, 488.0", \ + " 45.9, 56.8, 85.0, 147.9, 259.3, 495.9", \ + " 52.4, 63.1, 91.2, 153.9, 265.3, 502.0", \ + " 60.4, 71.6, 99.5, 162.1, 273.4, 510.0", \ + " 71.6, 84.6, 114.3, 176.5, 287.6, 524.2", \ + " 84.8, 99.7, 133.5, 197.2, 308.0, 544.5", \ + " 101.7, 118.5, 157.1, 227.6, 338.6, 574.9", \ + " 123.1, 142.2, 186.0, 266.2, 383.1, 619.4", \ + " 151.0, 173.0, 223.0, 314.2, 446.0, 686.0", \ + " 188.2, 213.6, 271.3, 375.5, 525.6, 785.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.1, 129.3, 224.0, 442.8, 835.0, 1670.3", \ + " 99.8, 132.4, 225.1, 443.0, 835.0, 1670.4", \ + " 105.7, 137.5, 228.2, 443.5, 835.0, 1670.4", \ + " 114.8, 145.6, 234.2, 446.2, 835.3, 1670.4", \ + " 131.8, 161.3, 247.1, 454.4, 837.9, 1670.4", \ + " 157.1, 185.0, 267.8, 470.1, 847.0, 1671.3", \ + " 188.8, 220.1, 300.8, 497.6, 867.1, 1679.5", \ + " 230.8, 264.5, 350.9, 541.6, 903.2, 1702.2", \ + " 289.5, 325.6, 418.0, 611.3, 964.1, 1748.5", \ + " 373.3, 412.5, 511.1, 717.6, 1063.6, 1831.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.2, 46.1, 68.6, 117.3, 203.2, 385.6", \ + " 44.1, 53.0, 75.6, 124.5, 210.4, 392.8", \ + " 48.9, 58.1, 80.8, 129.8, 215.8, 398.3", \ + " 54.0, 64.1, 87.5, 136.9, 223.0, 405.6", \ + " 60.2, 71.9, 98.0, 148.6, 235.4, 418.2", \ + " 65.9, 79.6, 109.6, 164.3, 252.4, 436.0", \ + " 71.1, 87.0, 122.0, 184.4, 276.6, 462.1", \ + " 74.5, 93.3, 134.4, 206.5, 309.1, 498.7", \ + " 74.9, 96.9, 145.6, 230.1, 347.7, 550.7", \ + " 69.6, 95.5, 153.2, 253.5, 390.6, 620.4" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.5, 86.7, 150.1, 294.0, 552.1, 1102.8", \ + " 66.8, 89.9, 151.8, 294.5, 552.2, 1102.8", \ + " 72.5, 94.9, 155.3, 295.5, 552.4, 1102.8", \ + " 81.0, 102.6, 161.6, 299.0, 553.0, 1102.9", \ + " 97.2, 117.4, 174.3, 308.5, 557.2, 1103.0", \ + " 117.7, 139.4, 194.5, 325.3, 568.6, 1105.7", \ + " 146.5, 169.3, 227.3, 353.8, 591.5, 1118.0", \ + " 186.3, 210.5, 271.8, 399.8, 630.8, 1146.1", \ + " 242.5, 269.1, 334.3, 469.9, 696.2, 1199.0", \ + " 322.6, 352.7, 424.2, 568.0, 803.2, 1291.0" ); }} +timing() { /* ring osc delay mxi2v2x3, path a0 to z 63.9 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.7 ; */ +/* intrinsic_fall : 54.2 ; */ +/* rise_resistance : 1.16 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.8, 48.8, 77.1, 140.0, 251.4, 488.0", \ + " 45.9, 56.7, 85.0, 147.8, 259.3, 495.9", \ + " 52.4, 63.1, 91.1, 153.9, 265.3, 501.9", \ + " 60.3, 71.6, 99.5, 162.1, 273.4, 510.0", \ + " 71.5, 84.6, 114.2, 176.5, 287.6, 524.2", \ + " 84.8, 99.6, 133.5, 197.1, 308.0, 544.4", \ + " 101.7, 118.5, 157.1, 227.6, 338.6, 574.8", \ + " 123.1, 142.2, 186.0, 266.2, 383.1, 619.4", \ + " 151.0, 173.0, 222.9, 314.2, 446.0, 686.0", \ + " 188.1, 213.6, 271.3, 375.4, 525.6, 785.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.1, 129.3, 224.0, 442.7, 834.9, 1670.3", \ + " 99.8, 132.4, 225.1, 442.9, 834.9, 1670.3", \ + " 105.7, 137.5, 228.2, 443.5, 835.0, 1670.3", \ + " 114.8, 145.6, 234.2, 446.2, 835.2, 1670.3", \ + " 131.8, 161.3, 247.0, 454.4, 837.8, 1670.4", \ + " 157.1, 185.0, 267.7, 470.1, 847.0, 1671.2", \ + " 188.8, 220.2, 300.8, 497.5, 867.1, 1679.5", \ + " 230.8, 264.5, 350.9, 541.6, 903.2, 1702.2", \ + " 289.5, 325.6, 418.0, 611.3, 964.1, 1748.4", \ + " 373.3, 412.5, 511.1, 717.6, 1063.5, 1831.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.2, 46.1, 68.6, 117.4, 203.3, 385.6", \ + " 44.1, 53.1, 75.6, 124.5, 210.4, 392.8", \ + " 49.0, 58.1, 80.9, 129.9, 215.9, 398.3", \ + " 54.0, 64.2, 87.5, 136.9, 223.1, 405.6", \ + " 60.2, 71.9, 98.0, 148.6, 235.4, 418.3", \ + " 66.0, 79.6, 109.6, 164.3, 252.4, 436.1", \ + " 71.1, 87.0, 122.0, 184.4, 276.6, 462.1", \ + " 74.5, 93.3, 134.4, 206.5, 309.1, 498.7", \ + " 74.9, 96.9, 145.6, 230.1, 347.7, 550.7", \ + " 69.6, 95.5, 153.2, 253.5, 390.6, 620.4" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.6, 86.8, 150.2, 294.0, 552.2, 1102.9", \ + " 66.9, 90.0, 151.9, 294.5, 552.3, 1102.9", \ + " 72.6, 95.0, 155.4, 295.6, 552.4, 1102.9", \ + " 81.1, 102.7, 161.6, 299.1, 553.0, 1102.9", \ + " 97.3, 117.5, 174.4, 308.6, 557.3, 1103.1", \ + " 117.8, 139.5, 194.6, 325.4, 568.7, 1105.8", \ + " 146.5, 169.3, 227.4, 353.8, 591.6, 1118.1", \ + " 186.3, 210.6, 271.8, 399.8, 630.9, 1146.1", \ + " 242.6, 269.1, 334.4, 469.9, 696.3, 1199.0", \ + " 322.6, 352.7, 424.2, 568.0, 803.2, 1291.0" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.7 ; */ +/* intrinsic_fall : 54.2 ; */ +/* rise_resistance : 1.16 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.9, 48.8, 77.1, 140.0, 251.4, 488.0", \ + " 45.9, 56.8, 85.0, 147.9, 259.3, 495.9", \ + " 52.4, 63.1, 91.2, 153.9, 265.3, 502.0", \ + " 60.4, 71.6, 99.5, 162.1, 273.4, 510.0", \ + " 71.6, 84.6, 114.3, 176.5, 287.6, 524.2", \ + " 84.8, 99.7, 133.5, 197.2, 308.0, 544.5", \ + " 101.7, 118.5, 157.1, 227.6, 338.6, 574.9", \ + " 123.1, 142.2, 186.0, 266.2, 383.1, 619.4", \ + " 151.0, 173.0, 223.0, 314.2, 446.0, 686.0", \ + " 188.2, 213.6, 271.3, 375.5, 525.6, 785.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.1, 129.3, 224.0, 442.8, 835.0, 1670.3", \ + " 99.8, 132.4, 225.1, 443.0, 835.0, 1670.4", \ + " 105.7, 137.5, 228.2, 443.5, 835.0, 1670.4", \ + " 114.8, 145.6, 234.2, 446.2, 835.3, 1670.4", \ + " 131.8, 161.3, 247.1, 454.4, 837.9, 1670.4", \ + " 157.1, 185.0, 267.8, 470.1, 847.0, 1671.3", \ + " 188.8, 220.1, 300.8, 497.6, 867.1, 1679.5", \ + " 230.8, 264.5, 350.9, 541.6, 903.2, 1702.2", \ + " 289.5, 325.6, 418.0, 611.3, 964.1, 1748.5", \ + " 373.3, 412.5, 511.1, 717.6, 1063.6, 1831.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.2, 46.1, 68.6, 117.3, 203.2, 385.6", \ + " 44.1, 53.0, 75.6, 124.5, 210.4, 392.8", \ + " 48.9, 58.1, 80.8, 129.8, 215.8, 398.3", \ + " 54.0, 64.1, 87.5, 136.9, 223.0, 405.6", \ + " 60.2, 71.9, 98.0, 148.6, 235.4, 418.2", \ + " 65.9, 79.6, 109.6, 164.3, 252.4, 436.0", \ + " 71.1, 87.0, 122.0, 184.4, 276.6, 462.1", \ + " 74.5, 93.3, 134.4, 206.5, 309.1, 498.7", \ + " 74.9, 96.9, 145.6, 230.1, 347.7, 550.7", \ + " 69.6, 95.5, 153.2, 253.5, 390.6, 620.4" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.5, 86.7, 150.1, 294.0, 552.1, 1102.8", \ + " 66.8, 89.9, 151.8, 294.5, 552.2, 1102.8", \ + " 72.5, 94.9, 155.3, 295.5, 552.4, 1102.8", \ + " 81.0, 102.6, 161.6, 299.0, 553.0, 1102.9", \ + " 97.2, 117.4, 174.3, 308.5, 557.2, 1103.0", \ + " 117.7, 139.4, 194.5, 325.3, 568.6, 1105.7", \ + " 146.5, 169.3, 227.3, 353.8, 591.5, 1118.0", \ + " 186.3, 210.5, 271.8, 399.8, 630.8, 1146.1", \ + " 242.5, 269.1, 334.3, 469.9, 696.2, 1199.0", \ + " 322.6, 352.7, 424.2, 568.0, 803.2, 1291.0" ); }} +timing() { /* ring osc delay mxi2v2x3, path a1 to z 64.3 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.0 ; */ +/* intrinsic_fall : 51.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 52.4, 81.3, 144.3, 255.8, 492.5", \ + " 48.7, 60.2, 89.1, 152.2, 263.6, 500.3", \ + " 54.8, 66.4, 95.2, 158.2, 269.7, 506.4", \ + " 62.5, 74.5, 103.3, 166.3, 277.7, 514.4", \ + " 73.5, 87.1, 117.6, 180.5, 291.9, 528.5", \ + " 86.5, 101.8, 136.3, 200.8, 312.1, 548.7", \ + " 103.2, 120.4, 159.5, 230.7, 342.5, 579.0", \ + " 124.5, 143.9, 188.1, 268.8, 386.5, 623.4", \ + " 152.3, 174.5, 224.8, 316.4, 448.8, 689.6", \ + " 189.4, 215.0, 272.9, 377.4, 527.9, 788.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.9, 135.2, 231.3, 450.7, 843.1, 1678.7", \ + " 104.2, 138.1, 232.3, 451.0, 843.2, 1678.7", \ + " 109.9, 143.0, 235.4, 451.6, 843.3, 1678.7", \ + " 118.5, 150.7, 241.1, 454.2, 843.6, 1678.7", \ + " 134.7, 165.6, 253.5, 462.2, 846.2, 1678.8", \ + " 159.2, 188.3, 273.3, 477.5, 855.2, 1679.7", \ + " 190.5, 222.8, 305.2, 504.3, 875.0, 1687.9", \ + " 232.2, 266.7, 354.4, 547.3, 910.5, 1710.5", \ + " 290.7, 327.4, 421.0, 615.8, 970.6, 1756.3", \ + " 374.4, 414.0, 513.6, 721.4, 1068.9, 1839.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.8, 42.4, 64.4, 113.0, 199.0, 381.5", \ + " 41.1, 49.6, 71.6, 120.2, 206.2, 388.7", \ + " 46.4, 55.1, 77.1, 125.7, 211.7, 394.3", \ + " 51.9, 61.7, 84.2, 133.0, 219.1, 401.6", \ + " 58.5, 70.0, 95.6, 145.2, 231.7, 414.4", \ + " 64.6, 78.1, 107.8, 161.7, 249.1, 432.5", \ + " 70.0, 85.9, 120.9, 182.6, 273.9, 458.8", \ + " 73.8, 92.4, 133.6, 205.3, 307.2, 495.9", \ + " 74.5, 96.4, 145.0, 229.4, 346.4, 548.4", \ + " 69.5, 95.2, 152.8, 253.0, 389.9, 618.8" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.8, 82.0, 143.9, 287.3, 545.5, 1096.3", \ + " 64.3, 85.3, 145.4, 287.7, 545.6, 1096.3", \ + " 70.5, 90.7, 149.0, 288.7, 545.7, 1096.3", \ + " 79.9, 99.2, 155.8, 292.3, 546.2, 1096.3", \ + " 97.0, 115.5, 169.6, 302.3, 550.6, 1096.4", \ + " 118.2, 138.4, 191.2, 319.9, 562.4, 1099.2", \ + " 147.4, 169.1, 225.2, 349.5, 585.9, 1111.7", \ + " 187.5, 210.8, 270.5, 396.8, 626.0, 1140.2", \ + " 243.9, 269.7, 333.7, 467.8, 692.6, 1193.7", \ + " 324.0, 353.5, 423.9, 566.6, 800.6, 1286.6" ); }} +timing() { /* ring osc delay mxi2v2x3, path a1 to z 64.3 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.0 ; */ +/* intrinsic_fall : 51.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 52.4, 81.3, 144.3, 255.8, 492.5", \ + " 48.7, 60.2, 89.1, 152.2, 263.7, 500.4", \ + " 54.8, 66.4, 95.2, 158.2, 269.7, 506.4", \ + " 62.5, 74.5, 103.4, 166.3, 277.7, 514.4", \ + " 73.5, 87.1, 117.6, 180.5, 291.9, 528.5", \ + " 86.5, 101.8, 136.3, 200.8, 312.1, 548.7", \ + " 103.2, 120.4, 159.5, 230.7, 342.5, 579.0", \ + " 124.5, 143.9, 188.1, 268.8, 386.5, 623.4", \ + " 152.3, 174.5, 224.8, 316.4, 448.8, 689.6", \ + " 189.4, 215.0, 272.9, 377.4, 527.9, 788.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.9, 135.2, 231.3, 450.7, 843.2, 1678.7", \ + " 104.2, 138.2, 232.4, 451.0, 843.2, 1678.7", \ + " 109.9, 143.0, 235.4, 451.6, 843.3, 1678.7", \ + " 118.5, 150.7, 241.1, 454.2, 843.6, 1678.8", \ + " 134.7, 165.6, 253.5, 462.3, 846.2, 1678.8", \ + " 159.2, 188.3, 273.3, 477.5, 855.2, 1679.7", \ + " 190.5, 222.8, 305.2, 504.3, 875.0, 1688.0", \ + " 232.2, 266.7, 354.4, 547.3, 910.6, 1710.5", \ + " 290.7, 327.4, 421.0, 615.9, 970.6, 1756.3", \ + " 374.4, 414.0, 513.7, 721.4, 1068.9, 1839.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.8, 42.4, 64.4, 113.0, 199.0, 381.5", \ + " 41.1, 49.6, 71.6, 120.2, 206.2, 388.7", \ + " 46.4, 55.1, 77.1, 125.7, 211.7, 394.3", \ + " 51.9, 61.7, 84.2, 133.0, 219.0, 401.6", \ + " 58.5, 70.0, 95.6, 145.2, 231.7, 414.4", \ + " 64.6, 78.1, 107.8, 161.7, 249.1, 432.4", \ + " 70.0, 85.9, 120.9, 182.6, 273.8, 458.8", \ + " 73.8, 92.4, 133.6, 205.3, 307.2, 495.9", \ + " 74.5, 96.4, 145.0, 229.4, 346.4, 548.4", \ + " 69.5, 95.2, 152.8, 253.0, 389.9, 618.8" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.8, 82.0, 143.8, 287.3, 545.5, 1096.2", \ + " 64.3, 85.3, 145.4, 287.7, 545.6, 1096.2", \ + " 70.5, 90.7, 149.0, 288.7, 545.7, 1096.3", \ + " 79.9, 99.2, 155.8, 292.3, 546.2, 1096.3", \ + " 97.0, 115.4, 169.6, 302.3, 550.6, 1096.4", \ + " 118.2, 138.4, 191.2, 319.9, 562.4, 1099.1", \ + " 147.4, 169.1, 225.2, 349.5, 585.9, 1111.7", \ + " 187.5, 210.8, 270.5, 396.8, 626.0, 1140.2", \ + " 243.9, 269.7, 333.7, 467.8, 692.6, 1193.7", \ + " 324.0, 353.5, 423.9, 566.6, 800.6, 1286.6" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 61.0 ; */ +/* intrinsic_fall : 51.4 ; */ +/* rise_resistance : 1.17 ; */ +/* fall_resistance : 0.90 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.9, 52.4, 81.3, 144.3, 255.8, 492.5", \ + " 48.7, 60.2, 89.1, 152.2, 263.6, 500.3", \ + " 54.8, 66.4, 95.2, 158.2, 269.7, 506.4", \ + " 62.5, 74.5, 103.3, 166.3, 277.7, 514.4", \ + " 73.5, 87.1, 117.6, 180.5, 291.9, 528.5", \ + " 86.5, 101.8, 136.3, 200.8, 312.1, 548.7", \ + " 103.2, 120.4, 159.5, 230.7, 342.5, 579.0", \ + " 124.5, 143.9, 188.1, 268.8, 386.5, 623.4", \ + " 152.3, 174.5, 224.8, 316.4, 448.8, 689.6", \ + " 189.4, 215.0, 272.9, 377.4, 527.9, 788.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.9, 135.2, 231.3, 450.7, 843.1, 1678.7", \ + " 104.2, 138.1, 232.3, 451.0, 843.2, 1678.7", \ + " 109.9, 143.0, 235.4, 451.6, 843.3, 1678.7", \ + " 118.5, 150.7, 241.1, 454.2, 843.6, 1678.7", \ + " 134.7, 165.6, 253.5, 462.2, 846.2, 1678.8", \ + " 159.2, 188.3, 273.3, 477.5, 855.2, 1679.7", \ + " 190.5, 222.8, 305.2, 504.3, 875.0, 1687.9", \ + " 232.2, 266.7, 354.4, 547.3, 910.5, 1710.5", \ + " 290.7, 327.4, 421.0, 615.8, 970.6, 1756.3", \ + " 374.4, 414.0, 513.6, 721.4, 1068.9, 1839.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.8, 42.4, 64.4, 113.0, 199.0, 381.5", \ + " 41.1, 49.6, 71.6, 120.2, 206.2, 388.7", \ + " 46.4, 55.1, 77.1, 125.7, 211.7, 394.3", \ + " 51.9, 61.7, 84.2, 133.0, 219.1, 401.6", \ + " 58.5, 70.0, 95.6, 145.2, 231.7, 414.4", \ + " 64.6, 78.1, 107.8, 161.7, 249.1, 432.5", \ + " 70.0, 85.9, 120.9, 182.6, 273.9, 458.8", \ + " 73.8, 92.4, 133.6, 205.3, 307.2, 495.9", \ + " 74.5, 96.4, 145.0, 229.4, 346.4, 548.4", \ + " 69.5, 95.2, 152.8, 253.0, 389.9, 618.8" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.8, 82.0, 143.9, 287.3, 545.5, 1096.3", \ + " 64.3, 85.3, 145.4, 287.7, 545.6, 1096.3", \ + " 70.5, 90.7, 149.0, 288.7, 545.7, 1096.3", \ + " 79.9, 99.2, 155.8, 292.3, 546.2, 1096.3", \ + " 97.0, 115.5, 169.6, 302.3, 550.6, 1096.4", \ + " 118.2, 138.4, 191.2, 319.9, 562.4, 1099.2", \ + " 147.4, 169.1, 225.2, 349.5, 585.9, 1111.7", \ + " 187.5, 210.8, 270.5, 396.8, 626.0, 1140.2", \ + " 243.9, 269.7, 333.7, 467.8, 692.6, 1193.7", \ + " 324.0, 353.5, 423.9, 566.6, 800.6, 1286.6" ); }} +timing() { /* ring osc delay mxi2v2x3, path s to z 50.5 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 37.0 ; */ +/* rise_resistance : 1.19 ; */ +/* fall_resistance : 0.92 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.4, 44.8, 76.9, 140.4, 252.2, 489.2", \ + " 37.0, 50.8, 83.3, 147.1, 259.1, 496.2", \ + " 42.7, 56.3, 88.9, 152.7, 264.6, 501.8", \ + " 48.6, 64.3, 96.7, 160.2, 272.1, 509.2", \ + " 57.5, 75.5, 110.7, 173.4, 284.8, 521.6", \ + " 68.7, 89.0, 129.2, 191.6, 301.6, 537.7", \ + " 83.9, 106.9, 152.2, 218.1, 325.1, 559.0", \ + " 103.9, 130.3, 181.6, 251.6, 359.2, 587.5", \ + " 130.9, 161.7, 221.0, 295.5, 408.4, 630.8", \ + " 168.1, 204.4, 274.5, 355.6, 473.2, 702.8" ); } +rise_transition(x3_390_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 80.6, 132.8, 253.1, 505.0, 953.1, 1910.6", \ + " 85.8, 136.0, 254.5, 505.2, 953.2, 1910.5", \ + " 92.7, 141.8, 259.1, 506.9, 953.3, 1910.5", \ + " 103.4, 151.1, 266.7, 511.7, 954.6, 1910.4", \ + " 124.4, 169.7, 282.4, 522.6, 960.3, 1911.1", \ + " 156.2, 198.5, 307.4, 540.7, 971.0, 1915.1", \ + " 185.7, 246.1, 347.8, 571.3, 990.3, 1923.2", \ + " 233.6, 294.9, 410.7, 622.3, 1025.2, 1938.1", \ + " 307.9, 369.7, 500.8, 707.2, 1090.1, 1970.3", \ + " 421.4, 484.6, 621.6, 846.3, 1206.9, 2043.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 30.5, 53.3, 102.9, 189.2, 371.6", \ + " 28.2, 36.9, 59.9, 109.8, 196.1, 378.6", \ + " 31.1, 41.8, 64.9, 114.7, 201.1, 383.6", \ + " 33.6, 46.1, 71.5, 121.0, 207.2, 389.7", \ + " 35.9, 51.0, 81.4, 131.1, 216.6, 398.6", \ + " 37.1, 55.0, 90.6, 145.3, 228.7, 409.3", \ + " 36.6, 57.8, 99.5, 161.3, 247.2, 424.3", \ + " 33.0, 58.2, 107.1, 176.5, 272.8, 447.2", \ + " 24.4, 54.4, 112.4, 190.5, 298.3, 486.0", \ + " 7.5, 43.3, 112.6, 201.7, 322.0, 533.4" ); } +fall_transition(x3_390_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 43.7, 76.0, 153.7, 319.3, 612.1, 1236.1", \ + " 50.0, 80.8, 156.3, 319.8, 612.0, 1236.0", \ + " 57.4, 87.4, 161.5, 322.8, 612.7, 1235.9", \ + " 69.8, 97.7, 170.0, 328.5, 615.6, 1236.0", \ + " 85.2, 118.2, 187.3, 340.6, 622.9, 1238.8", \ + " 106.3, 144.2, 214.6, 361.4, 636.2, 1245.6", \ + " 139.2, 178.7, 258.7, 397.9, 661.8, 1260.1", \ + " 187.3, 229.5, 313.7, 456.5, 708.4, 1289.2", \ + " 259.3, 304.5, 393.6, 546.3, 789.0, 1346.7", \ + " 372.1, 415.5, 511.7, 671.1, 921.8, 1453.4" ); }} +timing() { /* ring osc delay mxi2v2x3, path s to z 84.2 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 59.1 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 1.08 ; */ +/* fall_resistance : 0.80 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 52.8, 75.3, 132.7, 241.1, 476.1", \ + " 52.4, 60.5, 83.3, 140.4, 248.3, 482.8", \ + " 58.2, 66.4, 89.3, 146.2, 253.6, 487.5", \ + " 65.8, 74.1, 96.9, 153.4, 260.3, 493.7", \ + " 79.1, 87.4, 109.0, 164.7, 270.8, 503.2", \ + " 91.1, 100.9, 125.4, 179.3, 283.9, 515.1", \ + " 101.2, 112.6, 140.8, 200.6, 302.5, 531.1", \ + " 108.7, 121.4, 152.5, 220.7, 329.7, 554.0", \ + " 112.7, 126.6, 159.8, 233.4, 360.0, 589.3", \ + " 110.6, 125.8, 161.2, 237.9, 375.7, 640.9" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 123.6, 153.6, 242.7, 455.4, 844.3, 1678.8", \ + " 123.1, 152.9, 240.1, 452.8, 843.5, 1678.8", \ + " 124.4, 154.2, 240.7, 451.1, 842.4, 1678.7", \ + " 127.0, 156.8, 242.7, 450.9, 840.2, 1678.5", \ + " 131.5, 162.2, 247.5, 453.4, 839.1, 1677.3", \ + " 133.8, 167.4, 256.4, 460.8, 842.9, 1675.1", \ + " 139.7, 172.6, 266.5, 477.4, 855.3, 1680.1", \ + " 147.7, 181.7, 275.7, 500.7, 881.8, 1697.8", \ + " 159.7, 194.4, 289.9, 515.6, 925.6, 1737.2", \ + " 177.8, 213.0, 309.0, 536.4, 958.1, 1810.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 68.8, 87.1, 129.0, 208.8, 386.7", \ + " 69.2, 76.5, 95.0, 137.1, 216.8, 394.6", \ + " 75.7, 83.0, 101.6, 143.6, 223.2, 400.8", \ + " 84.7, 92.2, 110.9, 152.7, 232.0, 409.1", \ + " 100.2, 108.1, 127.0, 168.6, 247.2, 423.5", \ + " 121.5, 129.7, 148.7, 190.0, 267.5, 442.5", \ + " 145.9, 155.5, 177.0, 219.2, 295.7, 468.7", \ + " 175.3, 186.0, 209.7, 255.5, 333.7, 504.6", \ + " 213.1, 225.0, 250.9, 299.4, 382.1, 555.8", \ + " 263.3, 276.5, 305.0, 356.5, 441.6, 624.8" ); } +fall_transition(x3_390_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 121.3, 140.6, 186.1, 295.3, 522.3, 1032.9", \ + " 114.2, 132.1, 181.2, 294.1, 522.1, 1032.9", \ + " 111.8, 129.3, 177.1, 293.6, 522.1, 1032.9", \ + " 110.9, 128.1, 174.8, 292.8, 522.1, 1032.9", \ + " 112.2, 129.4, 175.2, 291.5, 522.3, 1032.9", \ + " 114.1, 133.3, 180.2, 295.2, 522.6, 1033.0", \ + " 118.4, 138.3, 188.1, 305.1, 528.3, 1032.8", \ + " 125.2, 146.6, 198.5, 317.6, 541.8, 1038.9", \ + " 136.4, 158.9, 212.8, 332.2, 559.3, 1056.8", \ + " 153.6, 177.1, 232.9, 353.0, 577.9, 1084.7" ); }} +} +} +cell(mxi2v2x4) { /* 2008-01-06:07h37 characteristic delay 13.1 ps */ +area : 28 ; /* tracks */ +cell_leakage_power : 4412 ; /* mxi2v2x4 */ +cell_footprint : mxi2 ; +pin(a0) { /* mxi2v2x4 FO4 effort 1.47 logical effort 1.39 */ +direction : input ; +capacitance : 18.90 ; +rise_capacitance : 18.34 ; +fall_capacitance : 19.46 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxi2v2x4 */ +} +pin(a1) { /* mxi2v2x4 FO4 effort 1.46 logical effort 1.35 */ +direction : input ; +capacitance : 18.60 ; +rise_capacitance : 18.16 ; +fall_capacitance : 19.03 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxi2v2x4 */ +} +pin(s) { /* mxi2v2x4 FO4 effort 1.54 logical effort 1.86 */ +direction : input ; +capacitance : 20.75 ; +rise_capacitance : 20.12 ; +fall_capacitance : 21.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxi2v2x4 */ +internal_power(s) { /* mxi2v2x4 27.85 nW/MHz */ +power(pwr_intran_x10) { +values( " 13.93, 13.61, 13.68, 13.93, 14.62, 15.88, 18.09, 21.72, 27.64, 37.13" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 429 ; +max_fanout : 10 ; +function : "((a0*s')+(a1*s))'" ; +internal_power(a0_z_n) { /* mxi2v2x4 71.34 nW/MHz */ +related_pin : "a0" ; +power(pwr_x4_520_5x10) { +values( " 34.95, 35.01, 35.07, 35.09, 35.06", \ + " 34.49, 34.61, 34.80, 34.93, 34.97", \ + " 34.78, 34.88, 35.06, 35.23, 35.30", \ + " 35.53, 35.56, 35.67, 35.82, 35.89", \ + " 37.40, 37.27, 37.16, 37.14, 37.16", \ + " 40.78, 40.40, 39.87, 39.47, 39.27", \ + " 46.73, 45.97, 44.76, 43.61, 42.88", \ + " 56.54, 55.27, 53.06, 50.65, 48.92", \ + " 72.56, 70.64, 67.04, 62.69, 59.23", \ + " 98.33, 95.67, 90.32, 83.16, 76.87" ); }} +internal_power(a1_z_n) { /* mxi2v2x4 71.74 nW/MHz */ +related_pin : "a1" ; +power(pwr_x4_520_5x10) { +values( " 35.15, 35.22, 35.28, 35.30, 35.26", \ + " 34.71, 34.84, 35.02, 35.15, 35.19", \ + " 35.00, 35.10, 35.28, 35.44, 35.51", \ + " 35.74, 35.77, 35.87, 36.01, 36.09", \ + " 37.58, 37.45, 37.33, 37.31, 37.32", \ + " 40.91, 40.53, 40.00, 39.60, 39.39", \ + " 46.76, 46.01, 44.81, 43.66, 42.93", \ + " 56.44, 55.17, 52.97, 50.58, 48.86", \ + " 72.28, 70.37, 66.77, 62.44, 59.00", \ + " 97.80, 95.14, 89.79, 82.64, 76.39" ); }} +internal_power(s_z_n) { /* mxi2v2x4 47.85 nW/MHz */ +related_pin : "s" ; +power(pwr_x4_520_5x10) { +values( " 9.09, 9.73, 10.44, 10.88, 11.04", \ + " 8.63, 9.13, 9.96, 10.68, 11.06", \ + " 8.91, 9.21, 9.85, 10.56, 10.98", \ + " 9.63, 9.67, 9.99, 10.53, 10.93", \ + " 11.38, 11.01, 10.74, 10.83, 11.03", \ + " 14.50, 13.61, 12.53, 11.83, 11.56", \ + " 19.86, 18.32, 16.11, 14.18, 13.02", \ + " 28.38, 26.13, 22.49, 18.83, 16.21", \ + " 41.77, 38.77, 33.44, 27.45, 22.61", \ + " 62.56, 58.81, 51.64, 42.70, 34.71" ); }} +internal_power(s_z_p) { /* mxi2v2x4 72.33 nW/MHz */ +related_pin : "s" ; +power(pwr_x4_520_5x10) { +values( " 22.56, 22.00, 20.73, 19.11, 17.85", \ + " 22.81, 22.20, 21.03, 19.51, 18.26", \ + " 23.42, 22.73, 21.49, 19.92, 18.59", \ + " 24.39, 23.61, 22.23, 20.51, 19.04", \ + " 26.28, 25.33, 23.68, 21.65, 19.88", \ + " 29.19, 28.00, 25.96, 23.44, 21.21", \ + " 33.82, 32.30, 29.68, 26.43, 23.47", \ + " 40.90, 38.96, 35.58, 31.31, 27.28", \ + " 51.95, 49.42, 44.97, 39.33, 33.80", \ + " 69.59, 66.23, 60.07, 52.44, 44.89" ); }} +timing() { /* ring osc delay mxi2v2x4, path a0 to z 62.3 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 53.0 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 45.7, 68.7, 119.8, 210.2, 402.2", \ + " 44.9, 53.6, 76.6, 127.7, 218.1, 410.0", \ + " 51.3, 60.0, 82.8, 133.7, 224.1, 416.0", \ + " 59.1, 68.5, 91.2, 141.9, 232.2, 424.1", \ + " 70.1, 81.0, 105.9, 156.3, 246.4, 438.2", \ + " 83.2, 95.5, 123.9, 177.0, 266.7, 458.4", \ + " 99.9, 113.8, 146.2, 206.2, 297.3, 488.7", \ + " 121.2, 137.0, 173.6, 241.8, 341.3, 533.1", \ + " 149.1, 167.2, 209.1, 286.5, 399.3, 599.0", \ + " 186.3, 207.3, 255.6, 344.1, 472.5, 695.3" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.3, 118.5, 194.1, 369.3, 684.2, 1355.7", \ + " 96.2, 122.1, 195.7, 369.6, 684.3, 1355.7", \ + " 102.2, 127.4, 199.3, 370.7, 684.4, 1355.8", \ + " 111.3, 135.7, 205.8, 374.3, 685.0, 1355.8", \ + " 128.5, 151.7, 219.3, 383.8, 689.4, 1356.0", \ + " 153.5, 175.8, 240.7, 400.7, 700.7, 1358.8", \ + " 184.6, 209.9, 274.4, 429.5, 723.0, 1370.8", \ + " 226.1, 253.3, 323.4, 474.9, 761.4, 1397.7", \ + " 284.3, 313.3, 388.2, 546.2, 824.7, 1448.3", \ + " 367.3, 398.9, 478.9, 647.9, 926.6, 1536.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 43.4, 61.7, 101.1, 170.3, 317.1", \ + " 43.0, 50.3, 68.6, 108.2, 177.4, 324.2", \ + " 47.7, 55.2, 73.8, 113.5, 182.8, 329.6", \ + " 52.5, 60.9, 80.2, 120.3, 189.9, 336.8", \ + " 58.3, 68.0, 89.8, 131.6, 201.9, 349.3", \ + " 63.6, 74.8, 100.0, 146.2, 218.1, 366.6", \ + " 68.2, 81.3, 110.7, 163.5, 241.0, 391.6", \ + " 71.0, 86.3, 120.9, 182.1, 269.7, 426.5", \ + " 70.4, 88.5, 129.3, 201.3, 302.0, 475.1", \ + " 63.9, 85.1, 133.4, 219.1, 336.9, 534.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.3, 80.0, 131.6, 248.1, 457.6, 905.0", \ + " 64.8, 83.6, 133.7, 248.9, 457.7, 905.0", \ + " 70.5, 88.7, 137.6, 250.5, 458.1, 905.1", \ + " 79.0, 96.5, 144.2, 255.0, 459.4, 905.1", \ + " 94.9, 111.6, 157.3, 265.4, 465.5, 905.8", \ + " 115.0, 132.8, 177.9, 283.0, 478.8, 911.3", \ + " 143.3, 162.0, 209.8, 312.2, 503.4, 927.2", \ + " 182.7, 202.5, 252.7, 359.1, 544.2, 958.9", \ + " 238.4, 260.2, 313.8, 425.8, 611.5, 1015.2", \ + " 317.7, 342.4, 401.6, 520.5, 716.1, 1110.7" ); }} +timing() { /* ring osc delay mxi2v2x4, path a0 to z 62.3 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 53.0 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.7, 45.6, 68.7, 119.8, 210.2, 402.1", \ + " 44.8, 53.6, 76.6, 127.6, 218.0, 410.0", \ + " 51.3, 60.0, 82.8, 133.7, 224.0, 416.0", \ + " 59.1, 68.5, 91.2, 141.9, 232.1, 424.1", \ + " 70.1, 80.9, 105.9, 156.3, 246.3, 438.2", \ + " 83.2, 95.5, 123.9, 177.0, 266.7, 458.4", \ + " 99.9, 113.8, 146.2, 206.2, 297.2, 488.6", \ + " 121.2, 137.0, 173.6, 241.8, 341.3, 533.0", \ + " 149.1, 167.2, 209.1, 286.4, 399.3, 599.0", \ + " 186.3, 207.3, 255.6, 344.1, 472.5, 695.3" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.3, 118.5, 194.1, 369.2, 684.2, 1355.7", \ + " 96.2, 122.1, 195.6, 369.6, 684.2, 1355.7", \ + " 102.3, 127.4, 199.3, 370.7, 684.4, 1355.7", \ + " 111.4, 135.7, 205.8, 374.3, 685.0, 1355.7", \ + " 128.5, 151.7, 219.3, 383.7, 689.4, 1356.0", \ + " 153.5, 175.8, 240.7, 400.7, 700.6, 1358.8", \ + " 184.6, 209.9, 274.4, 429.5, 723.0, 1370.8", \ + " 226.2, 253.3, 323.4, 474.8, 761.4, 1397.7", \ + " 284.3, 313.3, 388.2, 546.2, 824.6, 1448.3", \ + " 367.3, 398.9, 478.9, 647.9, 926.6, 1536.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 43.5, 61.7, 101.1, 170.3, 317.1", \ + " 43.0, 50.3, 68.7, 108.2, 177.4, 324.2", \ + " 47.7, 55.2, 73.8, 113.5, 182.8, 329.6", \ + " 52.5, 60.9, 80.2, 120.3, 189.9, 336.9", \ + " 58.3, 68.0, 89.9, 131.6, 201.9, 349.3", \ + " 63.6, 74.8, 100.0, 146.3, 218.2, 366.6", \ + " 68.2, 81.3, 110.7, 163.5, 241.0, 391.7", \ + " 71.0, 86.3, 120.9, 182.1, 269.7, 426.5", \ + " 70.4, 88.5, 129.3, 201.3, 302.0, 475.1", \ + " 63.9, 85.1, 133.4, 219.1, 336.9, 534.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.5, 80.2, 131.7, 248.2, 457.6, 905.1", \ + " 64.9, 83.7, 133.8, 248.9, 457.8, 905.1", \ + " 70.6, 88.8, 137.7, 250.6, 458.1, 905.1", \ + " 79.1, 96.6, 144.3, 255.1, 459.4, 905.2", \ + " 95.0, 111.6, 157.4, 265.5, 465.5, 905.9", \ + " 115.0, 132.9, 178.0, 283.0, 478.9, 911.4", \ + " 143.4, 162.0, 209.8, 312.3, 503.5, 927.3", \ + " 182.7, 202.5, 252.8, 359.1, 544.3, 959.0", \ + " 238.4, 260.2, 313.8, 425.8, 611.6, 1015.3", \ + " 317.7, 342.4, 401.6, 520.5, 716.1, 1110.7" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.3 ; */ +/* intrinsic_fall : 53.0 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 45.7, 68.7, 119.8, 210.2, 402.2", \ + " 44.9, 53.6, 76.6, 127.7, 218.1, 410.0", \ + " 51.3, 60.0, 82.8, 133.7, 224.1, 416.0", \ + " 59.1, 68.5, 91.2, 141.9, 232.2, 424.1", \ + " 70.1, 81.0, 105.9, 156.3, 246.4, 438.2", \ + " 83.2, 95.5, 123.9, 177.0, 266.7, 458.4", \ + " 99.9, 113.8, 146.2, 206.2, 297.3, 488.7", \ + " 121.2, 137.0, 173.6, 241.8, 341.3, 533.1", \ + " 149.1, 167.2, 209.1, 286.5, 399.3, 599.0", \ + " 186.3, 207.3, 255.6, 344.1, 472.5, 695.3" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.3, 118.5, 194.1, 369.3, 684.2, 1355.7", \ + " 96.2, 122.1, 195.7, 369.6, 684.3, 1355.7", \ + " 102.2, 127.4, 199.3, 370.7, 684.4, 1355.8", \ + " 111.3, 135.7, 205.8, 374.3, 685.0, 1355.8", \ + " 128.5, 151.7, 219.3, 383.8, 689.4, 1356.0", \ + " 153.5, 175.8, 240.7, 400.7, 700.7, 1358.8", \ + " 184.6, 209.9, 274.4, 429.5, 723.0, 1370.8", \ + " 226.1, 253.3, 323.4, 474.9, 761.4, 1397.7", \ + " 284.3, 313.3, 388.2, 546.2, 824.7, 1448.3", \ + " 367.3, 398.9, 478.9, 647.9, 926.6, 1536.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 43.4, 61.7, 101.1, 170.3, 317.1", \ + " 43.0, 50.3, 68.6, 108.2, 177.4, 324.2", \ + " 47.7, 55.2, 73.8, 113.5, 182.8, 329.6", \ + " 52.5, 60.9, 80.2, 120.3, 189.9, 336.8", \ + " 58.3, 68.0, 89.8, 131.6, 201.9, 349.3", \ + " 63.6, 74.8, 100.0, 146.2, 218.1, 366.6", \ + " 68.2, 81.3, 110.7, 163.5, 241.0, 391.6", \ + " 71.0, 86.3, 120.9, 182.1, 269.7, 426.5", \ + " 70.4, 88.5, 129.3, 201.3, 302.0, 475.1", \ + " 63.9, 85.1, 133.4, 219.1, 336.9, 534.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.3, 80.0, 131.6, 248.1, 457.6, 905.0", \ + " 64.8, 83.6, 133.7, 248.9, 457.7, 905.0", \ + " 70.5, 88.7, 137.6, 250.5, 458.1, 905.1", \ + " 79.0, 96.5, 144.2, 255.0, 459.4, 905.1", \ + " 94.9, 111.6, 157.3, 265.4, 465.5, 905.8", \ + " 115.0, 132.8, 177.9, 283.0, 478.8, 911.3", \ + " 143.3, 162.0, 209.8, 312.2, 503.4, 927.2", \ + " 182.7, 202.5, 252.7, 359.1, 544.2, 958.9", \ + " 238.4, 260.2, 313.8, 425.8, 611.5, 1015.2", \ + " 317.7, 342.4, 401.6, 520.5, 716.1, 1110.7" ); }} +timing() { /* ring osc delay mxi2v2x4, path a1 to z 62.6 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 51.0 ; */ +/* rise_resistance : 0.70 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.9, 48.3, 71.5, 121.7, 210.3, 398.4", \ + " 46.8, 56.1, 79.3, 129.5, 218.1, 406.2", \ + " 52.8, 62.2, 85.3, 135.5, 224.1, 412.2", \ + " 60.2, 70.1, 93.5, 143.6, 232.2, 420.2", \ + " 70.7, 81.9, 107.4, 157.8, 246.2, 434.2", \ + " 83.3, 95.8, 124.7, 177.9, 266.4, 454.3", \ + " 99.3, 113.4, 146.1, 206.1, 296.4, 484.4", \ + " 119.7, 135.7, 172.5, 240.6, 339.5, 528.4", \ + " 146.3, 164.6, 206.6, 283.8, 396.0, 593.6", \ + " 181.7, 202.8, 251.2, 339.6, 467.2, 688.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.3, 123.2, 199.1, 372.7, 683.8, 1346.7", \ + " 100.0, 126.7, 200.7, 373.1, 683.9, 1346.7", \ + " 105.8, 131.8, 204.3, 374.3, 684.1, 1346.7", \ + " 114.5, 139.8, 210.6, 378.0, 684.8, 1346.8", \ + " 130.9, 155.1, 223.8, 387.4, 689.4, 1347.1", \ + " 155.3, 178.3, 244.5, 404.2, 700.8, 1350.2", \ + " 186.2, 212.0, 277.4, 432.6, 723.3, 1362.7", \ + " 227.8, 255.3, 325.9, 477.4, 761.6, 1390.0", \ + " 286.3, 315.6, 390.8, 548.5, 824.8, 1441.2", \ + " 370.0, 401.8, 481.9, 650.3, 927.0, 1529.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 40.0, 58.1, 97.7, 167.6, 316.0", \ + " 40.2, 47.3, 65.3, 104.9, 174.8, 323.2", \ + " 45.6, 52.8, 70.8, 110.4, 180.4, 328.8", \ + " 51.0, 59.2, 77.9, 117.7, 187.7, 336.1", \ + " 57.6, 67.2, 88.8, 129.9, 200.3, 349.0", \ + " 63.6, 74.9, 100.1, 146.0, 217.6, 366.9", \ + " 69.2, 82.3, 112.0, 164.9, 241.9, 393.0", \ + " 73.1, 88.6, 123.4, 185.2, 272.7, 429.5", \ + " 74.2, 92.3, 133.3, 206.2, 307.5, 480.7", \ + " 69.9, 91.1, 139.7, 226.1, 345.2, 543.5" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.3, 76.2, 126.1, 242.3, 451.9, 900.0", \ + " 62.9, 79.8, 128.0, 242.8, 452.1, 900.0", \ + " 69.2, 85.4, 132.1, 244.4, 452.3, 900.0", \ + " 78.6, 94.1, 139.3, 248.9, 453.5, 900.0", \ + " 95.5, 110.6, 153.7, 260.0, 459.7, 900.6", \ + " 116.5, 133.0, 176.0, 278.7, 473.5, 906.0", \ + " 145.4, 163.2, 209.3, 309.6, 499.1, 922.2", \ + " 185.2, 204.3, 253.5, 358.2, 541.5, 954.7", \ + " 241.2, 262.4, 315.3, 426.5, 610.6, 1012.4", \ + " 320.6, 345.0, 403.8, 522.4, 717.4, 1110.0" ); }} +timing() { /* ring osc delay mxi2v2x4, path a1 to z 62.6 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 51.0 ; */ +/* rise_resistance : 0.70 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.9, 48.3, 71.5, 121.7, 210.3, 398.4", \ + " 46.8, 56.1, 79.3, 129.5, 218.2, 406.2", \ + " 52.8, 62.2, 85.3, 135.5, 224.1, 412.2", \ + " 60.2, 70.1, 93.5, 143.6, 232.2, 420.2", \ + " 70.7, 81.9, 107.4, 157.8, 246.2, 434.2", \ + " 83.3, 95.8, 124.7, 177.9, 266.4, 454.3", \ + " 99.3, 113.4, 146.1, 206.1, 296.4, 484.4", \ + " 119.7, 135.7, 172.5, 240.6, 339.5, 528.4", \ + " 146.4, 164.6, 206.6, 283.8, 396.0, 593.6", \ + " 181.7, 202.8, 251.3, 339.6, 467.2, 688.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.3, 123.2, 199.1, 372.7, 683.8, 1346.7", \ + " 100.0, 126.7, 200.7, 373.2, 683.9, 1346.7", \ + " 105.8, 131.8, 204.3, 374.3, 684.1, 1346.8", \ + " 114.6, 139.8, 210.6, 378.0, 684.8, 1346.8", \ + " 130.9, 155.1, 223.8, 387.4, 689.4, 1347.1", \ + " 155.3, 178.3, 244.5, 404.2, 700.8, 1350.2", \ + " 186.2, 212.0, 277.4, 432.6, 723.3, 1362.7", \ + " 227.8, 255.3, 325.9, 477.4, 761.6, 1390.0", \ + " 286.3, 315.6, 390.8, 548.5, 824.8, 1441.2", \ + " 370.0, 401.8, 481.9, 650.3, 927.0, 1529.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 40.0, 58.1, 97.7, 167.6, 316.0", \ + " 40.2, 47.3, 65.3, 104.9, 174.8, 323.2", \ + " 45.6, 52.8, 70.8, 110.4, 180.4, 328.8", \ + " 51.0, 59.2, 77.9, 117.7, 187.7, 336.1", \ + " 57.6, 67.2, 88.8, 129.9, 200.3, 349.0", \ + " 63.6, 74.9, 100.1, 146.0, 217.6, 366.9", \ + " 69.2, 82.3, 112.0, 164.9, 241.9, 393.0", \ + " 73.1, 88.5, 123.4, 185.2, 272.7, 429.5", \ + " 74.2, 92.3, 133.3, 206.2, 307.5, 480.6", \ + " 69.9, 91.1, 139.7, 226.1, 345.2, 543.5" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.3, 76.2, 126.1, 242.3, 451.9, 900.0", \ + " 62.9, 79.8, 128.0, 242.8, 452.1, 900.0", \ + " 69.2, 85.4, 132.1, 244.4, 452.3, 900.0", \ + " 78.5, 94.1, 139.3, 248.9, 453.5, 900.0", \ + " 95.5, 110.6, 153.7, 260.0, 459.7, 900.6", \ + " 116.5, 133.0, 176.0, 278.7, 473.5, 906.0", \ + " 145.4, 163.2, 209.3, 309.6, 499.1, 922.2", \ + " 185.2, 204.3, 253.5, 358.2, 541.5, 954.7", \ + " 241.2, 262.4, 315.3, 426.5, 610.6, 1012.4", \ + " 320.6, 345.0, 403.8, 522.4, 717.4, 1110.0" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 59.6 ; */ +/* intrinsic_fall : 51.0 ; */ +/* rise_resistance : 0.70 ; */ +/* fall_resistance : 0.55 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.9, 48.3, 71.5, 121.7, 210.3, 398.4", \ + " 46.8, 56.1, 79.3, 129.5, 218.1, 406.2", \ + " 52.8, 62.2, 85.3, 135.5, 224.1, 412.2", \ + " 60.2, 70.1, 93.5, 143.6, 232.2, 420.2", \ + " 70.7, 81.9, 107.4, 157.8, 246.2, 434.2", \ + " 83.3, 95.8, 124.7, 177.9, 266.4, 454.3", \ + " 99.3, 113.4, 146.1, 206.1, 296.4, 484.4", \ + " 119.7, 135.7, 172.5, 240.6, 339.5, 528.4", \ + " 146.3, 164.6, 206.6, 283.8, 396.0, 593.6", \ + " 181.7, 202.8, 251.2, 339.6, 467.2, 688.2" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.3, 123.2, 199.1, 372.7, 683.8, 1346.7", \ + " 100.0, 126.7, 200.7, 373.1, 683.9, 1346.7", \ + " 105.8, 131.8, 204.3, 374.3, 684.1, 1346.7", \ + " 114.5, 139.8, 210.6, 378.0, 684.8, 1346.8", \ + " 130.9, 155.1, 223.8, 387.4, 689.4, 1347.1", \ + " 155.3, 178.3, 244.5, 404.2, 700.8, 1350.2", \ + " 186.2, 212.0, 277.4, 432.6, 723.3, 1362.7", \ + " 227.8, 255.3, 325.9, 477.4, 761.6, 1390.0", \ + " 286.3, 315.6, 390.8, 548.5, 824.8, 1441.2", \ + " 370.0, 401.8, 481.9, 650.3, 927.0, 1529.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 40.0, 58.1, 97.7, 167.6, 316.0", \ + " 40.2, 47.3, 65.3, 104.9, 174.8, 323.2", \ + " 45.6, 52.8, 70.8, 110.4, 180.4, 328.8", \ + " 51.0, 59.2, 77.9, 117.7, 187.7, 336.1", \ + " 57.6, 67.2, 88.8, 129.9, 200.3, 349.0", \ + " 63.6, 74.9, 100.1, 146.0, 217.6, 366.9", \ + " 69.2, 82.3, 112.0, 164.9, 241.9, 393.0", \ + " 73.1, 88.6, 123.4, 185.2, 272.7, 429.5", \ + " 74.2, 92.3, 133.3, 206.2, 307.5, 480.7", \ + " 69.9, 91.1, 139.7, 226.1, 345.2, 543.5" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.3, 76.2, 126.1, 242.3, 451.9, 900.0", \ + " 62.9, 79.8, 128.0, 242.8, 452.1, 900.0", \ + " 69.2, 85.4, 132.1, 244.4, 452.3, 900.0", \ + " 78.6, 94.1, 139.3, 248.9, 453.5, 900.0", \ + " 95.5, 110.6, 153.7, 260.0, 459.7, 900.6", \ + " 116.5, 133.0, 176.0, 278.7, 473.5, 906.0", \ + " 145.4, 163.2, 209.3, 309.6, 499.1, 922.2", \ + " 185.2, 204.3, 253.5, 358.2, 541.5, 954.7", \ + " 241.2, 262.4, 315.3, 426.5, 610.6, 1012.4", \ + " 320.6, 345.0, 403.8, 522.4, 717.4, 1110.0" ); }} +timing() { /* ring osc delay mxi2v2x4, path s to z 49.2 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.1 ; */ +/* intrinsic_fall : 36.6 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.57 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 40.4, 66.8, 118.7, 209.7, 402.2", \ + " 35.5, 46.5, 73.3, 125.4, 216.6, 409.2", \ + " 41.0, 52.1, 78.8, 131.0, 222.1, 414.7", \ + " 46.6, 59.7, 86.6, 138.5, 229.5, 422.1", \ + " 55.1, 70.1, 100.7, 151.6, 242.0, 434.3", \ + " 66.0, 82.8, 117.6, 169.9, 258.7, 450.1", \ + " 80.6, 99.7, 139.0, 195.4, 282.6, 471.3", \ + " 99.8, 121.9, 166.6, 226.9, 317.6, 500.8", \ + " 125.8, 151.6, 203.4, 268.5, 363.5, 547.0", \ + " 161.6, 192.1, 253.4, 325.3, 424.7, 618.1" ); } +rise_transition(x4_520_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 76.8, 118.7, 216.6, 419.7, 779.7, 1548.7", \ + " 82.3, 122.4, 218.6, 419.9, 779.8, 1548.7", \ + " 89.4, 128.6, 223.5, 422.6, 779.9, 1548.7", \ + " 100.3, 138.3, 231.7, 428.2, 782.5, 1548.6", \ + " 121.9, 157.4, 248.3, 440.2, 789.6, 1550.6", \ + " 152.5, 187.4, 274.4, 460.0, 802.3, 1556.2", \ + " 181.8, 231.5, 316.2, 493.2, 824.8, 1566.8", \ + " 230.0, 279.8, 382.3, 547.6, 864.9, 1586.7", \ + " 304.9, 354.9, 464.7, 636.5, 936.9, 1628.8", \ + " 419.7, 470.1, 583.6, 772.9, 1061.6, 1717.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.4, 28.5, 47.2, 87.9, 158.2, 306.6", \ + " 27.6, 34.9, 53.8, 94.7, 165.1, 313.6", \ + " 30.4, 39.4, 58.8, 99.6, 170.1, 318.6", \ + " 32.7, 43.3, 65.5, 105.9, 176.3, 324.6", \ + " 34.9, 47.7, 74.2, 116.4, 185.8, 333.7", \ + " 36.1, 51.1, 82.3, 130.1, 198.6, 344.8", \ + " 35.4, 53.3, 90.0, 144.3, 218.1, 360.7", \ + " 31.8, 53.0, 96.4, 157.7, 240.6, 385.6", \ + " 23.3, 48.6, 100.2, 170.2, 262.6, 424.0", \ + " 6.7, 36.8, 98.7, 179.9, 283.2, 464.1" ); } +fall_transition(x4_520_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 41.6, 68.2, 132.4, 267.9, 506.2, 1013.7", \ + " 48.0, 73.3, 135.5, 268.8, 506.1, 1013.7", \ + " 55.4, 80.1, 141.0, 272.4, 507.5, 1013.6", \ + " 68.2, 90.4, 149.9, 278.7, 511.2, 1014.3", \ + " 82.6, 112.0, 167.9, 292.1, 519.7, 1018.2", \ + " 103.5, 135.3, 196.0, 314.8, 535.1, 1026.5", \ + " 136.2, 169.2, 238.3, 353.3, 564.0, 1043.5", \ + " 184.0, 219.5, 292.2, 414.3, 614.9, 1077.1", \ + " 255.6, 293.8, 371.0, 501.0, 700.0, 1141.5", \ + " 369.2, 404.5, 487.5, 624.3, 834.6, 1256.9" ); }} +timing() { /* ring osc delay mxi2v2x4, path s to z 81.9 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 59.8 ; */ +/* intrinsic_fall : 80.3 ; */ +/* rise_resistance : 0.63 ; */ +/* fall_resistance : 0.48 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.2, 50.3, 67.6, 111.8, 197.0, 382.9", \ + " 51.5, 57.9, 75.6, 119.8, 204.5, 389.9", \ + " 57.3, 63.8, 81.7, 125.7, 210.0, 394.9", \ + " 65.0, 71.6, 89.4, 133.2, 217.0, 401.3", \ + " 78.4, 85.1, 101.9, 144.9, 227.9, 411.3", \ + " 90.5, 98.5, 118.1, 160.1, 241.7, 423.7", \ + " 101.1, 110.2, 132.8, 181.1, 261.3, 440.8", \ + " 109.3, 119.6, 144.4, 198.6, 289.2, 465.5", \ + " 114.6, 125.9, 152.5, 210.4, 312.9, 503.3", \ + " 114.6, 126.9, 155.6, 216.0, 324.8, 545.8" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.3, 143.5, 212.2, 379.5, 685.4, 1346.9", \ + " 120.0, 143.1, 210.5, 376.2, 684.3, 1346.8", \ + " 121.4, 144.5, 211.6, 375.4, 683.0, 1346.6", \ + " 124.3, 147.5, 214.1, 376.1, 681.2, 1345.9", \ + " 129.2, 153.2, 219.6, 379.6, 681.6, 1343.6", \ + " 131.9, 157.8, 228.4, 387.9, 686.8, 1343.6", \ + " 138.2, 163.9, 236.0, 404.5, 701.0, 1351.2", \ + " 146.5, 173.1, 246.2, 422.0, 728.7, 1371.8", \ + " 158.8, 186.1, 260.5, 436.3, 764.0, 1414.1", \ + " 177.4, 205.2, 280.0, 457.0, 785.5, 1483.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.3, 65.0, 79.7, 113.1, 176.4, 318.5", \ + " 66.9, 72.7, 87.7, 121.2, 184.4, 326.4", \ + " 73.3, 79.3, 94.3, 127.8, 190.9, 332.7", \ + " 82.3, 88.4, 103.5, 136.9, 199.8, 341.1", \ + " 97.8, 104.1, 119.6, 152.8, 215.0, 355.6", \ + " 118.5, 125.3, 141.1, 174.1, 235.5, 374.8", \ + " 142.1, 150.0, 168.1, 203.1, 263.8, 401.2", \ + " 170.3, 179.3, 199.2, 237.3, 301.4, 437.7", \ + " 206.6, 216.5, 238.4, 279.0, 346.6, 489.0", \ + " 254.4, 265.4, 289.6, 333.2, 403.0, 552.5" ); } +fall_transition(x4_520_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 116.6, 132.3, 170.8, 256.2, 436.1, 848.4", \ + " 109.7, 124.2, 163.5, 254.6, 435.8, 848.4", \ + " 107.4, 121.6, 159.9, 253.6, 435.7, 848.4", \ + " 106.5, 120.5, 158.0, 251.7, 435.7, 848.4", \ + " 107.8, 121.9, 158.9, 251.1, 435.5, 848.5", \ + " 109.6, 125.3, 164.1, 255.8, 436.9, 848.6", \ + " 114.0, 130.5, 171.0, 266.1, 444.7, 849.7", \ + " 121.0, 138.7, 181.5, 277.1, 459.4, 859.2", \ + " 132.5, 151.1, 195.6, 292.5, 474.8, 880.1", \ + " 149.9, 169.3, 215.6, 313.3, 494.4, 904.6" ); }} +} +} +cell(mxn2v0x05) { /* 2008-01-06:07h37 characteristic delay 14.1 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 762 ; /* mxn2v0x05 */ +cell_footprint : mxn2 ; +pin(a0) { /* mxn2v0x05 FO4 effort 2.37 */ +direction : input ; +capacitance : 2.61 ; +rise_capacitance : 2.65 ; +fall_capacitance : 2.57 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxn2v0x05 */ +} +pin(a1) { /* mxn2v0x05 FO4 effort 2.27 */ +direction : input ; +capacitance : 2.34 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxn2v0x05 */ +} +pin(s) { /* mxn2v0x05 FO4 effort 2.98 logical effort 1.64 */ +direction : input ; +capacitance : 3.61 ; +rise_capacitance : 3.73 ; +fall_capacitance : 3.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxn2v0x05 */ +internal_power(s) { /* mxn2v0x05 5.98 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.02, 2.93, 2.94, 2.99, 3.13, 3.39, 3.84, 4.58, 5.77, 7.67" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "((a0*s')+(a1*s))" ; +internal_power(a0_z_p) { /* mxn2v0x05 14.65 nW/MHz */ +related_pin : "a0" ; +power(pwr_x05_113_5x10) { +values( " 7.49, 7.49, 7.55, 7.60, 7.62", \ + " 7.36, 7.36, 7.42, 7.47, 7.49", \ + " 7.31, 7.31, 7.36, 7.42, 7.44", \ + " 7.29, 7.28, 7.33, 7.38, 7.41", \ + " 7.33, 7.30, 7.34, 7.39, 7.42", \ + " 7.51, 7.46, 7.47, 7.51, 7.54", \ + " 7.92, 7.83, 7.81, 7.83, 7.86", \ + " 8.71, 8.58, 8.50, 8.50, 8.52", \ + " 10.10, 9.91, 9.76, 9.71, 9.71", \ + " 12.39, 12.13, 11.89, 11.77, 11.73" ); }} +internal_power(a1_z_p) { /* mxn2v0x05 14.58 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_113_5x10) { +values( " 7.36, 7.36, 7.43, 7.48, 7.50", \ + " 7.28, 7.28, 7.34, 7.40, 7.42", \ + " 7.25, 7.25, 7.31, 7.37, 7.39", \ + " 7.25, 7.24, 7.29, 7.35, 7.38", \ + " 7.31, 7.28, 7.32, 7.37, 7.40", \ + " 7.50, 7.45, 7.46, 7.50, 7.53", \ + " 7.92, 7.83, 7.80, 7.83, 7.86", \ + " 8.72, 8.58, 8.51, 8.50, 8.52", \ + " 10.11, 9.92, 9.77, 9.72, 9.72", \ + " 12.41, 12.14, 11.91, 11.78, 11.74" ); }} +internal_power(s_z_p) { /* mxn2v0x05 15.90 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_113_5x10) { +values( " 4.90, 4.95, 5.03, 5.08, 5.09", \ + " 4.83, 4.86, 4.94, 5.00, 5.02", \ + " 4.83, 4.85, 4.93, 4.99, 5.01", \ + " 4.89, 4.89, 4.96, 5.02, 5.05", \ + " 5.08, 5.06, 5.09, 5.15, 5.18", \ + " 5.43, 5.38, 5.38, 5.43, 5.46", \ + " 6.05, 5.95, 5.91, 5.93, 5.96", \ + " 7.06, 6.90, 6.80, 6.78, 6.79", \ + " 8.67, 8.44, 8.26, 8.18, 8.17", \ + " 11.22, 10.89, 10.60, 10.44, 10.38" ); }} +internal_power(s_z_n) { /* mxn2v0x05 18.10 nW/MHz */ +related_pin : "s" ; +power(pwr_x05_113_5x10) { +values( " 6.06, 6.07, 6.13, 6.18, 6.20", \ + " 6.01, 6.02, 6.08, 6.14, 6.16", \ + " 6.00, 6.00, 6.06, 6.12, 6.14", \ + " 6.01, 6.01, 6.06, 6.12, 6.15", \ + " 6.09, 6.07, 6.11, 6.17, 6.19", \ + " 6.25, 6.21, 6.24, 6.29, 6.32", \ + " 6.55, 6.49, 6.49, 6.53, 6.56", \ + " 7.06, 6.97, 6.94, 6.96, 6.98", \ + " 7.89, 7.76, 7.69, 7.68, 7.68", \ + " 9.24, 9.05, 8.91, 8.86, 8.85" ); }} +timing() { /* ring osc delay mxn2v0x05, path a0 to z 122.0 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.4 ; */ +/* intrinsic_fall : 134.6 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.4, 107.1, 144.9, 223.8, 362.2, 655.6", \ + " 94.3, 111.0, 148.8, 227.7, 366.2, 659.5", \ + " 97.0, 113.7, 151.4, 230.4, 368.8, 662.2", \ + " 100.7, 117.3, 155.0, 234.0, 372.4, 665.8", \ + " 106.8, 123.5, 161.2, 240.1, 378.6, 672.0", \ + " 113.4, 130.4, 168.6, 247.6, 386.1, 679.5", \ + " 120.6, 138.0, 176.6, 256.0, 394.5, 687.9", \ + " 127.4, 145.4, 184.5, 264.0, 402.8, 696.2", \ + " 132.5, 151.2, 191.3, 271.2, 410.0, 703.7", \ + " 133.2, 153.0, 194.7, 276.0, 415.3, 709.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.6, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.5, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.6, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.8, 96.0, 185.4, 393.2, 769.4, 1573.8", \ + " 64.8, 97.7, 186.3, 393.6, 769.5, 1573.8", \ + " 67.6, 100.7, 188.8, 395.0, 770.0, 1573.9", \ + " 71.5, 104.5, 192.2, 397.1, 771.3, 1574.4", \ + " 77.2, 110.1, 196.6, 400.2, 773.0, 1575.4", \ + " 85.5, 118.5, 203.9, 405.2, 776.1, 1576.9", \ + " 97.2, 130.6, 215.4, 414.2, 782.4, 1580.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 109.9, 127.3, 162.1, 226.5, 333.7, 559.4", \ + " 116.5, 133.8, 168.7, 233.0, 340.3, 566.0", \ + " 121.2, 138.5, 173.3, 237.7, 344.9, 570.7", \ + " 127.3, 144.6, 179.4, 243.8, 351.1, 576.8", \ + " 138.1, 155.3, 190.1, 254.5, 361.8, 587.6", \ + " 152.2, 169.9, 205.0, 269.5, 376.8, 602.6", \ + " 170.7, 189.1, 225.5, 290.8, 398.2, 624.0", \ + " 196.0, 215.1, 252.8, 319.4, 427.5, 653.2", \ + " 231.4, 251.5, 290.9, 358.9, 467.7, 693.9", \ + " 280.6, 302.0, 343.9, 414.2, 523.9, 750.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.7, 145.1, 282.5, 534.0, 1079.4", \ + " 58.1, 83.7, 145.2, 282.5, 534.0, 1079.4", \ + " 58.8, 84.4, 145.6, 282.7, 534.1, 1079.4", \ + " 62.8, 87.8, 147.9, 283.7, 534.5, 1079.5", \ + " 67.4, 93.0, 153.1, 287.4, 536.3, 1080.0", \ + " 73.2, 99.2, 159.4, 292.7, 539.8, 1081.6", \ + " 81.5, 107.8, 167.9, 299.5, 544.6, 1084.1", \ + " 93.1, 120.0, 180.5, 310.0, 552.0, 1088.2" ); }} +timing() { /* ring osc delay mxn2v0x05, path a0 to z 122.1 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.4 ; */ +/* intrinsic_fall : 134.6 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.4, 107.1, 144.9, 223.8, 362.3, 655.6", \ + " 94.4, 111.0, 148.8, 227.8, 366.2, 659.5", \ + " 97.1, 113.7, 151.4, 230.4, 368.9, 662.2", \ + " 100.8, 117.4, 155.0, 234.0, 372.5, 665.9", \ + " 106.8, 123.5, 161.2, 240.1, 378.6, 672.0", \ + " 113.4, 130.4, 168.6, 247.6, 386.1, 679.5", \ + " 120.6, 138.0, 176.6, 256.0, 394.5, 687.9", \ + " 127.4, 145.4, 184.5, 264.0, 402.8, 696.2", \ + " 132.5, 151.2, 191.3, 271.2, 410.0, 703.7", \ + " 133.2, 153.0, 194.7, 276.0, 415.3, 709.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.6, 95.8, 185.2, 393.2, 769.3, 1573.8", \ + " 62.5, 95.8, 185.2, 393.2, 769.3, 1573.8", \ + " 62.6, 95.9, 185.3, 393.2, 769.3, 1573.8", \ + " 62.8, 96.0, 185.4, 393.2, 769.4, 1573.8", \ + " 64.8, 97.7, 186.3, 393.6, 769.5, 1573.8", \ + " 67.6, 100.7, 188.8, 395.0, 770.0, 1573.9", \ + " 71.5, 104.5, 192.2, 397.1, 771.3, 1574.4", \ + " 77.2, 110.1, 196.6, 400.2, 773.0, 1575.4", \ + " 85.5, 118.5, 203.9, 405.2, 776.1, 1576.9", \ + " 97.2, 130.6, 215.4, 414.2, 782.4, 1580.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 109.9, 127.3, 162.1, 226.5, 333.7, 559.4", \ + " 116.5, 133.8, 168.7, 233.0, 340.3, 566.0", \ + " 121.2, 138.5, 173.3, 237.7, 344.9, 570.7", \ + " 127.3, 144.6, 179.4, 243.8, 351.1, 576.8", \ + " 138.1, 155.3, 190.1, 254.5, 361.8, 587.6", \ + " 152.2, 169.9, 205.0, 269.5, 376.8, 602.6", \ + " 170.7, 189.1, 225.5, 290.8, 398.2, 624.0", \ + " 196.0, 215.1, 252.8, 319.4, 427.5, 653.2", \ + " 231.4, 251.5, 290.9, 358.9, 467.7, 693.9", \ + " 280.6, 302.0, 343.9, 414.2, 523.9, 750.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.7, 145.1, 282.5, 534.0, 1079.4", \ + " 58.1, 83.8, 145.2, 282.5, 534.0, 1079.4", \ + " 58.8, 84.4, 145.6, 282.7, 534.1, 1079.4", \ + " 62.8, 87.8, 147.9, 283.7, 534.5, 1079.5", \ + " 67.4, 93.0, 153.1, 287.4, 536.3, 1080.0", \ + " 73.2, 99.2, 159.4, 292.7, 539.8, 1081.6", \ + " 81.5, 107.8, 167.9, 299.5, 544.6, 1084.1", \ + " 93.1, 120.0, 180.5, 310.0, 552.0, 1088.2" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 101.4 ; */ +/* intrinsic_fall : 134.6 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.4, 107.1, 144.9, 223.8, 362.2, 655.6", \ + " 94.3, 111.0, 148.8, 227.7, 366.2, 659.5", \ + " 97.0, 113.7, 151.4, 230.4, 368.8, 662.2", \ + " 100.7, 117.3, 155.0, 234.0, 372.4, 665.8", \ + " 106.8, 123.5, 161.2, 240.1, 378.6, 672.0", \ + " 113.4, 130.4, 168.6, 247.6, 386.1, 679.5", \ + " 120.6, 138.0, 176.6, 256.0, 394.5, 687.9", \ + " 127.4, 145.4, 184.5, 264.0, 402.8, 696.2", \ + " 132.5, 151.2, 191.3, 271.2, 410.0, 703.7", \ + " 133.2, 153.0, 194.7, 276.0, 415.3, 709.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.6, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.5, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.6, 95.8, 185.2, 393.2, 769.4, 1573.8", \ + " 62.8, 96.0, 185.4, 393.2, 769.4, 1573.8", \ + " 64.8, 97.7, 186.3, 393.6, 769.5, 1573.8", \ + " 67.6, 100.7, 188.8, 395.0, 770.0, 1573.9", \ + " 71.5, 104.5, 192.2, 397.1, 771.3, 1574.4", \ + " 77.2, 110.1, 196.6, 400.2, 773.0, 1575.4", \ + " 85.5, 118.5, 203.9, 405.2, 776.1, 1576.9", \ + " 97.2, 130.6, 215.4, 414.2, 782.4, 1580.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 109.9, 127.3, 162.1, 226.5, 333.7, 559.4", \ + " 116.5, 133.8, 168.7, 233.0, 340.3, 566.0", \ + " 121.2, 138.5, 173.3, 237.7, 344.9, 570.7", \ + " 127.3, 144.6, 179.4, 243.8, 351.1, 576.8", \ + " 138.1, 155.3, 190.1, 254.5, 361.8, 587.6", \ + " 152.2, 169.9, 205.0, 269.5, 376.8, 602.6", \ + " 170.7, 189.1, 225.5, 290.8, 398.2, 624.0", \ + " 196.0, 215.1, 252.8, 319.4, 427.5, 653.2", \ + " 231.4, 251.5, 290.9, 358.9, 467.7, 693.9", \ + " 280.6, 302.0, 343.9, 414.2, 523.9, 750.8" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.6, 145.1, 282.5, 534.0, 1079.4", \ + " 58.0, 83.7, 145.1, 282.5, 534.0, 1079.4", \ + " 58.1, 83.7, 145.2, 282.5, 534.0, 1079.4", \ + " 58.8, 84.4, 145.6, 282.7, 534.1, 1079.4", \ + " 62.8, 87.8, 147.9, 283.7, 534.5, 1079.5", \ + " 67.4, 93.0, 153.1, 287.4, 536.3, 1080.0", \ + " 73.2, 99.2, 159.4, 292.7, 539.8, 1081.6", \ + " 81.5, 107.8, 167.9, 299.5, 544.6, 1084.1", \ + " 93.1, 120.0, 180.5, 310.0, 552.0, 1088.2" ); }} +timing() { /* ring osc delay mxn2v0x05, path a1 to z 119.5 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.6 ; */ +/* intrinsic_fall : 133.9 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.2, 101.8, 139.4, 218.3, 356.7, 650.1", \ + " 89.7, 106.3, 143.9, 222.7, 361.2, 654.6", \ + " 92.8, 109.4, 147.0, 225.9, 364.3, 657.7", \ + " 97.1, 113.6, 151.1, 230.0, 368.5, 661.9", \ + " 103.9, 120.5, 158.0, 236.9, 375.4, 668.8", \ + " 111.3, 128.2, 166.1, 245.0, 383.5, 676.9", \ + " 119.1, 136.5, 174.7, 253.8, 392.3, 685.7", \ + " 126.4, 144.3, 183.2, 262.3, 400.9, 694.3", \ + " 131.7, 150.4, 190.4, 270.0, 408.6, 702.1", \ + " 132.6, 152.4, 194.1, 275.1, 414.2, 707.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.7, 392.7, 769.1, 1573.7", \ + " 62.4, 95.5, 184.8, 392.8, 769.1, 1573.7", \ + " 64.2, 97.1, 185.8, 393.2, 769.2, 1573.7", \ + " 67.2, 100.0, 188.0, 394.4, 769.7, 1573.8", \ + " 71.4, 104.0, 191.3, 396.3, 770.7, 1574.2", \ + " 77.3, 109.8, 196.0, 399.3, 772.2, 1575.0", \ + " 85.8, 118.5, 203.5, 404.4, 775.2, 1576.3", \ + " 97.6, 130.7, 215.2, 413.6, 781.4, 1579.5" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.8, 126.0, 160.8, 225.1, 332.4, 558.2", \ + " 115.8, 133.1, 167.8, 232.2, 339.5, 565.3", \ + " 120.6, 137.8, 172.6, 236.9, 344.3, 570.0", \ + " 126.7, 144.0, 178.7, 243.1, 350.4, 576.2", \ + " 137.3, 154.5, 189.3, 253.7, 361.0, 586.8", \ + " 151.5, 169.0, 204.0, 268.6, 375.9, 601.7", \ + " 170.2, 188.4, 224.6, 289.8, 397.2, 623.0", \ + " 195.6, 214.6, 252.1, 318.5, 426.4, 652.1", \ + " 231.1, 251.1, 290.3, 358.2, 466.7, 692.7", \ + " 280.3, 301.7, 343.4, 413.7, 523.2, 749.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.1, 83.6, 144.9, 282.4, 534.1, 1079.5", \ + " 58.2, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.3, 83.7, 145.0, 282.5, 534.1, 1079.5", \ + " 59.0, 84.4, 145.5, 282.6, 534.2, 1079.5", \ + " 62.4, 87.4, 147.6, 283.6, 534.5, 1079.6", \ + " 67.1, 92.5, 152.4, 286.8, 536.1, 1080.0", \ + " 73.0, 98.8, 158.7, 291.9, 539.2, 1081.4", \ + " 81.3, 107.5, 167.3, 298.7, 543.8, 1083.5", \ + " 92.9, 119.7, 179.9, 309.4, 551.2, 1087.4" ); }} +timing() { /* ring osc delay mxn2v0x05, path a1 to z 119.5 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.6 ; */ +/* intrinsic_fall : 133.9 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.2, 101.8, 139.4, 218.3, 356.7, 650.1", \ + " 89.7, 106.3, 143.9, 222.7, 361.2, 654.6", \ + " 92.8, 109.4, 147.0, 225.9, 364.3, 657.7", \ + " 97.1, 113.6, 151.1, 230.0, 368.5, 661.9", \ + " 103.9, 120.5, 158.0, 236.9, 375.4, 668.8", \ + " 111.3, 128.2, 166.1, 245.0, 383.5, 676.9", \ + " 119.1, 136.5, 174.7, 253.8, 392.3, 685.7", \ + " 126.4, 144.3, 183.2, 262.3, 400.9, 694.3", \ + " 131.7, 150.4, 190.4, 270.0, 408.6, 702.1", \ + " 132.6, 152.4, 194.1, 275.1, 414.2, 707.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.7, 392.7, 769.1, 1573.7", \ + " 62.4, 95.5, 184.8, 392.8, 769.1, 1573.7", \ + " 64.2, 97.1, 185.8, 393.2, 769.2, 1573.7", \ + " 67.2, 100.0, 188.0, 394.4, 769.7, 1573.8", \ + " 71.4, 104.0, 191.3, 396.3, 770.7, 1574.2", \ + " 77.3, 109.8, 196.0, 399.3, 772.2, 1575.0", \ + " 85.8, 118.5, 203.5, 404.4, 775.2, 1576.3", \ + " 97.6, 130.7, 215.2, 413.6, 781.4, 1579.5" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.8, 126.0, 160.8, 225.1, 332.4, 558.2", \ + " 115.8, 133.1, 167.8, 232.2, 339.5, 565.3", \ + " 120.6, 137.8, 172.6, 236.9, 344.3, 570.0", \ + " 126.7, 144.0, 178.7, 243.1, 350.4, 576.2", \ + " 137.3, 154.5, 189.3, 253.7, 361.0, 586.8", \ + " 151.5, 169.0, 204.0, 268.6, 375.9, 601.7", \ + " 170.2, 188.4, 224.6, 289.8, 397.2, 623.0", \ + " 195.6, 214.6, 252.1, 318.5, 426.4, 652.1", \ + " 231.1, 251.1, 290.3, 358.2, 466.7, 692.7", \ + " 280.3, 301.7, 343.4, 413.7, 523.2, 749.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.1, 83.6, 144.9, 282.4, 534.1, 1079.5", \ + " 58.2, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.3, 83.7, 145.0, 282.5, 534.1, 1079.5", \ + " 59.0, 84.4, 145.5, 282.6, 534.2, 1079.5", \ + " 62.4, 87.4, 147.6, 283.6, 534.5, 1079.6", \ + " 67.1, 92.5, 152.4, 286.8, 536.1, 1080.0", \ + " 73.0, 98.8, 158.7, 291.9, 539.2, 1081.4", \ + " 81.3, 107.5, 167.3, 298.7, 543.8, 1083.5", \ + " 92.9, 119.7, 179.9, 309.4, 551.2, 1087.4" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 97.6 ; */ +/* intrinsic_fall : 133.9 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.03 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.2, 101.8, 139.4, 218.3, 356.7, 650.1", \ + " 89.7, 106.3, 143.9, 222.7, 361.2, 654.6", \ + " 92.8, 109.4, 147.0, 225.9, 364.3, 657.7", \ + " 97.1, 113.6, 151.1, 230.0, 368.5, 661.9", \ + " 103.9, 120.5, 158.0, 236.9, 375.4, 668.8", \ + " 111.3, 128.2, 166.1, 245.0, 383.5, 676.9", \ + " 119.1, 136.5, 174.7, 253.8, 392.3, 685.7", \ + " 126.4, 144.3, 183.2, 262.3, 400.9, 694.3", \ + " 131.7, 150.4, 190.4, 270.0, 408.6, 702.1", \ + " 132.6, 152.4, 194.1, 275.1, 414.2, 707.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.6, 392.7, 769.1, 1573.7", \ + " 62.1, 95.2, 184.7, 392.7, 769.1, 1573.7", \ + " 62.4, 95.5, 184.8, 392.8, 769.1, 1573.7", \ + " 64.2, 97.1, 185.8, 393.2, 769.2, 1573.7", \ + " 67.2, 100.0, 188.0, 394.4, 769.7, 1573.8", \ + " 71.4, 104.0, 191.3, 396.3, 770.7, 1574.2", \ + " 77.3, 109.8, 196.0, 399.3, 772.2, 1575.0", \ + " 85.8, 118.5, 203.5, 404.4, 775.2, 1576.3", \ + " 97.6, 130.7, 215.2, 413.6, 781.4, 1579.5" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.8, 126.0, 160.8, 225.1, 332.4, 558.2", \ + " 115.8, 133.1, 167.8, 232.2, 339.5, 565.3", \ + " 120.6, 137.8, 172.6, 236.9, 344.3, 570.0", \ + " 126.7, 144.0, 178.7, 243.1, 350.4, 576.2", \ + " 137.3, 154.5, 189.3, 253.7, 361.0, 586.8", \ + " 151.5, 169.0, 204.0, 268.6, 375.9, 601.7", \ + " 170.2, 188.4, 224.6, 289.8, 397.2, 623.0", \ + " 195.6, 214.6, 252.1, 318.5, 426.4, 652.1", \ + " 231.1, 251.1, 290.3, 358.2, 466.7, 692.7", \ + " 280.3, 301.7, 343.4, 413.7, 523.2, 749.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.1, 83.6, 144.9, 282.4, 534.1, 1079.5", \ + " 58.2, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 58.3, 83.7, 145.0, 282.5, 534.1, 1079.5", \ + " 59.0, 84.4, 145.5, 282.6, 534.2, 1079.5", \ + " 62.4, 87.4, 147.6, 283.6, 534.5, 1079.6", \ + " 67.1, 92.5, 152.4, 286.8, 536.1, 1080.0", \ + " 73.0, 98.8, 158.7, 291.9, 539.2, 1081.4", \ + " 81.3, 107.5, 167.3, 298.7, 543.8, 1083.5", \ + " 92.9, 119.7, 179.9, 309.4, 551.2, 1087.4" ); }} +timing() { /* ring osc delay mxn2v0x05, path s to z 98.8 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.8 ; */ +/* intrinsic_fall : 108.8 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.8, 84.6, 122.3, 201.1, 339.4, 632.8", \ + " 73.8, 90.5, 128.2, 207.0, 345.4, 638.8", \ + " 78.4, 95.1, 132.7, 211.6, 350.0, 643.4", \ + " 84.3, 100.8, 138.3, 217.2, 355.7, 649.1", \ + " 91.8, 108.7, 146.3, 225.1, 363.6, 657.0", \ + " 99.3, 116.4, 154.7, 233.5, 371.9, 665.2", \ + " 107.1, 124.7, 163.1, 242.4, 380.7, 674.0", \ + " 114.5, 132.7, 171.8, 251.0, 389.7, 682.8", \ + " 119.9, 139.3, 179.7, 259.5, 398.0, 691.5", \ + " 121.1, 141.8, 184.5, 265.9, 405.2, 698.9" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.6, 93.9, 183.9, 392.5, 769.0, 1573.7", \ + " 60.6, 94.0, 184.0, 392.5, 769.1, 1573.7", \ + " 60.8, 94.2, 184.1, 392.5, 769.1, 1573.7", \ + " 62.2, 95.2, 184.5, 392.7, 769.1, 1573.7", \ + " 66.0, 98.4, 186.6, 393.4, 769.2, 1573.7", \ + " 70.3, 102.8, 189.8, 395.3, 770.0, 1573.7", \ + " 76.5, 108.3, 194.4, 397.9, 771.4, 1574.3", \ + " 85.4, 116.7, 200.6, 402.0, 773.5, 1575.5", \ + " 97.5, 128.9, 211.1, 409.0, 777.7, 1577.3", \ + " 113.9, 145.9, 227.2, 421.6, 786.3, 1582.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.6, 101.3, 135.5, 199.5, 306.8, 532.5", \ + " 90.0, 106.6, 140.8, 204.8, 312.1, 537.9", \ + " 95.1, 111.7, 145.9, 210.0, 317.3, 543.0", \ + " 102.6, 119.1, 153.2, 217.3, 324.7, 550.4", \ + " 115.5, 132.1, 166.2, 230.4, 337.8, 563.6", \ + " 131.1, 148.4, 183.3, 247.8, 355.2, 581.0", \ + " 151.5, 169.2, 205.2, 270.9, 378.4, 604.1", \ + " 178.0, 196.6, 233.4, 299.9, 408.5, 634.2", \ + " 213.6, 233.3, 271.8, 339.3, 448.3, 674.9", \ + " 261.6, 282.8, 324.1, 393.9, 503.5, 730.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.8, 79.5, 142.0, 280.9, 533.4, 1079.3", \ + " 53.8, 79.5, 142.0, 280.9, 533.4, 1079.3", \ + " 53.8, 79.6, 142.1, 280.9, 533.5, 1079.4", \ + " 54.1, 79.8, 142.2, 281.0, 533.5, 1079.4", \ + " 56.8, 81.9, 143.4, 281.5, 533.7, 1079.4", \ + " 61.5, 86.6, 147.2, 283.7, 534.5, 1079.6", \ + " 66.8, 91.9, 152.6, 287.8, 537.2, 1080.4", \ + " 74.1, 98.9, 158.7, 293.0, 540.8, 1082.7", \ + " 84.4, 109.3, 167.9, 299.7, 545.7, 1085.5", \ + " 98.9, 124.3, 182.8, 311.2, 553.5, 1089.9" ); }} +timing() { /* ring osc delay mxn2v0x05, path s to z 154.4 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 164.1 ; */ +/* intrinsic_fall : 139.7 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.02 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.0, 157.6, 195.3, 274.2, 412.6, 706.1", \ + " 148.0, 164.7, 202.3, 281.2, 419.7, 713.1", \ + " 154.5, 171.2, 208.8, 287.7, 426.2, 719.6", \ + " 163.5, 180.1, 217.8, 296.6, 435.1, 728.6", \ + " 178.8, 195.4, 233.1, 312.0, 450.4, 743.9", \ + " 198.9, 215.5, 253.2, 332.1, 470.5, 763.9", \ + " 225.0, 241.7, 279.5, 358.5, 496.9, 790.3", \ + " 259.3, 275.9, 313.6, 392.6, 531.2, 824.6", \ + " 305.9, 322.6, 360.3, 439.3, 577.8, 871.4", \ + " 371.0, 387.8, 425.6, 504.7, 643.1, 936.7" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 96.7, 185.8, 393.4, 769.4, 1573.8", \ + " 63.5, 96.7, 185.8, 393.4, 769.4, 1573.8", \ + " 63.6, 96.7, 185.8, 393.4, 769.4, 1573.8", \ + " 63.6, 96.7, 185.8, 393.4, 769.4, 1573.8", \ + " 63.6, 96.8, 185.9, 393.4, 769.4, 1573.8", \ + " 64.0, 97.0, 186.0, 393.5, 769.4, 1573.8", \ + " 63.9, 97.2, 186.3, 393.7, 769.6, 1573.8", \ + " 64.2, 97.3, 186.4, 393.9, 769.8, 1574.1", \ + " 65.0, 98.1, 186.8, 394.1, 769.9, 1574.1", \ + " 66.6, 99.6, 188.0, 394.6, 770.2, 1574.2" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 118.6, 135.9, 170.6, 234.9, 342.2, 568.0", \ + " 125.3, 142.6, 177.3, 241.6, 348.9, 574.7", \ + " 129.0, 146.2, 180.9, 245.3, 352.6, 578.4", \ + " 132.5, 149.7, 184.4, 248.8, 356.1, 581.9", \ + " 136.1, 153.4, 188.1, 252.4, 359.8, 585.5", \ + " 139.7, 156.5, 190.9, 255.2, 362.5, 588.3", \ + " 141.1, 157.7, 191.8, 255.8, 363.3, 589.1", \ + " 138.5, 155.2, 189.4, 253.5, 360.5, 586.5", \ + " 129.7, 146.5, 180.8, 245.1, 352.4, 578.0", \ + " 110.0, 126.9, 161.5, 226.5, 334.9, 560.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 83.7, 145.1, 282.5, 534.1, 1079.5", \ + " 58.2, 83.7, 145.0, 282.5, 534.1, 1079.5", \ + " 58.2, 83.7, 145.0, 282.5, 534.1, 1079.5", \ + " 58.2, 83.6, 145.0, 282.4, 534.1, 1079.5", \ + " 57.8, 83.4, 144.9, 282.4, 534.1, 1079.5", \ + " 56.2, 81.8, 143.7, 281.9, 533.9, 1079.5", \ + " 55.8, 81.2, 142.8, 281.3, 533.6, 1079.6", \ + " 56.1, 81.5, 143.2, 281.0, 533.4, 1079.3", \ + " 56.9, 82.4, 144.0, 281.9, 533.7, 1079.4", \ + " 58.5, 83.8, 145.6, 284.3, 536.4, 1081.2" ); }} +} +} +cell(mxn2v0x1) { /* 2008-01-06:07h37 characteristic delay 10.9 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1028 ; /* mxn2v0x1 */ +cell_footprint : mxn2 ; +pin(a0) { /* mxn2v0x1 FO4 effort 2.21 */ +direction : input ; +capacitance : 3.19 ; +rise_capacitance : 3.23 ; +fall_capacitance : 3.15 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxn2v0x1 */ +} +pin(a1) { /* mxn2v0x1 FO4 effort 2.15 */ +direction : input ; +capacitance : 2.99 ; +rise_capacitance : 3.01 ; +fall_capacitance : 2.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxn2v0x1 */ +} +pin(s) { /* mxn2v0x1 FO4 effort 2.77 logical effort 1.27 */ +direction : input ; +capacitance : 4.32 ; +rise_capacitance : 4.44 ; +fall_capacitance : 4.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxn2v0x1 */ +internal_power(s) { /* mxn2v0x1 7.02 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.54, 3.44, 3.45, 3.51, 3.67, 3.98, 4.51, 5.39, 6.81, 9.07" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "((a0*s')+(a1*s))" ; +internal_power(a0_z_p) { /* mxn2v0x1 19.48 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_195_5x10) { +values( " 9.94, 9.93, 10.01, 10.08, 10.09", \ + " 9.78, 9.77, 9.85, 9.92, 9.94", \ + " 9.72, 9.71, 9.78, 9.86, 9.88", \ + " 9.70, 9.67, 9.74, 9.82, 9.84", \ + " 9.77, 9.72, 9.76, 9.83, 9.86", \ + " 10.03, 9.93, 9.94, 10.00, 10.03", \ + " 10.61, 10.45, 10.41, 10.44, 10.47", \ + " 11.70, 11.46, 11.34, 11.33, 11.35", \ + " 13.59, 13.26, 13.04, 12.96, 12.95", \ + " 16.72, 16.26, 15.89, 15.71, 15.65" ); }} +internal_power(a1_z_p) { /* mxn2v0x1 19.42 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_195_5x10) { +values( " 9.81, 9.81, 9.89, 9.96, 9.98", \ + " 9.70, 9.69, 9.77, 9.85, 9.87", \ + " 9.67, 9.65, 9.73, 9.81, 9.83", \ + " 9.66, 9.64, 9.71, 9.78, 9.81", \ + " 9.75, 9.70, 9.75, 9.82, 9.85", \ + " 10.02, 9.93, 9.94, 10.00, 10.03", \ + " 10.61, 10.46, 10.41, 10.44, 10.47", \ + " 11.71, 11.48, 11.35, 11.34, 11.36", \ + " 13.62, 13.28, 13.06, 12.97, 12.96", \ + " 16.74, 16.28, 15.92, 15.73, 15.67" ); }} +internal_power(s_z_p) { /* mxn2v0x1 20.36 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_195_5x10) { +values( " 6.59, 6.66, 6.77, 6.83, 6.84", \ + " 6.49, 6.54, 6.65, 6.72, 6.73", \ + " 6.49, 6.52, 6.63, 6.71, 6.73", \ + " 6.58, 6.58, 6.67, 6.75, 6.78", \ + " 6.84, 6.80, 6.85, 6.93, 6.96", \ + " 7.33, 7.23, 7.23, 7.29, 7.33", \ + " 8.19, 8.00, 7.94, 7.97, 7.99", \ + " 9.56, 9.29, 9.13, 9.10, 9.11", \ + " 11.77, 11.36, 11.09, 10.97, 10.94", \ + " 15.24, 14.67, 14.22, 13.98, 13.89" ); }} +internal_power(s_z_n) { /* mxn2v0x1 23.21 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_195_5x10) { +values( " 8.09, 8.11, 8.19, 8.25, 8.27", \ + " 8.03, 8.04, 8.13, 8.19, 8.22", \ + " 8.02, 8.02, 8.10, 8.17, 8.19", \ + " 8.04, 8.02, 8.10, 8.17, 8.20", \ + " 8.14, 8.11, 8.16, 8.23, 8.26", \ + " 8.37, 8.30, 8.33, 8.40, 8.43", \ + " 8.78, 8.67, 8.67, 8.72, 8.75", \ + " 9.48, 9.32, 9.27, 9.29, 9.32", \ + " 10.62, 10.39, 10.27, 10.25, 10.26", \ + " 12.46, 12.13, 11.92, 11.84, 11.81" ); }} +timing() { /* ring osc delay mxn2v0x1, path a0 to z 114.1 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.2 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.8, 107.7, 150.2, 240.0, 398.1, 733.2", \ + " 92.6, 111.4, 154.0, 243.8, 401.9, 737.1", \ + " 95.2, 114.1, 156.6, 246.4, 404.5, 739.7", \ + " 98.9, 117.7, 160.1, 250.0, 408.1, 743.3", \ + " 104.8, 123.8, 166.2, 256.1, 414.1, 749.4", \ + " 111.4, 130.7, 173.7, 263.5, 421.6, 756.8", \ + " 118.6, 138.4, 181.6, 271.8, 429.9, 765.1", \ + " 125.4, 145.7, 189.6, 279.8, 438.2, 773.3", \ + " 130.4, 151.6, 196.5, 287.1, 445.5, 780.8", \ + " 131.0, 153.4, 200.1, 292.0, 450.7, 786.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.4, 201.9, 440.4, 871.0, 1790.8", \ + " 61.5, 99.6, 202.0, 440.4, 871.1, 1790.8", \ + " 63.5, 101.2, 202.9, 440.7, 871.1, 1790.8", \ + " 66.3, 104.1, 205.1, 441.9, 871.5, 1790.9", \ + " 70.3, 107.9, 208.4, 443.8, 872.6, 1791.2", \ + " 76.0, 113.5, 212.7, 446.6, 874.1, 1792.1", \ + " 84.3, 121.8, 219.8, 451.4, 876.9, 1793.4", \ + " 96.0, 134.0, 231.1, 459.9, 882.6, 1796.4" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.6, 127.2, 165.8, 238.1, 360.2, 618.0", \ + " 114.4, 133.9, 172.6, 244.8, 366.9, 624.8", \ + " 119.1, 138.6, 177.3, 249.5, 371.6, 629.5", \ + " 125.3, 144.8, 183.4, 255.7, 377.8, 635.7", \ + " 136.0, 155.5, 194.1, 266.4, 388.6, 646.5", \ + " 150.2, 170.1, 209.0, 281.4, 403.6, 661.5", \ + " 168.7, 189.4, 229.7, 302.8, 425.0, 682.9", \ + " 194.0, 215.6, 257.3, 331.6, 454.2, 712.1", \ + " 229.5, 252.2, 295.6, 371.3, 494.6, 752.7", \ + " 278.6, 302.8, 348.9, 426.9, 550.9, 809.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.1, 86.1, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.2, 86.3, 156.0, 313.6, 602.5, 1227.3", \ + " 58.0, 86.9, 156.4, 313.8, 602.5, 1227.3", \ + " 61.8, 90.2, 158.6, 314.7, 602.9, 1227.4", \ + " 66.4, 95.5, 163.6, 318.0, 604.4, 1227.7", \ + " 72.3, 101.7, 169.8, 322.8, 607.4, 1229.0", \ + " 80.6, 110.4, 178.2, 329.4, 611.6, 1231.0", \ + " 92.3, 122.7, 190.7, 339.4, 618.4, 1234.4" ); }} +timing() { /* ring osc delay mxn2v0x1, path a0 to z 114.1 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.2 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.8, 107.7, 150.2, 240.1, 398.1, 733.3", \ + " 92.6, 111.5, 154.0, 243.8, 401.9, 737.1", \ + " 95.2, 114.1, 156.6, 246.4, 404.5, 739.7", \ + " 98.9, 117.7, 160.2, 250.0, 408.1, 743.3", \ + " 104.9, 123.8, 166.3, 256.1, 414.2, 749.4", \ + " 111.4, 130.7, 173.7, 263.5, 421.6, 756.8", \ + " 118.6, 138.4, 181.6, 271.8, 429.9, 765.1", \ + " 125.4, 145.7, 189.6, 279.8, 438.2, 773.3", \ + " 130.4, 151.6, 196.5, 287.1, 445.5, 780.8", \ + " 131.0, 153.4, 200.1, 292.0, 450.7, 786.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.4, 201.9, 440.4, 871.0, 1790.8", \ + " 61.5, 99.6, 202.0, 440.4, 871.0, 1790.8", \ + " 63.5, 101.2, 202.9, 440.7, 871.1, 1790.8", \ + " 66.3, 104.1, 205.1, 441.9, 871.5, 1790.9", \ + " 70.3, 107.9, 208.4, 443.8, 872.6, 1791.2", \ + " 76.0, 113.5, 212.7, 446.6, 874.1, 1792.1", \ + " 84.3, 121.8, 219.8, 451.4, 876.9, 1793.4", \ + " 96.0, 134.0, 231.1, 459.9, 882.6, 1796.4" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.6, 127.2, 165.8, 238.1, 360.2, 618.0", \ + " 114.4, 133.9, 172.6, 244.8, 366.9, 624.8", \ + " 119.1, 138.6, 177.3, 249.5, 371.6, 629.5", \ + " 125.3, 144.8, 183.4, 255.7, 377.8, 635.7", \ + " 136.0, 155.5, 194.1, 266.4, 388.6, 646.5", \ + " 150.2, 170.1, 209.0, 281.4, 403.6, 661.5", \ + " 168.7, 189.4, 229.7, 302.8, 425.0, 682.9", \ + " 194.0, 215.6, 257.3, 331.6, 454.2, 712.1", \ + " 229.5, 252.2, 295.6, 371.3, 494.6, 752.7", \ + " 278.7, 302.8, 349.0, 426.9, 550.9, 809.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.2, 86.3, 156.0, 313.6, 602.5, 1227.3", \ + " 58.0, 86.9, 156.4, 313.8, 602.5, 1227.3", \ + " 61.8, 90.2, 158.6, 314.7, 602.9, 1227.4", \ + " 66.5, 95.5, 163.6, 318.0, 604.4, 1227.7", \ + " 72.3, 101.7, 169.8, 322.8, 607.4, 1229.0", \ + " 80.6, 110.4, 178.2, 329.4, 611.6, 1231.0", \ + " 92.3, 122.7, 190.7, 339.4, 618.4, 1234.4" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 99.2 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.8, 107.7, 150.2, 240.0, 398.1, 733.2", \ + " 92.6, 111.4, 154.0, 243.8, 401.9, 737.1", \ + " 95.2, 114.1, 156.6, 246.4, 404.5, 739.7", \ + " 98.9, 117.7, 160.1, 250.0, 408.1, 743.3", \ + " 104.8, 123.8, 166.2, 256.1, 414.1, 749.4", \ + " 111.4, 130.7, 173.7, 263.5, 421.6, 756.8", \ + " 118.6, 138.4, 181.6, 271.8, 429.9, 765.1", \ + " 125.4, 145.7, 189.6, 279.8, 438.2, 773.3", \ + " 130.4, 151.6, 196.5, 287.1, 445.5, 780.8", \ + " 131.0, 153.4, 200.1, 292.0, 450.7, 786.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.3, 201.9, 440.3, 871.0, 1790.8", \ + " 61.3, 99.4, 201.9, 440.4, 871.0, 1790.8", \ + " 61.5, 99.6, 202.0, 440.4, 871.1, 1790.8", \ + " 63.5, 101.2, 202.9, 440.7, 871.1, 1790.8", \ + " 66.3, 104.1, 205.1, 441.9, 871.5, 1790.9", \ + " 70.3, 107.9, 208.4, 443.8, 872.6, 1791.2", \ + " 76.0, 113.5, 212.7, 446.6, 874.1, 1792.1", \ + " 84.3, 121.8, 219.8, 451.4, 876.9, 1793.4", \ + " 96.0, 134.0, 231.1, 459.9, 882.6, 1796.4" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.6, 127.2, 165.8, 238.1, 360.2, 618.0", \ + " 114.4, 133.9, 172.6, 244.8, 366.9, 624.8", \ + " 119.1, 138.6, 177.3, 249.5, 371.6, 629.5", \ + " 125.3, 144.8, 183.4, 255.7, 377.8, 635.7", \ + " 136.0, 155.5, 194.1, 266.4, 388.6, 646.5", \ + " 150.2, 170.1, 209.0, 281.4, 403.6, 661.5", \ + " 168.7, 189.4, 229.7, 302.8, 425.0, 682.9", \ + " 194.0, 215.6, 257.3, 331.6, 454.2, 712.1", \ + " 229.5, 252.2, 295.6, 371.3, 494.6, 752.7", \ + " 278.6, 302.8, 348.9, 426.9, 550.9, 809.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.1, 86.1, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.1, 86.2, 156.0, 313.6, 602.5, 1227.3", \ + " 57.2, 86.3, 156.0, 313.6, 602.5, 1227.3", \ + " 58.0, 86.9, 156.4, 313.8, 602.5, 1227.3", \ + " 61.8, 90.2, 158.6, 314.7, 602.9, 1227.4", \ + " 66.4, 95.5, 163.6, 318.0, 604.4, 1227.7", \ + " 72.3, 101.7, 169.8, 322.8, 607.4, 1229.0", \ + " 80.6, 110.4, 178.2, 329.4, 611.6, 1231.0", \ + " 92.3, 122.7, 190.7, 339.4, 618.4, 1234.4" ); }} +timing() { /* ring osc delay mxn2v0x1, path a1 to z 112.2 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.7 ; */ +/* intrinsic_fall : 133.2 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.7, 102.5, 144.9, 234.6, 392.7, 727.9", \ + " 88.1, 106.9, 149.3, 239.1, 397.1, 732.3", \ + " 91.3, 110.1, 152.5, 242.2, 400.3, 735.5", \ + " 95.5, 114.3, 156.6, 246.4, 404.4, 739.7", \ + " 102.3, 121.1, 163.5, 253.2, 411.3, 746.6", \ + " 109.7, 128.9, 171.5, 261.3, 419.4, 754.6", \ + " 117.5, 137.1, 180.1, 270.1, 428.2, 763.4", \ + " 124.7, 144.9, 188.6, 278.5, 436.7, 771.9", \ + " 129.9, 151.1, 195.8, 286.2, 444.4, 779.6", \ + " 130.8, 153.1, 199.7, 291.4, 449.9, 785.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.9, 98.7, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.8, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.8, 201.3, 440.0, 870.9, 1790.8", \ + " 61.2, 99.1, 201.5, 440.0, 870.9, 1790.8", \ + " 63.1, 100.6, 202.4, 440.4, 871.0, 1790.8", \ + " 66.0, 103.5, 204.5, 441.4, 871.3, 1790.9", \ + " 70.2, 107.5, 207.6, 443.2, 872.2, 1791.2", \ + " 76.2, 113.3, 212.1, 445.9, 873.5, 1791.9", \ + " 84.7, 121.9, 219.4, 450.6, 876.1, 1793.0", \ + " 96.4, 134.2, 230.9, 459.3, 881.8, 1795.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.8, 127.2, 165.8, 238.1, 360.3, 618.2", \ + " 114.7, 134.2, 172.8, 245.1, 367.3, 625.2", \ + " 119.4, 138.9, 177.5, 249.8, 372.0, 629.9", \ + " 125.5, 145.0, 183.6, 255.9, 378.1, 636.0", \ + " 136.1, 155.5, 194.1, 266.5, 388.7, 646.6", \ + " 150.1, 169.9, 208.8, 281.3, 403.5, 661.4", \ + " 168.7, 189.4, 229.4, 302.5, 424.7, 682.6", \ + " 194.1, 215.6, 257.0, 331.2, 453.8, 711.6", \ + " 229.6, 252.2, 295.5, 371.0, 494.2, 752.1", \ + " 278.8, 302.9, 348.8, 426.7, 550.6, 809.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 86.2, 155.9, 313.7, 602.6, 1227.4", \ + " 57.2, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.4, 156.0, 313.7, 602.6, 1227.4", \ + " 58.2, 87.0, 156.4, 313.9, 602.7, 1227.4", \ + " 61.6, 89.9, 158.4, 314.7, 603.0, 1227.5", \ + " 66.3, 95.1, 163.1, 317.7, 604.3, 1227.7", \ + " 72.1, 101.4, 169.3, 322.3, 607.1, 1228.8", \ + " 80.4, 110.1, 177.7, 328.8, 611.1, 1230.7", \ + " 92.1, 122.4, 190.3, 338.9, 617.8, 1233.9" ); }} +timing() { /* ring osc delay mxn2v0x1, path a1 to z 112.2 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.7 ; */ +/* intrinsic_fall : 133.2 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.7, 102.5, 144.9, 234.6, 392.7, 727.9", \ + " 88.1, 106.9, 149.3, 239.1, 397.1, 732.3", \ + " 91.3, 110.1, 152.5, 242.2, 400.3, 735.5", \ + " 95.5, 114.3, 156.6, 246.4, 404.5, 739.7", \ + " 102.3, 121.1, 163.5, 253.2, 411.3, 746.6", \ + " 109.7, 128.9, 171.5, 261.3, 419.4, 754.6", \ + " 117.5, 137.1, 180.1, 270.1, 428.2, 763.4", \ + " 124.7, 144.9, 188.6, 278.5, 436.7, 771.9", \ + " 129.9, 151.1, 195.8, 286.2, 444.4, 779.6", \ + " 130.8, 153.1, 199.7, 291.4, 449.9, 785.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.9, 98.7, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.7, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.8, 201.3, 440.0, 870.8, 1790.8", \ + " 61.2, 99.1, 201.5, 440.0, 870.9, 1790.8", \ + " 63.1, 100.6, 202.4, 440.4, 871.0, 1790.8", \ + " 66.0, 103.5, 204.5, 441.4, 871.3, 1790.9", \ + " 70.2, 107.5, 207.6, 443.2, 872.2, 1791.2", \ + " 76.2, 113.3, 212.1, 445.9, 873.5, 1791.9", \ + " 84.7, 121.9, 219.4, 450.6, 876.1, 1793.0", \ + " 96.4, 134.2, 230.9, 459.3, 881.8, 1795.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.8, 127.2, 165.8, 238.1, 360.3, 618.2", \ + " 114.7, 134.2, 172.8, 245.1, 367.3, 625.2", \ + " 119.4, 138.9, 177.5, 249.8, 372.0, 629.9", \ + " 125.5, 145.0, 183.6, 255.9, 378.1, 636.0", \ + " 136.1, 155.5, 194.1, 266.5, 388.7, 646.6", \ + " 150.1, 169.9, 208.8, 281.3, 403.5, 661.4", \ + " 168.7, 189.4, 229.4, 302.5, 424.7, 682.6", \ + " 194.1, 215.6, 257.0, 331.2, 453.8, 711.6", \ + " 229.6, 252.2, 295.5, 371.0, 494.2, 752.1", \ + " 278.8, 302.9, 348.8, 426.7, 550.6, 809.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 86.2, 155.9, 313.7, 602.6, 1227.4", \ + " 57.2, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.3, 156.0, 313.7, 602.6, 1227.4", \ + " 58.2, 87.0, 156.4, 313.9, 602.7, 1227.4", \ + " 61.6, 89.9, 158.4, 314.7, 603.0, 1227.5", \ + " 66.3, 95.1, 163.1, 317.7, 604.3, 1227.7", \ + " 72.1, 101.4, 169.3, 322.3, 607.1, 1228.8", \ + " 80.4, 110.1, 177.7, 328.8, 611.1, 1230.7", \ + " 92.1, 122.4, 190.3, 338.9, 617.8, 1233.9" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 95.7 ; */ +/* intrinsic_fall : 133.2 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.7, 102.5, 144.9, 234.6, 392.7, 727.9", \ + " 88.1, 106.9, 149.3, 239.1, 397.1, 732.3", \ + " 91.3, 110.1, 152.5, 242.2, 400.3, 735.5", \ + " 95.5, 114.3, 156.6, 246.4, 404.4, 739.7", \ + " 102.3, 121.1, 163.5, 253.2, 411.3, 746.6", \ + " 109.7, 128.9, 171.5, 261.3, 419.4, 754.6", \ + " 117.5, 137.1, 180.1, 270.1, 428.2, 763.4", \ + " 124.7, 144.9, 188.6, 278.5, 436.7, 771.9", \ + " 129.9, 151.1, 195.8, 286.2, 444.4, 779.6", \ + " 130.8, 153.1, 199.7, 291.4, 449.9, 785.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.9, 98.7, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.8, 201.3, 440.0, 870.8, 1790.8", \ + " 60.9, 98.8, 201.3, 440.0, 870.9, 1790.8", \ + " 61.2, 99.1, 201.5, 440.0, 870.9, 1790.8", \ + " 63.1, 100.6, 202.4, 440.4, 871.0, 1790.8", \ + " 66.0, 103.5, 204.5, 441.4, 871.3, 1790.9", \ + " 70.2, 107.5, 207.6, 443.2, 872.2, 1791.2", \ + " 76.2, 113.3, 212.1, 445.9, 873.5, 1791.9", \ + " 84.7, 121.9, 219.4, 450.6, 876.1, 1793.0", \ + " 96.4, 134.2, 230.9, 459.3, 881.8, 1795.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.8, 127.2, 165.8, 238.1, 360.3, 618.2", \ + " 114.7, 134.2, 172.8, 245.1, 367.3, 625.2", \ + " 119.4, 138.9, 177.5, 249.8, 372.0, 629.9", \ + " 125.5, 145.0, 183.6, 255.9, 378.1, 636.0", \ + " 136.1, 155.5, 194.1, 266.5, 388.7, 646.6", \ + " 150.1, 169.9, 208.8, 281.3, 403.5, 661.4", \ + " 168.7, 189.4, 229.4, 302.5, 424.7, 682.6", \ + " 194.1, 215.6, 257.0, 331.2, 453.8, 711.6", \ + " 229.6, 252.2, 295.5, 371.0, 494.2, 752.1", \ + " 278.8, 302.9, 348.8, 426.7, 550.6, 809.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 86.2, 155.9, 313.7, 602.6, 1227.4", \ + " 57.2, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.4, 156.0, 313.7, 602.6, 1227.4", \ + " 58.2, 87.0, 156.4, 313.9, 602.7, 1227.4", \ + " 61.6, 89.9, 158.4, 314.7, 603.0, 1227.5", \ + " 66.3, 95.1, 163.1, 317.7, 604.3, 1227.7", \ + " 72.1, 101.4, 169.3, 322.3, 607.1, 1228.8", \ + " 80.4, 110.1, 177.7, 328.8, 611.1, 1230.7", \ + " 92.1, 122.4, 190.3, 338.9, 617.8, 1233.9" ); }} +timing() { /* ring osc delay mxn2v0x1, path s to z 91.5 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.3 ; */ +/* intrinsic_fall : 108.2 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.7, 85.7, 128.1, 217.9, 375.9, 711.0", \ + " 72.6, 91.6, 134.1, 223.8, 381.8, 717.0", \ + " 77.3, 96.2, 138.6, 228.4, 386.5, 721.7", \ + " 83.1, 101.9, 144.2, 234.0, 392.1, 727.3", \ + " 90.6, 109.8, 152.2, 241.9, 399.9, 735.2", \ + " 97.9, 117.4, 160.5, 250.1, 408.1, 743.3", \ + " 105.5, 125.5, 168.7, 258.9, 416.8, 751.9", \ + " 112.5, 133.3, 177.2, 267.3, 425.5, 760.5", \ + " 117.6, 139.5, 184.9, 275.4, 433.7, 768.8", \ + " 118.1, 141.7, 189.4, 281.6, 440.4, 776.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 97.4, 200.6, 439.8, 870.8, 1790.8", \ + " 59.1, 97.5, 200.7, 439.8, 870.8, 1790.8", \ + " 59.3, 97.7, 200.8, 439.8, 870.8, 1790.8", \ + " 60.8, 98.6, 201.2, 439.9, 870.9, 1790.8", \ + " 64.5, 101.8, 203.1, 440.5, 870.9, 1790.8", \ + " 68.6, 106.0, 206.2, 442.2, 871.5, 1790.8", \ + " 74.8, 111.3, 210.5, 444.6, 872.9, 1791.2", \ + " 83.5, 119.6, 216.4, 448.3, 874.7, 1792.3", \ + " 95.5, 131.7, 226.5, 454.9, 878.4, 1793.9", \ + " 111.6, 148.5, 242.4, 466.8, 886.3, 1798.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.4, 102.4, 140.5, 212.5, 334.6, 592.5", \ + " 88.8, 107.7, 145.8, 217.9, 340.0, 597.9", \ + " 94.0, 112.9, 150.9, 223.0, 345.1, 603.0", \ + " 101.5, 120.3, 158.3, 230.4, 352.6, 610.5", \ + " 114.5, 133.3, 171.3, 243.5, 365.7, 623.6", \ + " 130.1, 149.7, 188.6, 261.0, 383.2, 641.0", \ + " 150.5, 170.6, 210.7, 284.2, 406.4, 664.2", \ + " 177.1, 198.1, 239.1, 313.5, 436.6, 694.3", \ + " 212.6, 235.0, 277.7, 353.0, 476.8, 735.0", \ + " 260.6, 284.7, 330.4, 408.0, 532.0, 791.4" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.2, 82.5, 153.5, 312.4, 602.1, 1227.2", \ + " 53.2, 82.5, 153.4, 312.4, 602.1, 1227.2", \ + " 53.2, 82.6, 153.4, 312.4, 602.1, 1227.2", \ + " 53.5, 82.8, 153.6, 312.5, 602.1, 1227.2", \ + " 56.3, 84.9, 154.7, 312.9, 602.3, 1227.3", \ + " 61.1, 89.6, 158.4, 314.8, 602.9, 1227.4", \ + " 66.7, 95.2, 163.9, 318.8, 605.3, 1227.9", \ + " 74.2, 102.3, 170.0, 323.9, 608.6, 1229.9", \ + " 84.9, 113.1, 179.3, 330.5, 613.2, 1232.4", \ + " 99.9, 128.6, 194.3, 341.5, 620.7, 1236.4" ); }} +timing() { /* ring osc delay mxn2v0x1, path s to z 145.1 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 154.7 ; */ +/* intrinsic_fall : 144.1 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 131.8, 150.7, 193.1, 282.9, 441.0, 776.2", \ + " 139.2, 158.0, 200.4, 290.2, 448.3, 783.6", \ + " 145.6, 164.5, 206.9, 296.6, 454.7, 790.0", \ + " 154.3, 173.2, 215.6, 305.4, 463.5, 798.7", \ + " 168.9, 187.7, 230.1, 319.9, 478.0, 813.3", \ + " 187.4, 206.2, 248.7, 338.5, 496.5, 831.8", \ + " 211.1, 229.9, 272.5, 362.4, 520.4, 855.7", \ + " 241.9, 260.7, 303.1, 393.0, 551.2, 886.5", \ + " 283.2, 302.1, 344.6, 434.4, 592.6, 928.0", \ + " 340.0, 359.0, 401.7, 491.6, 649.7, 985.1" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 99.9, 202.3, 440.5, 871.1, 1790.8", \ + " 62.0, 99.9, 202.3, 440.5, 871.1, 1790.8", \ + " 62.0, 100.0, 202.3, 440.5, 871.1, 1790.8", \ + " 62.0, 99.9, 202.3, 440.5, 871.1, 1790.8", \ + " 62.1, 100.1, 202.3, 440.5, 871.1, 1790.8", \ + " 62.5, 100.3, 202.4, 440.5, 871.1, 1790.8", \ + " 62.1, 100.3, 202.6, 440.8, 871.2, 1790.8", \ + " 62.6, 100.5, 202.7, 440.9, 871.4, 1791.1", \ + " 63.6, 101.4, 203.2, 441.1, 871.5, 1791.2", \ + " 65.4, 103.0, 204.5, 441.7, 871.8, 1791.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 121.4, 140.8, 179.4, 251.7, 373.9, 631.8", \ + " 128.2, 147.6, 186.2, 258.5, 380.7, 638.6", \ + " 132.3, 151.7, 190.3, 262.6, 384.8, 642.7", \ + " 136.5, 156.0, 194.5, 266.8, 389.0, 646.9", \ + " 141.5, 161.0, 199.5, 271.8, 394.0, 651.9", \ + " 146.7, 165.8, 204.2, 276.5, 398.6, 656.5", \ + " 151.1, 169.9, 207.8, 280.0, 402.2, 660.2", \ + " 152.7, 171.5, 209.6, 281.6, 403.6, 661.7", \ + " 150.1, 169.0, 207.2, 279.5, 401.5, 659.4", \ + " 139.7, 158.8, 197.3, 270.3, 393.1, 650.9" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 86.3, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.3, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.3, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.3, 156.0, 313.7, 602.6, 1227.4", \ + " 57.3, 86.2, 156.0, 313.7, 602.6, 1227.4", \ + " 55.7, 84.8, 155.0, 313.3, 602.5, 1227.4", \ + " 55.2, 84.0, 154.1, 312.8, 602.3, 1227.5", \ + " 55.4, 84.3, 154.3, 312.5, 602.0, 1227.3", \ + " 56.3, 85.2, 155.2, 313.1, 602.1, 1227.2", \ + " 58.0, 86.8, 156.8, 315.3, 604.1, 1228.3" ); }} +} +} +cell(mxn2v2x1) { /* 2008-01-06:07h37 characteristic delay 18.9 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1490 ; /* mxn2v2x1 */ +cell_footprint : mxn2 ; +pin(a0) { /* mxn2v2x1 FO4 effort 1.86 */ +direction : input ; +capacitance : 3.02 ; +rise_capacitance : 3.12 ; +fall_capacitance : 2.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a0 of mxn2v2x1 */ +} +pin(a1) { /* mxn2v2x1 FO4 effort 1.84 */ +direction : input ; +capacitance : 2.97 ; +rise_capacitance : 3.05 ; +fall_capacitance : 2.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of mxn2v2x1 */ +} +pin(s) { /* mxn2v2x1 FO4 effort 1.74 logical effort 2.21 */ +direction : input ; +capacitance : 5.29 ; +rise_capacitance : 5.15 ; +fall_capacitance : 5.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin s of mxn2v2x1 */ +internal_power(s) { /* mxn2v2x1 7.70 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.86, 3.76, 3.78, 3.85, 4.05, 4.41, 5.05, 6.10, 7.81, 10.54" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 85 ; +max_fanout : 4 ; +function : "((a0*s')+(a1*s))" ; +internal_power(a0_z_p) { /* mxn2v2x1 24.85 nW/MHz */ +related_pin : "a0" ; +power(pwr_x1_130_5x10) { +values( " 12.39, 12.41, 12.44, 12.44, 12.44", \ + " 12.22, 12.25, 12.29, 12.31, 12.31", \ + " 12.24, 12.27, 12.32, 12.34, 12.35", \ + " 12.34, 12.38, 12.43, 12.46, 12.47", \ + " 12.65, 12.68, 12.73, 12.77, 12.79", \ + " 13.22, 13.24, 13.29, 13.33, 13.35", \ + " 14.22, 14.22, 14.25, 14.30, 14.32", \ + " 15.84, 15.82, 15.83, 15.86, 15.88", \ + " 18.45, 18.40, 18.37, 18.37, 18.38", \ + " 22.60, 22.51, 22.41, 22.36, 22.35" ); }} +internal_power(a1_z_p) { /* mxn2v2x1 24.99 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 12.42, 12.44, 12.46, 12.46, 12.45", \ + " 12.28, 12.31, 12.34, 12.35, 12.35", \ + " 12.31, 12.34, 12.37, 12.39, 12.39", \ + " 12.43, 12.45, 12.49, 12.52, 12.52", \ + " 12.75, 12.77, 12.81, 12.84, 12.85", \ + " 13.32, 13.34, 13.38, 13.41, 13.43", \ + " 14.33, 14.33, 14.36, 14.39, 14.41", \ + " 15.95, 15.94, 15.95, 15.97, 15.98", \ + " 18.56, 18.53, 18.49, 18.49, 18.50", \ + " 22.71, 22.63, 22.55, 22.50, 22.48" ); }} +internal_power(s_z_p) { /* mxn2v2x1 21.69 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 7.36, 6.99, 6.34, 5.67, 5.20", \ + " 7.55, 7.19, 6.55, 5.85, 5.34", \ + " 7.73, 7.38, 6.74, 6.02, 5.47", \ + " 7.96, 7.62, 6.99, 6.23, 5.63", \ + " 8.35, 8.02, 7.39, 6.58, 5.90", \ + " 8.87, 8.55, 7.92, 7.05, 6.26", \ + " 9.63, 9.30, 8.66, 7.72, 6.80", \ + " 10.72, 10.38, 9.71, 8.68, 7.60", \ + " 12.45, 12.00, 11.23, 10.10, 8.83", \ + " 15.35, 14.69, 13.56, 12.23, 10.73" ); }} +internal_power(s_z_n) { /* mxn2v2x1 16.84 nW/MHz */ +related_pin : "s" ; +power(pwr_x1_130_5x10) { +values( " 4.66, 4.54, 4.29, 3.99, 3.77", \ + " 4.71, 4.58, 4.35, 4.07, 3.84", \ + " 4.82, 4.68, 4.43, 4.14, 3.90", \ + " 5.00, 4.84, 4.57, 4.24, 3.97", \ + " 5.37, 5.17, 4.83, 4.44, 4.12", \ + " 5.93, 5.68, 5.26, 4.76, 4.34", \ + " 6.84, 6.51, 5.96, 5.31, 4.74", \ + " 8.24, 7.81, 7.09, 6.21, 5.43", \ + " 10.44, 9.86, 8.91, 7.73, 6.62", \ + " 13.98, 13.20, 11.85, 10.25, 8.70" ); }} +timing() { /* ring osc delay mxn2v2x1, path a0 to z 102.3 */ +related_pin : "a0" ; +when : "(a1'*s')" ; +sdf_cond : "((a1 == 1'b0) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.4 ; */ +/* intrinsic_fall : 107.5 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.74 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.1, 74.2, 102.7, 165.6, 277.0, 513.7", \ + " 70.1, 81.1, 109.6, 172.6, 284.1, 520.8", \ + " 74.5, 85.6, 114.1, 177.1, 288.6, 525.2", \ + " 79.0, 90.0, 118.5, 181.5, 293.0, 529.6", \ + " 83.9, 95.0, 123.6, 186.6, 298.0, 534.7", \ + " 88.0, 99.2, 127.7, 190.8, 302.2, 538.8", \ + " 90.9, 102.1, 130.7, 193.6, 305.1, 541.8", \ + " 91.0, 102.5, 131.2, 194.2, 305.7, 542.4", \ + " 86.2, 98.1, 127.3, 190.7, 302.2, 539.0", \ + " 72.5, 85.1, 115.3, 179.4, 291.7, 528.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 101.2, 135.0, 229.2, 447.3, 839.2, 1674.4", \ + " 101.4, 135.2, 229.2, 447.3, 839.2, 1674.4", \ + " 102.1, 135.7, 229.5, 447.4, 839.2, 1674.4", \ + " 103.6, 136.9, 230.2, 447.6, 839.2, 1674.4", \ + " 106.1, 138.9, 231.6, 448.3, 839.5, 1674.5", \ + " 109.3, 141.7, 233.4, 449.3, 840.0, 1674.7", \ + " 114.0, 145.8, 236.4, 450.9, 840.7, 1675.1", \ + " 121.1, 152.1, 241.1, 453.8, 842.2, 1675.7", \ + " 131.3, 161.5, 249.0, 459.0, 845.3, 1677.2", \ + " 145.6, 175.2, 261.0, 468.3, 851.7, 1681.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.0, 94.7, 118.1, 167.7, 254.0, 436.7", \ + " 92.6, 102.3, 125.7, 175.3, 261.7, 444.4", \ + " 99.1, 108.7, 132.2, 181.8, 268.2, 450.9", \ + " 107.8, 117.4, 141.0, 190.6, 277.0, 459.7", \ + " 121.9, 131.7, 155.3, 205.0, 291.4, 474.2", \ + " 139.1, 149.2, 173.2, 223.1, 309.7, 492.5", \ + " 161.4, 171.7, 196.2, 246.7, 333.4, 516.3", \ + " 190.5, 201.2, 226.3, 277.2, 364.3, 547.4", \ + " 229.9, 241.2, 267.2, 318.9, 406.3, 589.8", \ + " 284.1, 296.3, 323.7, 376.9, 465.2, 649.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.2, 97.9, 159.1, 299.9, 555.6, 1104.8", \ + " 75.3, 98.0, 159.1, 299.9, 555.6, 1104.8", \ + " 75.5, 98.2, 159.2, 300.0, 555.6, 1104.8", \ + " 76.2, 98.7, 159.6, 300.1, 555.7, 1104.8", \ + " 78.9, 101.0, 161.1, 300.9, 555.9, 1104.9", \ + " 83.2, 104.8, 164.2, 302.9, 556.8, 1105.0", \ + " 88.2, 109.6, 168.2, 305.6, 558.5, 1105.7", \ + " 94.8, 115.7, 173.4, 309.4, 560.6, 1106.6", \ + " 104.2, 124.7, 181.1, 315.1, 564.1, 1108.0", \ + " 117.5, 137.7, 193.0, 324.5, 570.5, 1111.0" ); }} +timing() { /* ring osc delay mxn2v2x1, path a0 to z 102.3 */ +related_pin : "a0" ; +when : "(a1*s')" ; +sdf_cond : "((a1 == 1'b1) && (s == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.4 ; */ +/* intrinsic_fall : 107.5 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.74 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.2, 74.2, 102.7, 165.6, 277.1, 513.7", \ + " 70.1, 81.2, 109.7, 172.7, 284.1, 520.8", \ + " 74.6, 85.6, 114.1, 177.1, 288.6, 525.3", \ + " 79.0, 90.1, 118.5, 181.5, 293.0, 529.7", \ + " 84.0, 95.1, 123.6, 186.6, 298.0, 534.7", \ + " 88.1, 99.2, 127.7, 190.8, 302.2, 538.9", \ + " 90.9, 102.1, 130.7, 193.6, 305.1, 541.8", \ + " 91.1, 102.5, 131.3, 194.2, 305.7, 542.4", \ + " 86.2, 98.1, 127.4, 190.7, 302.3, 539.1", \ + " 72.5, 85.1, 115.3, 179.4, 291.7, 528.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 101.2, 135.0, 229.2, 447.3, 839.2, 1674.5", \ + " 101.4, 135.2, 229.3, 447.3, 839.2, 1674.5", \ + " 102.1, 135.7, 229.5, 447.4, 839.2, 1674.5", \ + " 103.6, 136.9, 230.2, 447.6, 839.2, 1674.5", \ + " 106.1, 138.9, 231.6, 448.4, 839.5, 1674.5", \ + " 109.3, 141.7, 233.4, 449.3, 840.0, 1674.7", \ + " 114.0, 145.8, 236.4, 450.9, 840.7, 1675.1", \ + " 121.1, 152.1, 241.2, 453.8, 842.2, 1675.7", \ + " 131.2, 161.5, 249.0, 459.1, 845.4, 1677.3", \ + " 145.6, 175.2, 261.1, 468.4, 851.7, 1681.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.0, 94.6, 118.1, 167.7, 254.0, 436.7", \ + " 92.6, 102.3, 125.7, 175.3, 261.7, 444.4", \ + " 99.1, 108.7, 132.2, 181.8, 268.2, 450.9", \ + " 107.7, 117.4, 140.9, 190.6, 277.0, 459.7", \ + " 121.9, 131.7, 155.3, 205.0, 291.4, 474.2", \ + " 139.1, 149.1, 173.2, 223.1, 309.6, 492.5", \ + " 161.4, 171.7, 196.2, 246.6, 333.4, 516.3", \ + " 190.5, 201.2, 226.2, 277.2, 364.3, 547.4", \ + " 229.9, 241.2, 267.2, 318.9, 406.3, 589.8", \ + " 284.1, 296.3, 323.7, 376.9, 465.1, 649.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.2, 97.8, 159.0, 299.9, 555.6, 1104.8", \ + " 75.2, 97.9, 159.1, 299.9, 555.6, 1104.8", \ + " 75.5, 98.1, 159.1, 299.9, 555.6, 1104.8", \ + " 76.1, 98.6, 159.5, 300.1, 555.6, 1104.8", \ + " 78.9, 100.9, 161.1, 300.8, 555.9, 1104.8", \ + " 83.1, 104.8, 164.1, 302.8, 556.8, 1105.0", \ + " 88.2, 109.5, 168.2, 305.6, 558.4, 1105.6", \ + " 94.8, 115.7, 173.3, 309.3, 560.5, 1106.5", \ + " 104.1, 124.6, 181.0, 315.0, 564.0, 1107.9", \ + " 117.5, 137.6, 192.9, 324.5, 570.4, 1110.9" ); }} +timing() { +related_pin : "a0" ; +timing_sense : non_unate ; +/* intrinsic_rise : 76.4 ; */ +/* intrinsic_fall : 107.5 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.74 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.1, 74.2, 102.7, 165.6, 277.0, 513.7", \ + " 70.1, 81.1, 109.6, 172.6, 284.1, 520.8", \ + " 74.5, 85.6, 114.1, 177.1, 288.6, 525.2", \ + " 79.0, 90.0, 118.5, 181.5, 293.0, 529.6", \ + " 83.9, 95.0, 123.6, 186.6, 298.0, 534.7", \ + " 88.0, 99.2, 127.7, 190.8, 302.2, 538.8", \ + " 90.9, 102.1, 130.7, 193.6, 305.1, 541.8", \ + " 91.0, 102.5, 131.2, 194.2, 305.7, 542.4", \ + " 86.2, 98.1, 127.3, 190.7, 302.2, 539.0", \ + " 72.5, 85.1, 115.3, 179.4, 291.7, 528.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 101.2, 135.0, 229.2, 447.3, 839.2, 1674.4", \ + " 101.4, 135.2, 229.2, 447.3, 839.2, 1674.4", \ + " 102.1, 135.7, 229.5, 447.4, 839.2, 1674.4", \ + " 103.6, 136.9, 230.2, 447.6, 839.2, 1674.4", \ + " 106.1, 138.9, 231.6, 448.3, 839.5, 1674.5", \ + " 109.3, 141.7, 233.4, 449.3, 840.0, 1674.7", \ + " 114.0, 145.8, 236.4, 450.9, 840.7, 1675.1", \ + " 121.1, 152.1, 241.1, 453.8, 842.2, 1675.7", \ + " 131.3, 161.5, 249.0, 459.0, 845.3, 1677.2", \ + " 145.6, 175.2, 261.0, 468.3, 851.7, 1681.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.0, 94.7, 118.1, 167.7, 254.0, 436.7", \ + " 92.6, 102.3, 125.7, 175.3, 261.7, 444.4", \ + " 99.1, 108.7, 132.2, 181.8, 268.2, 450.9", \ + " 107.8, 117.4, 141.0, 190.6, 277.0, 459.7", \ + " 121.9, 131.7, 155.3, 205.0, 291.4, 474.2", \ + " 139.1, 149.2, 173.2, 223.1, 309.7, 492.5", \ + " 161.4, 171.7, 196.2, 246.7, 333.4, 516.3", \ + " 190.5, 201.2, 226.3, 277.2, 364.3, 547.4", \ + " 229.9, 241.2, 267.2, 318.9, 406.3, 589.8", \ + " 284.1, 296.3, 323.7, 376.9, 465.2, 649.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.2, 97.9, 159.1, 299.9, 555.6, 1104.8", \ + " 75.3, 98.0, 159.1, 299.9, 555.6, 1104.8", \ + " 75.5, 98.2, 159.2, 300.0, 555.6, 1104.8", \ + " 76.2, 98.7, 159.6, 300.1, 555.7, 1104.8", \ + " 78.9, 101.0, 161.1, 300.9, 555.9, 1104.9", \ + " 83.2, 104.8, 164.2, 302.9, 556.8, 1105.0", \ + " 88.2, 109.6, 168.2, 305.6, 558.5, 1105.7", \ + " 94.8, 115.7, 173.4, 309.4, 560.6, 1106.6", \ + " 104.2, 124.7, 181.1, 315.1, 564.1, 1108.0", \ + " 117.5, 137.7, 193.0, 324.5, 570.5, 1111.0" ); }} +timing() { /* ring osc delay mxn2v2x1, path a1 to z 101.5 */ +related_pin : "a1" ; +when : "(a0'*s)" ; +sdf_cond : "((a0 == 1'b0) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 103.7 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.2, 76.7, 105.6, 168.7, 280.1, 516.8", \ + " 72.1, 83.6, 112.5, 175.7, 287.2, 523.9", \ + " 76.4, 87.9, 116.8, 180.0, 291.5, 528.2", \ + " 80.5, 92.1, 121.0, 184.1, 295.7, 532.4", \ + " 85.1, 96.7, 125.8, 188.9, 300.4, 537.1", \ + " 88.8, 100.4, 129.5, 192.7, 304.2, 540.9", \ + " 91.2, 102.9, 132.0, 195.2, 306.8, 543.5", \ + " 90.8, 102.7, 132.0, 195.3, 306.9, 543.6", \ + " 85.3, 97.6, 127.4, 191.2, 302.9, 539.8", \ + " 70.9, 83.8, 114.5, 179.1, 291.7, 529.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.6, 142.3, 237.6, 456.2, 848.2, 1683.6", \ + " 107.9, 142.5, 237.6, 456.2, 848.2, 1683.6", \ + " 108.6, 143.0, 237.9, 456.3, 848.2, 1683.6", \ + " 109.9, 144.1, 238.6, 456.6, 848.3, 1683.6", \ + " 112.1, 145.9, 239.8, 457.2, 848.6, 1683.6", \ + " 115.0, 148.4, 241.5, 458.1, 849.0, 1683.8", \ + " 119.4, 152.1, 244.3, 459.6, 849.7, 1684.2", \ + " 125.9, 158.0, 248.7, 462.4, 851.2, 1684.8", \ + " 135.5, 166.9, 256.1, 467.4, 854.3, 1686.4", \ + " 149.1, 179.8, 267.5, 476.4, 860.5, 1690.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.6, 90.9, 113.7, 162.8, 249.0, 431.7", \ + " 89.4, 98.7, 121.5, 170.6, 256.8, 439.5", \ + " 95.9, 105.2, 128.0, 177.2, 263.4, 446.1", \ + " 104.5, 113.9, 136.8, 185.9, 272.1, 454.8", \ + " 118.6, 128.0, 151.0, 200.2, 286.4, 469.1", \ + " 135.6, 145.3, 168.7, 218.0, 304.4, 487.1", \ + " 157.8, 167.7, 191.5, 241.3, 327.7, 510.6", \ + " 186.8, 197.1, 221.4, 271.5, 358.3, 541.3", \ + " 226.0, 236.9, 262.1, 312.9, 400.0, 583.3", \ + " 279.9, 291.7, 318.4, 370.6, 458.4, 642.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.8, 95.9, 155.4, 295.6, 551.2, 1100.5", \ + " 75.0, 96.0, 155.4, 295.6, 551.2, 1100.5", \ + " 75.2, 96.2, 155.5, 295.7, 551.2, 1100.5", \ + " 75.9, 96.8, 155.9, 295.8, 551.3, 1100.5", \ + " 78.7, 99.2, 157.6, 296.6, 551.6, 1100.5", \ + " 82.9, 103.0, 160.6, 298.5, 552.4, 1100.7", \ + " 87.9, 107.7, 164.6, 301.2, 554.0, 1101.3", \ + " 94.4, 113.8, 169.7, 304.8, 556.0, 1102.1", \ + " 103.8, 122.8, 177.4, 310.4, 559.3, 1103.4", \ + " 117.0, 135.9, 189.4, 319.8, 565.6, 1106.4" ); }} +timing() { /* ring osc delay mxn2v2x1, path a1 to z 101.5 */ +related_pin : "a1" ; +when : "(a0*s)" ; +sdf_cond : "((a0 == 1'b1) && (s == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 103.7 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.2, 76.7, 105.6, 168.6, 280.1, 516.8", \ + " 72.1, 83.6, 112.5, 175.7, 287.2, 523.9", \ + " 76.4, 87.9, 116.8, 180.0, 291.5, 528.2", \ + " 80.5, 92.1, 121.0, 184.1, 295.6, 532.4", \ + " 85.1, 96.7, 125.8, 188.9, 300.4, 537.1", \ + " 88.8, 100.4, 129.5, 192.7, 304.2, 540.9", \ + " 91.2, 102.9, 132.0, 195.2, 306.8, 543.4", \ + " 90.8, 102.7, 132.0, 195.3, 306.9, 543.6", \ + " 85.3, 97.6, 127.4, 191.2, 302.9, 539.8", \ + " 70.9, 83.8, 114.5, 179.1, 291.7, 529.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.6, 142.3, 237.6, 456.2, 848.2, 1683.6", \ + " 107.9, 142.5, 237.6, 456.2, 848.2, 1683.6", \ + " 108.5, 143.0, 237.9, 456.3, 848.2, 1683.6", \ + " 109.9, 144.1, 238.6, 456.6, 848.3, 1683.6", \ + " 112.1, 145.9, 239.8, 457.2, 848.6, 1683.6", \ + " 115.0, 148.4, 241.5, 458.1, 849.0, 1683.8", \ + " 119.4, 152.1, 244.3, 459.6, 849.7, 1684.1", \ + " 125.9, 158.0, 248.7, 462.4, 851.2, 1684.7", \ + " 135.5, 166.9, 256.1, 467.4, 854.3, 1686.4", \ + " 149.1, 179.8, 267.5, 476.3, 860.5, 1690.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.6, 90.9, 113.7, 162.8, 249.0, 431.7", \ + " 89.4, 98.7, 121.5, 170.6, 256.8, 439.5", \ + " 95.9, 105.2, 128.0, 177.2, 263.4, 446.1", \ + " 104.5, 113.9, 136.8, 185.9, 272.1, 454.8", \ + " 118.6, 128.0, 151.0, 200.2, 286.4, 469.1", \ + " 135.6, 145.3, 168.7, 218.0, 304.4, 487.1", \ + " 157.8, 167.7, 191.5, 241.3, 327.7, 510.6", \ + " 186.8, 197.1, 221.4, 271.5, 358.3, 541.3", \ + " 226.0, 236.9, 262.1, 312.9, 400.0, 583.3", \ + " 279.9, 291.7, 318.4, 370.6, 458.4, 642.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.8, 95.9, 155.4, 295.6, 551.2, 1100.5", \ + " 75.0, 96.0, 155.4, 295.6, 551.2, 1100.5", \ + " 75.2, 96.2, 155.5, 295.7, 551.3, 1100.5", \ + " 75.9, 96.8, 155.9, 295.8, 551.3, 1100.5", \ + " 78.7, 99.2, 157.6, 296.6, 551.6, 1100.5", \ + " 82.9, 103.0, 160.6, 298.5, 552.5, 1100.7", \ + " 87.9, 107.7, 164.6, 301.2, 554.0, 1101.3", \ + " 94.4, 113.9, 169.7, 304.8, 556.0, 1102.1", \ + " 103.8, 122.8, 177.4, 310.4, 559.3, 1103.4", \ + " 117.0, 135.9, 189.4, 319.8, 565.7, 1106.4" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 78.6 ; */ +/* intrinsic_fall : 103.7 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.2, 76.7, 105.6, 168.7, 280.1, 516.8", \ + " 72.1, 83.6, 112.5, 175.7, 287.2, 523.9", \ + " 76.4, 87.9, 116.8, 180.0, 291.5, 528.2", \ + " 80.5, 92.1, 121.0, 184.1, 295.7, 532.4", \ + " 85.1, 96.7, 125.8, 188.9, 300.4, 537.1", \ + " 88.8, 100.4, 129.5, 192.7, 304.2, 540.9", \ + " 91.2, 102.9, 132.0, 195.2, 306.8, 543.5", \ + " 90.8, 102.7, 132.0, 195.3, 306.9, 543.6", \ + " 85.3, 97.6, 127.4, 191.2, 302.9, 539.8", \ + " 70.9, 83.8, 114.5, 179.1, 291.7, 529.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.6, 142.3, 237.6, 456.2, 848.2, 1683.6", \ + " 107.9, 142.5, 237.6, 456.2, 848.2, 1683.6", \ + " 108.6, 143.0, 237.9, 456.3, 848.2, 1683.6", \ + " 109.9, 144.1, 238.6, 456.6, 848.3, 1683.6", \ + " 112.1, 145.9, 239.8, 457.2, 848.6, 1683.6", \ + " 115.0, 148.4, 241.5, 458.1, 849.0, 1683.8", \ + " 119.4, 152.1, 244.3, 459.6, 849.7, 1684.2", \ + " 125.9, 158.0, 248.7, 462.4, 851.2, 1684.8", \ + " 135.5, 166.9, 256.1, 467.4, 854.3, 1686.4", \ + " 149.1, 179.8, 267.5, 476.4, 860.5, 1690.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.6, 90.9, 113.7, 162.8, 249.0, 431.7", \ + " 89.4, 98.7, 121.5, 170.6, 256.8, 439.5", \ + " 95.9, 105.2, 128.0, 177.2, 263.4, 446.1", \ + " 104.5, 113.9, 136.8, 185.9, 272.1, 454.8", \ + " 118.6, 128.0, 151.0, 200.2, 286.4, 469.1", \ + " 135.6, 145.3, 168.7, 218.0, 304.4, 487.1", \ + " 157.8, 167.7, 191.5, 241.3, 327.7, 510.6", \ + " 186.8, 197.1, 221.4, 271.5, 358.3, 541.3", \ + " 226.0, 236.9, 262.1, 312.9, 400.0, 583.3", \ + " 279.9, 291.7, 318.4, 370.6, 458.4, 642.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.8, 95.9, 155.4, 295.6, 551.2, 1100.5", \ + " 75.0, 96.0, 155.4, 295.6, 551.2, 1100.5", \ + " 75.2, 96.2, 155.5, 295.7, 551.2, 1100.5", \ + " 75.9, 96.8, 155.9, 295.8, 551.3, 1100.5", \ + " 78.7, 99.2, 157.6, 296.6, 551.6, 1100.5", \ + " 82.9, 103.0, 160.6, 298.5, 552.4, 1100.7", \ + " 87.9, 107.7, 164.6, 301.2, 554.0, 1101.3", \ + " 94.4, 113.8, 169.7, 304.8, 556.0, 1102.1", \ + " 103.8, 122.8, 177.4, 310.4, 559.3, 1103.4", \ + " 117.0, 135.9, 189.4, 319.8, 565.6, 1106.4" ); }} +timing() { /* ring osc delay mxn2v2x1, path s to z 85.0 */ +related_pin : "s" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 56.6 ; */ +/* intrinsic_fall : 80.8 ; */ +/* rise_resistance : 3.27 ; */ +/* fall_resistance : 2.42 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 50.9, 74.1, 132.5, 241.5, 476.7", \ + " 50.4, 58.6, 82.0, 140.2, 248.7, 483.4", \ + " 56.0, 64.4, 87.8, 145.8, 253.9, 488.2", \ + " 63.5, 71.6, 94.9, 152.5, 260.2, 494.0", \ + " 75.5, 84.1, 106.1, 162.8, 269.7, 502.8", \ + " 85.5, 95.7, 121.2, 176.3, 281.8, 513.7", \ + " 93.3, 105.0, 134.3, 196.2, 299.1, 528.6", \ + " 98.0, 110.8, 142.8, 213.4, 324.9, 550.0", \ + " 98.1, 112.1, 146.0, 221.8, 351.6, 583.4", \ + " 90.6, 105.9, 141.9, 220.5, 361.3, 631.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 122.4, 153.3, 243.8, 457.3, 847.7, 1683.5", \ + " 121.4, 152.1, 240.8, 454.9, 846.8, 1683.5", \ + " 122.0, 152.7, 240.8, 453.0, 845.6, 1683.3", \ + " 123.7, 154.6, 242.1, 452.4, 843.3, 1683.0", \ + " 126.8, 158.7, 246.0, 454.5, 842.2, 1681.5", \ + " 127.8, 162.2, 254.5, 462.1, 846.2, 1679.2", \ + " 133.4, 167.3, 263.6, 479.2, 859.2, 1684.7", \ + " 141.4, 176.1, 272.4, 501.8, 886.6, 1703.3", \ + " 153.2, 188.4, 285.9, 515.3, 930.5, 1744.4", \ + " 170.9, 206.3, 304.0, 534.7, 960.3, 1819.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.7, 67.8, 86.1, 128.3, 208.3, 386.5", \ + " 68.2, 75.4, 94.0, 136.3, 216.3, 394.3", \ + " 74.7, 82.0, 100.7, 142.8, 222.7, 400.5", \ + " 83.8, 91.2, 109.9, 151.9, 231.5, 408.8", \ + " 99.3, 107.2, 126.1, 167.8, 246.6, 423.1", \ + " 120.4, 128.6, 147.7, 189.1, 266.9, 442.1", \ + " 144.9, 154.4, 175.9, 218.3, 295.1, 468.3", \ + " 174.7, 185.3, 208.9, 254.8, 333.3, 504.4", \ + " 213.6, 225.3, 251.0, 299.5, 382.4, 556.3", \ + " 265.9, 278.9, 307.1, 358.5, 443.6, 626.8" ); } +fall_transition(x1_130_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 119.5, 138.8, 183.7, 294.0, 522.5, 1034.0", \ + " 111.8, 129.8, 179.2, 292.9, 522.4, 1034.0", \ + " 109.3, 126.8, 175.0, 292.5, 522.4, 1034.0", \ + " 108.3, 125.6, 172.7, 291.9, 522.4, 1034.0", \ + " 109.5, 126.8, 173.2, 290.8, 522.6, 1034.0", \ + " 111.1, 130.4, 178.2, 294.7, 522.9, 1034.1", \ + " 115.4, 135.4, 185.9, 304.4, 528.6, 1033.8", \ + " 122.2, 143.7, 196.1, 316.5, 541.9, 1039.9", \ + " 133.1, 155.8, 210.2, 330.7, 559.1, 1057.5", \ + " 149.7, 173.5, 229.8, 351.1, 577.3, 1085.1" ); }} +timing() { /* ring osc delay mxn2v2x1, path s to z 56.3 */ +related_pin : "s" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 36.9 ; */ +/* rise_resistance : 3.56 ; */ +/* fall_resistance : 2.74 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 45.8, 77.9, 141.3, 253.1, 490.1", \ + " 38.1, 51.8, 84.3, 148.0, 260.0, 497.1", \ + " 44.0, 57.4, 89.9, 153.6, 265.5, 502.7", \ + " 50.0, 65.5, 97.7, 161.2, 273.0, 510.1", \ + " 59.1, 76.8, 111.8, 174.4, 285.8, 522.6", \ + " 70.6, 90.6, 130.6, 192.7, 302.7, 538.8", \ + " 86.1, 108.7, 154.1, 219.7, 326.6, 560.4", \ + " 106.5, 132.3, 184.0, 253.9, 361.2, 589.5", \ + " 134.1, 164.2, 224.2, 299.1, 411.7, 633.9", \ + " 172.0, 207.5, 278.8, 361.1, 478.5, 707.6" ); } +rise_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 83.5, 135.7, 256.3, 508.4, 956.6, 1914.3", \ + " 88.4, 138.8, 257.6, 508.5, 956.6, 1914.2", \ + " 95.2, 144.5, 262.1, 510.2, 956.7, 1914.2", \ + " 105.7, 153.7, 269.7, 515.0, 958.0, 1914.1", \ + " 126.2, 172.1, 285.4, 525.9, 963.7, 1914.7", \ + " 159.0, 200.6, 310.4, 544.0, 974.5, 1918.6", \ + " 188.3, 248.6, 350.7, 574.8, 993.9, 1926.6", \ + " 235.9, 297.3, 413.8, 626.1, 1029.2, 1941.6", \ + " 309.8, 371.9, 504.9, 711.7, 1094.9, 1974.3", \ + " 422.1, 486.3, 626.6, 851.7, 1213.0, 2049.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 30.3, 52.7, 102.1, 188.3, 370.8", \ + " 28.4, 36.9, 59.4, 109.0, 195.3, 377.8", \ + " 31.5, 41.8, 64.4, 113.9, 200.2, 382.7", \ + " 34.0, 46.2, 71.0, 120.0, 206.0, 388.4", \ + " 36.5, 51.1, 80.6, 129.7, 214.9, 396.8", \ + " 37.8, 55.2, 89.6, 143.6, 226.6, 406.9", \ + " 37.3, 58.0, 97.9, 158.9, 244.6, 421.1", \ + " 33.8, 58.3, 104.9, 173.1, 269.3, 443.3", \ + " 25.3, 54.5, 109.2, 185.5, 293.2, 481.0", \ + " 8.4, 43.3, 108.1, 194.4, 314.6, 526.3" ); } +fall_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 46.5, 78.6, 155.8, 321.4, 614.8, 1239.6", \ + " 52.7, 83.5, 158.6, 322.0, 614.7, 1239.5", \ + " 60.0, 90.2, 163.8, 325.1, 615.4, 1239.3", \ + " 72.1, 100.5, 172.2, 330.6, 618.3, 1239.5", \ + " 88.9, 120.7, 189.3, 342.4, 625.4, 1242.4", \ + " 110.0, 147.5, 216.3, 362.9, 638.4, 1249.2", \ + " 143.3, 182.1, 260.3, 398.9, 663.7, 1263.8", \ + " 192.4, 233.2, 315.0, 456.9, 709.8, 1293.1", \ + " 265.8, 308.7, 394.6, 545.8, 789.2, 1350.8", \ + " 378.8, 420.2, 512.4, 669.3, 920.4, 1457.0" ); }} +} +} +cell(nd2v0x05) { /* 2008-01-06:07h38 characteristic delay 11.3 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 266 ; /* nd2v0x05 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x05 FO4 effort 1.24 logical effort 1.29 */ +direction : input ; +capacitance : 1.75 ; +rise_capacitance : 1.68 ; +fall_capacitance : 1.83 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x05 */ +} +pin(b) { /* nd2v0x05 FO4 effort 1.23 logical effort 1.35 */ +direction : input ; +capacitance : 1.82 ; +rise_capacitance : 1.81 ; +fall_capacitance : 1.84 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 58 ; +max_fanout : 2 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x05 4.38 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 2.19, 2.22, 2.24, 2.25, 2.25", \ + " 2.12, 2.15, 2.19, 2.21, 2.22", \ + " 2.13, 2.15, 2.18, 2.21, 2.21", \ + " 2.18, 2.18, 2.19, 2.21, 2.21", \ + " 2.34, 2.30, 2.26, 2.24, 2.23", \ + " 2.65, 2.54, 2.42, 2.33, 2.28", \ + " 3.20, 3.01, 2.76, 2.55, 2.42", \ + " 4.12, 3.83, 3.40, 2.99, 2.71", \ + " 5.60, 5.20, 4.53, 3.83, 3.31", \ + " 7.94, 7.43, 6.49, 5.37, 4.46" ); }} +internal_power(b_z_n) { /* nd2v0x05 3.25 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 1.58, 1.64, 1.69, 1.72, 1.72", \ + " 1.51, 1.56, 1.63, 1.68, 1.70", \ + " 1.54, 1.56, 1.61, 1.67, 1.69", \ + " 1.61, 1.60, 1.62, 1.66, 1.69", \ + " 1.80, 1.74, 1.69, 1.69, 1.70", \ + " 2.14, 2.00, 1.86, 1.78, 1.74", \ + " 2.71, 2.49, 2.21, 2.00, 1.87", \ + " 3.64, 3.31, 2.85, 2.43, 2.16", \ + " 5.10, 4.66, 3.96, 3.26, 2.74", \ + " 7.41, 6.84, 5.86, 4.75, 3.87" ); }} +timing() { /* ring osc delay nd2v0x05, path a to z 44.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 7.39 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 47.8, 85.2, 168.6, 316.5, 630.5", \ + " 41.2, 55.4, 92.8, 176.3, 324.3, 638.3", \ + " 47.8, 61.7, 98.9, 182.3, 330.2, 644.3", \ + " 56.0, 70.6, 107.4, 190.5, 338.3, 652.3", \ + " 68.0, 85.3, 122.7, 205.2, 352.7, 666.5", \ + " 82.8, 102.7, 145.4, 226.9, 373.6, 687.0", \ + " 102.5, 125.3, 174.9, 260.4, 405.8, 718.2", \ + " 128.9, 154.7, 211.4, 310.0, 454.4, 764.9", \ + " 165.2, 194.8, 259.3, 373.5, 529.3, 836.6", \ + " 216.1, 250.5, 324.2, 454.8, 637.5, 948.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.0, 106.5, 211.9, 449.1, 870.5, 1765.9", \ + " 72.2, 109.4, 212.3, 449.1, 870.5, 1765.9", \ + " 78.6, 114.7, 215.1, 449.1, 870.5, 1765.9", \ + " 88.4, 123.0, 220.9, 450.9, 870.5, 1765.9", \ + " 107.2, 139.9, 233.9, 458.3, 871.4, 1765.9", \ + " 132.3, 166.2, 256.1, 473.9, 879.1, 1765.9", \ + " 164.2, 204.6, 293.3, 503.0, 898.5, 1770.6", \ + " 206.8, 253.0, 351.3, 552.6, 936.1, 1791.0", \ + " 266.6, 318.6, 432.1, 635.0, 1004.0, 1837.6", \ + " 352.6, 411.2, 539.8, 766.2, 1121.6, 1927.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 34.2, 60.5, 119.5, 224.1, 446.4", \ + " 28.6, 39.0, 65.5, 124.5, 229.2, 451.6", \ + " 30.3, 42.0, 68.8, 127.9, 232.6, 455.0", \ + " 31.7, 44.7, 73.1, 132.3, 237.1, 459.5", \ + " 32.5, 47.8, 79.8, 140.1, 244.9, 467.3", \ + " 31.6, 49.8, 86.5, 151.3, 256.1, 478.5", \ + " 27.5, 49.5, 92.8, 165.3, 273.0, 495.4", \ + " 18.9, 45.1, 96.8, 180.1, 297.3, 520.3", \ + " 3.0, 34.0, 95.7, 194.0, 325.7, 558.0", \ + " -24.8, 11.6, 85.3, 202.9, 355.6, 611.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 37.8, 64.8, 137.2, 300.4, 590.5, 1207.1", \ + " 42.1, 67.8, 138.6, 300.4, 590.5, 1207.1", \ + " 47.8, 72.1, 141.5, 301.8, 590.5, 1207.1", \ + " 56.7, 79.8, 146.9, 304.9, 591.5, 1207.1", \ + " 70.0, 95.7, 159.2, 312.9, 595.8, 1207.5", \ + " 89.3, 116.3, 181.0, 328.6, 605.8, 1211.4", \ + " 118.2, 146.5, 215.3, 357.8, 626.7, 1222.7", \ + " 159.9, 190.0, 262.2, 407.6, 665.6, 1247.9", \ + " 220.2, 252.9, 329.8, 483.5, 734.8, 1298.3", \ + " 307.1, 343.1, 427.7, 590.9, 851.6, 1392.4" ); }} +timing() { /* ring osc delay nd2v0x05, path b to z 40.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 33.7 ; */ +/* rise_resistance : 7.41 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.8, 41.4, 79.1, 162.7, 310.6, 624.7", \ + " 34.5, 48.8, 86.5, 170.3, 318.5, 632.6", \ + " 40.6, 55.0, 92.4, 176.2, 324.4, 638.6", \ + " 47.2, 63.8, 100.7, 184.2, 332.3, 646.6", \ + " 57.1, 76.7, 115.9, 198.7, 346.5, 660.6", \ + " 69.6, 92.1, 137.9, 220.1, 367.3, 681.0", \ + " 86.5, 112.2, 165.4, 253.5, 399.2, 712.0", \ + " 108.7, 138.4, 199.5, 302.0, 447.5, 758.4", \ + " 139.1, 173.7, 243.9, 363.0, 521.9, 829.8", \ + " 181.4, 222.1, 303.7, 440.8, 628.0, 940.7" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.7, 91.2, 196.5, 433.4, 854.6, 1749.7", \ + " 57.7, 94.3, 196.7, 433.4, 854.6, 1749.7", \ + " 64.6, 99.8, 199.6, 433.4, 854.6, 1749.7", \ + " 75.0, 108.6, 205.5, 435.1, 854.6, 1749.7", \ + " 94.6, 126.1, 218.9, 442.5, 855.4, 1749.7", \ + " 116.3, 153.4, 241.5, 458.3, 863.0, 1749.7", \ + " 146.0, 189.2, 279.4, 487.8, 882.5, 1754.2", \ + " 187.2, 235.4, 338.3, 538.1, 920.5, 1774.6", \ + " 246.4, 299.5, 416.5, 621.3, 989.1, 1821.5", \ + " 332.6, 391.3, 522.0, 753.1, 1107.7, 1912.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.6, 30.6, 57.0, 116.0, 220.7, 443.0", \ + " 26.2, 36.8, 63.3, 122.3, 227.0, 449.4", \ + " 28.4, 41.3, 68.1, 127.2, 231.9, 454.2", \ + " 30.3, 45.4, 74.7, 133.7, 238.4, 460.7", \ + " 31.7, 49.8, 85.2, 145.3, 249.8, 472.1", \ + " 31.6, 53.1, 95.3, 162.1, 266.4, 488.5", \ + " 29.2, 54.5, 104.6, 184.5, 291.6, 513.3", \ + " 22.9, 52.7, 111.7, 206.7, 328.9, 550.1", \ + " 10.1, 45.2, 114.9, 227.3, 373.4, 606.0", \ + " -13.1, 28.3, 110.7, 243.7, 417.8, 689.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.6, 63.1, 134.0, 293.5, 577.1, 1179.7", \ + " 44.8, 68.6, 136.0, 293.5, 577.1, 1179.7", \ + " 53.1, 75.7, 140.7, 294.8, 577.1, 1179.7", \ + " 63.7, 86.3, 149.0, 299.4, 577.5, 1179.7", \ + " 77.8, 105.8, 166.2, 311.3, 582.9, 1179.7", \ + " 97.6, 127.9, 193.3, 333.2, 597.1, 1182.9", \ + " 126.3, 159.3, 233.0, 371.2, 626.1, 1197.9", \ + " 166.3, 203.2, 283.7, 430.7, 677.7, 1232.6", \ + " 223.3, 265.5, 354.4, 517.4, 763.9, 1300.3", \ + " 306.1, 354.4, 455.1, 634.4, 900.0, 1422.0" ); }} +} +} +cell(nd2v0x1) { /* 2008-01-06:07h38 characteristic delay 10.9 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 462 ; /* nd2v0x1 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x1 FO4 effort 1.22 logical effort 1.27 */ +direction : input ; +capacitance : 2.99 ; +rise_capacitance : 2.86 ; +fall_capacitance : 3.12 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x1 */ +} +pin(b) { /* nd2v0x1 FO4 effort 1.19 logical effort 1.28 */ +direction : input ; +capacitance : 2.99 ; +rise_capacitance : 2.97 ; +fall_capacitance : 3.01 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 103 ; +max_fanout : 4 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x1 7.33 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 3.66, 3.71, 3.75, 3.77, 3.76", \ + " 3.53, 3.59, 3.66, 3.70, 3.72", \ + " 3.55, 3.59, 3.64, 3.69, 3.70", \ + " 3.65, 3.65, 3.66, 3.69, 3.70", \ + " 3.94, 3.86, 3.79, 3.75, 3.73", \ + " 4.48, 4.30, 4.08, 3.93, 3.83", \ + " 5.46, 5.14, 4.70, 4.32, 4.08", \ + " 7.06, 6.58, 5.83, 5.12, 4.62", \ + " 9.65, 8.98, 7.85, 6.62, 5.70", \ + " 13.73, 12.89, 11.31, 9.37, 7.77" ); }} +internal_power(b_z_n) { /* nd2v0x1 5.46 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 2.64, 2.75, 2.85, 2.90, 2.91", \ + " 2.53, 2.61, 2.74, 2.83, 2.87", \ + " 2.58, 2.62, 2.71, 2.80, 2.85", \ + " 2.71, 2.69, 2.73, 2.80, 2.84", \ + " 3.05, 2.94, 2.85, 2.85, 2.86", \ + " 3.64, 3.41, 3.16, 3.01, 2.95", \ + " 4.65, 4.27, 3.79, 3.41, 3.19", \ + " 6.27, 5.72, 4.92, 4.20, 3.71", \ + " 8.82, 8.08, 6.90, 5.67, 4.77", \ + " 12.82, 11.89, 10.24, 8.33, 6.78" ); }} +timing() { /* ring osc delay nd2v0x1, path a to z 42.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.0 ; */ +/* intrinsic_fall : 32.0 ; */ +/* rise_resistance : 4.22 ; */ +/* fall_resistance : 3.09 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.1, 79.3, 155.6, 290.8, 577.9", \ + " 39.7, 52.7, 87.0, 163.3, 298.6, 585.7", \ + " 46.4, 59.1, 93.1, 169.3, 304.6, 591.7", \ + " 54.3, 68.0, 101.5, 177.5, 312.7, 599.8", \ + " 65.9, 82.2, 117.0, 192.3, 327.0, 613.9", \ + " 80.3, 99.0, 139.3, 214.0, 348.1, 634.5", \ + " 99.6, 120.9, 167.6, 247.7, 380.4, 665.8", \ + " 125.4, 149.6, 202.9, 296.1, 429.2, 712.6", \ + " 160.9, 188.7, 249.3, 357.0, 504.4, 784.6", \ + " 210.7, 243.0, 312.5, 435.5, 608.3, 896.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.4, 99.5, 195.8, 412.7, 798.0, 1616.6", \ + " 68.9, 102.8, 196.5, 412.7, 798.0, 1616.6", \ + " 75.5, 108.2, 199.6, 412.7, 798.0, 1616.6", \ + " 85.5, 116.9, 205.8, 415.1, 798.0, 1616.6", \ + " 104.6, 134.1, 219.3, 423.4, 799.6, 1616.6", \ + " 129.1, 160.9, 242.2, 439.8, 808.5, 1616.7", \ + " 160.7, 198.5, 280.2, 470.1, 829.5, 1623.5", \ + " 203.1, 246.1, 338.9, 521.2, 869.0, 1646.6", \ + " 262.7, 311.2, 417.6, 605.3, 939.4, 1696.3", \ + " 348.7, 403.3, 523.6, 736.5, 1060.1, 1790.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 33.0, 57.6, 112.6, 210.3, 417.8", \ + " 27.9, 37.7, 62.4, 117.6, 215.3, 422.9", \ + " 29.5, 40.5, 65.7, 120.9, 218.7, 426.3", \ + " 30.8, 43.1, 70.1, 125.4, 223.2, 430.8", \ + " 31.5, 46.0, 76.4, 133.1, 231.0, 438.6", \ + " 30.4, 47.8, 82.8, 144.3, 242.2, 449.8", \ + " 26.3, 47.2, 88.5, 157.6, 259.2, 466.7", \ + " 17.7, 42.5, 91.9, 171.5, 282.8, 491.6", \ + " 1.8, 31.2, 90.1, 184.2, 309.8, 529.4", \ + " -25.7, 8.8, 78.9, 191.5, 337.7, 581.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 36.3, 61.5, 129.1, 281.4, 552.2, 1127.7", \ + " 40.7, 64.7, 130.6, 281.5, 552.2, 1127.7", \ + " 46.6, 69.2, 133.7, 283.0, 552.2, 1127.7", \ + " 55.4, 77.0, 139.3, 286.3, 553.5, 1127.7", \ + " 68.8, 92.9, 151.9, 294.8, 558.2, 1128.3", \ + " 88.0, 113.3, 174.2, 311.0, 568.7, 1132.8", \ + " 116.8, 143.4, 208.1, 340.9, 590.5, 1145.1", \ + " 158.5, 186.7, 254.6, 391.6, 630.6, 1171.6", \ + " 218.6, 249.3, 321.8, 466.4, 701.3, 1224.0", \ + " 305.3, 339.1, 419.0, 572.8, 818.4, 1320.7" ); }} +timing() { /* ring osc delay nd2v0x1, path b to z 38.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.5 ; */ +/* intrinsic_fall : 33.3 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.7, 39.1, 73.7, 150.2, 285.4, 572.6", \ + " 33.5, 46.5, 81.1, 157.8, 293.3, 580.5", \ + " 39.4, 52.8, 87.0, 163.6, 299.2, 586.5", \ + " 45.8, 61.4, 95.3, 171.7, 307.1, 594.5", \ + " 55.4, 73.8, 110.6, 186.2, 321.3, 608.5", \ + " 67.6, 88.6, 131.9, 207.7, 342.1, 628.9", \ + " 83.9, 108.1, 158.3, 241.2, 374.2, 660.0", \ + " 105.6, 133.6, 191.1, 288.2, 422.6, 706.6", \ + " 135.2, 167.8, 234.1, 346.6, 497.4, 778.2", \ + " 176.3, 214.7, 291.9, 421.5, 598.8, 889.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.9, 84.9, 181.2, 397.8, 782.9, 1601.3", \ + " 55.1, 88.4, 181.7, 397.8, 782.9, 1601.3", \ + " 62.2, 94.2, 184.8, 397.8, 782.9, 1601.3", \ + " 72.9, 103.2, 191.2, 400.1, 782.9, 1601.3", \ + " 92.1, 121.1, 205.1, 408.3, 784.4, 1601.3", \ + " 113.5, 148.9, 228.4, 425.0, 793.2, 1601.3", \ + " 143.0, 183.4, 267.0, 455.7, 814.3, 1608.0", \ + " 184.2, 229.0, 325.7, 507.5, 854.2, 1631.0", \ + " 243.3, 292.7, 402.1, 592.3, 925.3, 1681.0", \ + " 329.5, 384.2, 506.2, 723.5, 1047.1, 1776.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 29.7, 54.3, 109.4, 207.1, 414.6", \ + " 25.7, 35.8, 60.5, 115.7, 213.5, 421.0", \ + " 27.9, 40.2, 65.4, 120.5, 218.3, 425.8", \ + " 29.6, 44.0, 72.0, 127.0, 224.8, 432.3", \ + " 30.8, 48.1, 82.0, 138.6, 236.3, 443.7", \ + " 30.7, 51.1, 91.5, 155.5, 252.8, 460.1", \ + " 28.2, 52.2, 100.1, 176.7, 278.1, 485.0", \ + " 21.9, 50.1, 106.4, 197.5, 314.9, 521.8", \ + " 9.2, 42.5, 108.9, 216.5, 356.8, 577.8", \ + " -13.7, 25.5, 104.0, 231.1, 398.0, 659.0" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.2, 59.9, 126.1, 274.9, 539.6, 1102.0", \ + " 43.5, 65.6, 128.3, 274.9, 539.6, 1102.0", \ + " 51.9, 72.8, 133.2, 276.6, 539.6, 1102.0", \ + " 62.3, 83.6, 141.7, 281.5, 540.3, 1102.0", \ + " 76.1, 102.6, 159.2, 293.9, 546.5, 1102.0", \ + " 95.8, 124.4, 186.6, 316.4, 561.5, 1106.4", \ + " 124.2, 155.5, 225.3, 354.9, 591.5, 1122.9", \ + " 164.0, 199.0, 275.2, 414.8, 644.3, 1159.4", \ + " 220.7, 260.7, 345.1, 499.6, 731.3, 1229.4", \ + " 303.3, 348.9, 444.7, 614.7, 867.2, 1353.4" ); }} +} +} +cell(nd2v0x2) { /* 2008-01-06:07h38 characteristic delay 10.3 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 785 ; /* nd2v0x2 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x2 FO4 effort 1.18 logical effort 1.21 */ +direction : input ; +capacitance : 4.84 ; +rise_capacitance : 4.63 ; +fall_capacitance : 5.05 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x2 */ +} +pin(b) { /* nd2v0x2 FO4 effort 1.14 logical effort 1.20 */ +direction : input ; +capacitance : 4.79 ; +rise_capacitance : 4.77 ; +fall_capacitance : 4.81 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 176 ; +max_fanout : 6 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x2 11.70 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 5.82, 5.92, 5.99, 6.01, 6.00", \ + " 5.62, 5.72, 5.85, 5.92, 5.93", \ + " 5.66, 5.72, 5.82, 5.89, 5.91", \ + " 5.83, 5.82, 5.85, 5.90, 5.91", \ + " 6.32, 6.16, 6.04, 5.98, 5.95", \ + " 7.25, 6.89, 6.51, 6.25, 6.10", \ + " 8.91, 8.28, 7.49, 6.86, 6.48", \ + " 11.64, 10.69, 9.32, 8.11, 7.30", \ + " 16.03, 14.72, 12.61, 10.49, 8.97", \ + " 22.95, 21.29, 18.32, 14.90, 12.22" ); }} +internal_power(b_z_n) { /* nd2v0x2 8.65 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 4.16, 4.36, 4.52, 4.58, 4.59", \ + " 3.97, 4.13, 4.35, 4.49, 4.54", \ + " 4.06, 4.13, 4.30, 4.45, 4.52", \ + " 4.29, 4.26, 4.33, 4.44, 4.50", \ + " 4.86, 4.65, 4.52, 4.51, 4.53", \ + " 5.87, 5.43, 5.00, 4.76, 4.66", \ + " 7.59, 6.85, 6.00, 5.37, 5.02", \ + " 10.33, 9.25, 7.82, 6.60, 5.81", \ + " 14.66, 13.21, 11.03, 8.94, 7.44", \ + " 21.44, 19.61, 16.53, 13.19, 10.61" ); }} +timing() { /* ring osc delay nd2v0x2, path a to z 40.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 31.6 ; */ +/* rise_resistance : 2.46 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.0, 46.3, 86.2, 175.1, 332.8, 667.7", \ + " 38.9, 54.0, 93.8, 182.8, 340.6, 675.6", \ + " 45.5, 60.3, 99.9, 188.8, 346.6, 681.5", \ + " 53.2, 69.2, 108.4, 197.0, 354.6, 689.6", \ + " 64.6, 83.6, 123.7, 211.7, 369.0, 703.7", \ + " 78.7, 100.6, 146.2, 233.2, 389.9, 724.2", \ + " 97.7, 122.6, 175.8, 266.6, 421.9, 755.3", \ + " 123.0, 151.3, 212.1, 316.3, 470.2, 801.8", \ + " 157.7, 190.2, 259.5, 380.5, 544.6, 873.0", \ + " 206.3, 244.2, 323.6, 462.2, 654.2, 983.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 61.5, 103.6, 216.0, 468.9, 918.4, 1873.5", \ + " 67.2, 106.8, 216.4, 468.9, 918.4, 1873.5", \ + " 74.0, 112.1, 219.1, 468.9, 918.4, 1873.5", \ + " 84.1, 120.7, 224.9, 470.5, 918.4, 1873.5", \ + " 103.4, 137.9, 237.9, 477.6, 919.0, 1873.5", \ + " 127.7, 164.6, 260.1, 492.9, 925.9, 1873.5", \ + " 159.2, 203.0, 297.6, 521.6, 944.6, 1876.9", \ + " 201.7, 251.7, 356.2, 571.0, 981.4, 1895.7", \ + " 261.7, 318.0, 438.6, 653.7, 1048.4, 1940.5", \ + " 348.1, 411.5, 548.4, 785.9, 1165.4, 2028.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 34.8, 64.3, 130.3, 247.5, 496.5", \ + " 27.8, 39.5, 69.1, 135.3, 252.5, 501.6", \ + " 29.4, 42.5, 72.4, 138.6, 255.9, 505.0", \ + " 30.7, 45.3, 76.8, 143.0, 260.4, 509.4", \ + " 31.4, 48.5, 83.8, 150.8, 268.2, 517.3", \ + " 30.4, 50.8, 91.0, 162.1, 279.4, 528.5", \ + " 26.5, 50.9, 98.1, 177.1, 296.4, 545.4", \ + " 18.2, 47.2, 103.3, 193.4, 321.3, 570.3", \ + " 2.8, 37.1, 104.1, 209.6, 352.2, 608.0", \ + " -24.0, 16.4, 96.2, 222.0, 385.9, 664.1" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 36.2, 66.5, 147.6, 330.4, 655.4, 1345.9", \ + " 40.7, 69.5, 148.9, 330.4, 655.4, 1345.9", \ + " 46.6, 73.9, 151.7, 331.5, 655.4, 1345.9", \ + " 55.4, 81.4, 156.9, 334.4, 656.0, 1345.9", \ + " 68.8, 97.5, 168.9, 341.9, 659.7, 1346.0", \ + " 88.0, 118.2, 190.1, 356.8, 668.8, 1349.1", \ + " 116.9, 148.6, 224.9, 384.9, 688.4, 1359.0", \ + " 158.7, 192.2, 272.3, 433.3, 725.4, 1381.9", \ + " 218.8, 255.3, 340.3, 510.2, 792.1, 1429.1", \ + " 305.6, 345.7, 439.0, 619.0, 906.5, 1518.7" ); }} +timing() { /* ring osc delay nd2v0x2, path b to z 36.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.2 ; */ +/* intrinsic_fall : 33.1 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 40.6, 80.8, 169.9, 327.6, 662.6", \ + " 32.9, 48.0, 88.2, 177.5, 335.5, 670.6", \ + " 38.6, 54.3, 94.2, 183.4, 341.4, 676.5", \ + " 44.9, 63.0, 102.4, 191.4, 349.4, 684.5", \ + " 54.4, 75.7, 117.6, 205.9, 363.6, 698.6", \ + " 66.3, 90.8, 139.5, 227.2, 384.2, 718.9", \ + " 82.3, 110.4, 167.3, 260.3, 416.0, 749.8", \ + " 103.5, 136.0, 201.3, 309.2, 464.0, 796.1", \ + " 132.3, 170.3, 245.4, 371.2, 538.0, 867.0", \ + " 172.3, 217.0, 304.4, 449.6, 645.8, 977.2" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.6, 89.7, 202.0, 454.7, 904.0, 1858.8", \ + " 54.0, 93.0, 202.2, 454.7, 904.0, 1858.8", \ + " 61.2, 98.6, 205.0, 454.7, 904.0, 1858.8", \ + " 72.1, 107.5, 210.9, 456.1, 904.0, 1858.8", \ + " 91.1, 125.2, 224.2, 463.2, 904.5, 1858.8", \ + " 112.5, 152.9, 246.8, 478.6, 911.3, 1858.8", \ + " 142.2, 188.8, 284.8, 507.7, 930.0, 1862.1", \ + " 183.5, 235.4, 344.3, 557.7, 967.0, 1880.8", \ + " 242.8, 300.2, 424.3, 641.0, 1034.7, 1925.7", \ + " 329.4, 393.0, 532.0, 774.3, 1152.7, 2014.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.2, 31.5, 61.0, 127.1, 244.3, 493.3", \ + " 25.7, 37.6, 67.3, 133.4, 250.7, 499.7", \ + " 27.9, 42.3, 72.1, 138.2, 255.5, 504.6", \ + " 29.6, 46.5, 78.7, 144.7, 262.0, 511.0", \ + " 30.9, 51.2, 89.7, 156.3, 273.5, 522.4", \ + " 30.9, 54.8, 100.8, 173.1, 290.0, 538.8", \ + " 28.6, 56.7, 111.2, 197.0, 315.2, 563.6", \ + " 22.6, 55.7, 119.8, 221.8, 352.6, 600.3", \ + " 10.5, 49.4, 124.9, 245.6, 401.7, 656.1", \ + " -11.6, 34.2, 123.4, 266.0, 452.0, 741.4" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.1, 64.8, 144.2, 322.8, 640.4, 1315.4", \ + " 43.4, 70.1, 145.9, 322.8, 640.4, 1315.4", \ + " 51.9, 77.1, 150.3, 323.7, 640.4, 1315.4", \ + " 62.1, 87.7, 158.2, 327.7, 640.5, 1315.4", \ + " 76.0, 107.3, 175.1, 338.8, 644.8, 1315.4", \ + " 95.6, 129.6, 201.8, 359.6, 657.5, 1317.0", \ + " 124.1, 161.1, 242.3, 396.6, 684.7, 1329.5", \ + " 163.8, 205.1, 293.8, 455.4, 734.1, 1361.1", \ + " 220.6, 267.5, 365.3, 543.9, 818.4, 1424.7", \ + " 303.3, 356.9, 467.1, 663.4, 953.2, 1541.6" ); }} +} +} +cell(nd2v0x3) { /* 2008-01-06:07h38 characteristic delay 10.2 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1178 ; /* nd2v0x3 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x3 FO4 effort 1.19 logical effort 1.21 */ +direction : input ; +capacitance : 7.22 ; +rise_capacitance : 6.88 ; +fall_capacitance : 7.55 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x3 */ +} +pin(b) { /* nd2v0x3 FO4 effort 1.11 logical effort 1.18 */ +direction : input ; +capacitance : 7.03 ; +rise_capacitance : 7.00 ; +fall_capacitance : 7.06 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 264 ; +max_fanout : 8 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x3 18.05 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 9.03, 9.19, 9.30, 9.33, 9.31", \ + " 8.67, 8.85, 9.05, 9.16, 9.17", \ + " 8.72, 8.83, 9.00, 9.11, 9.14", \ + " 8.95, 8.95, 9.03, 9.11, 9.13", \ + " 9.65, 9.44, 9.28, 9.22, 9.18", \ + " 11.00, 10.49, 9.96, 9.60, 9.40", \ + " 13.45, 12.53, 11.39, 10.50, 9.95", \ + " 17.50, 16.09, 14.09, 12.32, 11.14", \ + " 24.06, 22.10, 18.98, 15.86, 13.61", \ + " 34.44, 31.93, 27.51, 22.43, 18.46" ); }} +internal_power(b_z_n) { /* nd2v0x3 11.90 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 5.66, 5.97, 6.21, 6.31, 6.32", \ + " 5.41, 5.65, 5.96, 6.18, 6.25", \ + " 5.56, 5.66, 5.91, 6.12, 6.22", \ + " 5.93, 5.86, 5.95, 6.11, 6.20", \ + " 6.82, 6.47, 6.25, 6.22, 6.24", \ + " 8.37, 7.66, 6.98, 6.61, 6.45", \ + " 10.99, 9.83, 8.50, 7.53, 6.99", \ + " 15.15, 13.46, 11.26, 9.38, 8.19", \ + " 21.69, 19.44, 16.10, 12.91, 10.65", \ + " 31.91, 29.08, 24.39, 19.31, 15.40" ); }} +timing() { /* ring osc delay nd2v0x3, path a to z 40.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.2 ; */ +/* intrinsic_fall : 32.7 ; */ +/* rise_resistance : 1.64 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 47.2, 87.2, 176.2, 333.9, 668.8", \ + " 39.5, 54.8, 94.8, 183.9, 341.7, 676.7", \ + " 46.1, 61.1, 100.9, 189.9, 347.7, 682.7", \ + " 54.0, 69.9, 109.3, 198.0, 355.7, 690.7", \ + " 65.6, 84.5, 124.6, 212.6, 370.0, 704.8", \ + " 79.9, 101.6, 147.1, 234.2, 390.9, 725.3", \ + " 99.0, 123.8, 176.8, 267.5, 422.9, 756.4", \ + " 124.5, 152.7, 213.3, 317.2, 471.2, 802.8", \ + " 159.4, 191.8, 260.9, 381.6, 545.5, 874.1", \ + " 208.4, 246.0, 325.1, 463.5, 655.2, 984.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.2, 104.2, 216.6, 469.6, 919.2, 1874.3", \ + " 67.7, 107.3, 217.0, 469.6, 919.2, 1874.3", \ + " 74.4, 112.6, 219.7, 469.6, 919.2, 1874.3", \ + " 84.5, 121.1, 225.4, 471.1, 919.2, 1874.3", \ + " 103.8, 138.3, 238.4, 478.2, 919.8, 1874.3", \ + " 128.1, 165.0, 260.6, 493.4, 926.6, 1874.3", \ + " 159.7, 203.5, 298.1, 522.1, 945.2, 1877.8", \ + " 202.1, 252.2, 356.7, 571.6, 982.0, 1896.5", \ + " 261.8, 318.4, 439.2, 654.2, 1049.0, 1941.2", \ + " 347.7, 411.8, 549.0, 786.5, 1166.0, 2029.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 35.4, 64.9, 130.9, 248.1, 497.1", \ + " 28.8, 40.4, 70.1, 136.3, 253.5, 502.6", \ + " 30.5, 43.6, 73.5, 139.7, 257.0, 506.1", \ + " 31.8, 46.4, 77.9, 144.2, 261.5, 510.6", \ + " 32.4, 49.6, 84.9, 151.9, 269.3, 518.4", \ + " 31.1, 51.7, 92.1, 163.1, 280.5, 529.6", \ + " 26.8, 51.6, 99.1, 178.2, 297.4, 546.5", \ + " 18.0, 47.6, 104.1, 194.4, 322.4, 571.4", \ + " 2.2, 37.0, 104.6, 210.5, 353.2, 609.0", \ + " -24.9, 15.9, 96.3, 222.6, 386.8, 665.0" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 34.5, 64.7, 145.8, 328.5, 653.5, 1344.0", \ + " 38.5, 67.3, 146.7, 328.5, 653.5, 1344.0", \ + " 44.1, 71.4, 149.4, 329.4, 653.5, 1344.0", \ + " 52.7, 78.6, 154.3, 332.1, 654.0, 1344.0", \ + " 65.6, 94.3, 165.9, 339.4, 657.6, 1344.1", \ + " 84.2, 114.6, 186.8, 354.0, 666.4, 1347.0", \ + " 112.6, 144.5, 221.2, 381.8, 685.7, 1356.7", \ + " 154.2, 187.9, 268.4, 429.9, 722.4, 1379.4", \ + " 215.4, 251.4, 336.5, 506.6, 788.8, 1426.4", \ + " 304.4, 343.2, 435.6, 615.4, 903.1, 1515.7" ); }} +timing() { /* ring osc delay nd2v0x3, path b to z 34.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.8 ; */ +/* intrinsic_fall : 31.8 ; */ +/* rise_resistance : 1.65 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.5, 39.1, 79.3, 168.4, 326.1, 661.1", \ + " 31.5, 46.6, 86.8, 176.1, 334.0, 669.1", \ + " 37.0, 52.9, 92.7, 182.0, 340.0, 675.1", \ + " 43.0, 61.5, 101.0, 190.0, 348.0, 683.1", \ + " 52.2, 73.9, 116.2, 204.5, 362.1, 697.1", \ + " 63.8, 88.8, 138.1, 225.9, 382.8, 717.5", \ + " 79.5, 108.2, 165.6, 259.0, 414.7, 748.4", \ + " 100.2, 133.5, 199.4, 307.8, 462.6, 794.7", \ + " 128.5, 167.3, 243.3, 369.5, 536.6, 865.6", \ + " 167.8, 213.6, 302.0, 447.7, 644.3, 975.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 44.4, 86.5, 198.8, 451.5, 900.8, 1855.5", \ + " 51.3, 90.0, 199.1, 451.5, 900.8, 1855.5", \ + " 58.7, 95.7, 201.9, 451.5, 900.8, 1855.5", \ + " 69.6, 104.7, 207.8, 452.9, 900.8, 1855.5", \ + " 88.0, 122.6, 221.3, 460.1, 901.3, 1855.5", \ + " 108.9, 150.5, 244.0, 475.6, 908.1, 1855.5", \ + " 138.2, 185.6, 282.2, 504.8, 926.9, 1858.9", \ + " 179.2, 231.7, 341.7, 554.9, 964.1, 1877.6", \ + " 238.1, 296.2, 421.1, 638.3, 1031.8, 1922.7", \ + " 324.3, 388.6, 528.4, 771.6, 1149.9, 2011.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.0, 30.3, 59.8, 125.9, 243.1, 492.1", \ + " 24.3, 36.5, 66.1, 132.2, 249.5, 498.5", \ + " 26.3, 41.0, 70.9, 137.1, 254.3, 503.4", \ + " 27.8, 45.1, 77.5, 143.6, 260.8, 509.9", \ + " 28.9, 49.6, 88.5, 155.2, 272.3, 521.3", \ + " 28.6, 52.9, 99.4, 172.0, 288.8, 537.6", \ + " 25.9, 54.6, 109.6, 195.8, 314.0, 562.4", \ + " 19.5, 53.3, 118.0, 220.5, 351.4, 599.1", \ + " 6.8, 46.6, 122.9, 244.1, 400.4, 654.9", \ + " -15.9, 30.9, 121.0, 264.2, 450.6, 740.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.3, 62.9, 142.3, 321.0, 638.6, 1313.5", \ + " 41.8, 68.4, 144.0, 321.0, 638.6, 1313.5", \ + " 50.4, 75.4, 148.5, 321.9, 638.6, 1313.5", \ + " 59.7, 86.0, 156.5, 325.9, 638.6, 1313.5", \ + " 73.3, 105.2, 173.4, 337.0, 642.9, 1313.5", \ + " 92.6, 127.1, 200.1, 357.9, 655.7, 1315.1", \ + " 120.7, 158.4, 240.3, 394.9, 682.9, 1327.7", \ + " 160.0, 202.0, 291.5, 453.6, 732.3, 1359.2", \ + " 216.1, 264.1, 362.7, 541.9, 816.7, 1422.9", \ + " 298.4, 352.9, 464.2, 661.1, 951.4, 1539.9" ); }} +} +} +cell(nd2v0x4) { /* 2008-01-06:07h39 characteristic delay 10.4 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1571 ; /* nd2v0x4 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x4 FO4 effort 1.19 logical effort 1.25 */ +direction : input ; +capacitance : 9.93 ; +rise_capacitance : 9.48 ; +fall_capacitance : 10.37 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x4 */ +} +pin(b) { /* nd2v0x4 FO4 effort 1.12 logical effort 1.19 */ +direction : input ; +capacitance : 9.47 ; +rise_capacitance : 9.44 ; +fall_capacitance : 9.51 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 354 ; +max_fanout : 10 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x4 22.44 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 11.15, 11.38, 11.55, 11.60, 11.58", \ + " 10.71, 10.95, 11.24, 11.40, 11.43", \ + " 10.79, 10.93, 11.17, 11.34, 11.38", \ + " 11.15, 11.13, 11.22, 11.34, 11.37", \ + " 12.14, 11.82, 11.59, 11.50, 11.45", \ + " 14.03, 13.29, 12.52, 12.02, 11.75", \ + " 17.40, 16.09, 14.49, 13.24, 12.49", \ + " 22.90, 20.93, 18.17, 15.73, 14.11", \ + " 31.72, 29.04, 24.77, 20.50, 17.45", \ + " 45.61, 42.22, 36.21, 29.32, 23.96" ); }} +internal_power(b_z_n) { /* nd2v0x4 16.16 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 7.71, 8.12, 8.45, 8.58, 8.59", \ + " 7.37, 7.68, 8.11, 8.40, 8.49", \ + " 7.56, 7.70, 8.03, 8.32, 8.45", \ + " 8.05, 7.96, 8.08, 8.30, 8.42", \ + " 9.23, 8.77, 8.47, 8.45, 8.48", \ + " 11.28, 10.35, 9.46, 8.96, 8.75", \ + " 14.77, 13.23, 11.47, 10.18, 9.47", \ + " 20.29, 18.07, 15.14, 12.65, 11.06", \ + " 29.00, 26.03, 21.59, 17.35, 14.34", \ + " 42.61, 38.87, 32.63, 25.88, 20.68" ); }} +timing() { /* ring osc delay nd2v0x4, path a to z 39.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.6 ; */ +/* intrinsic_fall : 31.1 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.92 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 45.6, 85.5, 174.4, 332.1, 667.1", \ + " 38.1, 53.2, 93.1, 182.2, 340.0, 674.9", \ + " 44.7, 59.6, 99.2, 188.2, 345.9, 680.9", \ + " 52.2, 68.5, 107.6, 196.3, 354.0, 688.9", \ + " 63.4, 82.6, 122.9, 210.9, 368.3, 703.1", \ + " 77.3, 99.4, 145.5, 232.5, 389.2, 723.5", \ + " 96.0, 121.2, 174.8, 265.9, 421.2, 754.6", \ + " 121.0, 149.7, 210.9, 315.5, 469.5, 801.1", \ + " 155.4, 188.4, 258.1, 379.5, 543.8, 872.3", \ + " 203.7, 242.0, 321.9, 461.0, 653.3, 983.0" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.9, 101.0, 213.4, 466.3, 915.9, 1870.9", \ + " 64.8, 104.2, 213.8, 466.3, 915.9, 1870.9", \ + " 71.7, 109.6, 216.6, 466.3, 915.9, 1870.9", \ + " 82.0, 118.3, 222.4, 467.9, 915.9, 1870.9", \ + " 101.6, 135.7, 235.5, 475.1, 916.5, 1870.9", \ + " 125.2, 162.6, 257.9, 490.4, 923.4, 1870.9", \ + " 156.4, 200.8, 295.5, 519.2, 942.1, 1874.4", \ + " 198.5, 249.0, 354.3, 568.8, 978.9, 1893.1", \ + " 258.0, 314.9, 436.3, 651.5, 1046.1, 1938.0", \ + " 344.0, 408.1, 545.7, 783.9, 1163.2, 2026.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.2, 34.4, 63.9, 129.9, 247.2, 496.2", \ + " 27.3, 39.1, 68.8, 134.9, 252.2, 501.2", \ + " 28.8, 42.0, 72.1, 138.3, 255.6, 504.6", \ + " 29.9, 44.7, 76.4, 142.7, 260.0, 509.1", \ + " 30.4, 47.8, 83.3, 150.5, 267.8, 516.9", \ + " 29.2, 49.9, 90.4, 161.7, 279.0, 528.1", \ + " 25.0, 49.8, 97.4, 176.7, 296.0, 545.0", \ + " 16.3, 45.9, 102.5, 192.9, 321.0, 569.9", \ + " 0.6, 35.5, 103.0, 208.9, 351.8, 607.6", \ + " -26.6, 14.4, 94.8, 221.1, 385.3, 663.7" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 34.3, 64.5, 145.7, 328.4, 653.4, 1344.0", \ + " 38.8, 67.6, 146.9, 328.4, 653.4, 1344.0", \ + " 44.9, 71.9, 149.7, 329.5, 653.4, 1344.0", \ + " 53.6, 79.6, 155.0, 332.4, 654.0, 1344.0", \ + " 66.7, 95.7, 167.0, 340.0, 657.8, 1344.0", \ + " 85.8, 116.2, 188.3, 354.9, 666.9, 1347.1", \ + " 114.6, 146.4, 223.0, 383.0, 686.4, 1357.1", \ + " 156.1, 189.9, 270.3, 431.5, 723.4, 1380.0", \ + " 215.9, 252.7, 338.2, 508.3, 790.2, 1427.2", \ + " 302.5, 342.8, 436.7, 617.0, 904.8, 1516.8" ); }} +timing() { /* ring osc delay nd2v0x4, path b to z 34.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 39.5, 79.7, 168.7, 326.5, 661.4", \ + " 31.8, 46.9, 87.1, 176.4, 334.4, 669.4", \ + " 37.3, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.4, 61.8, 101.3, 190.3, 348.3, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.4, 697.4", \ + " 64.4, 89.2, 138.4, 226.1, 383.1, 717.8", \ + " 80.1, 108.7, 165.9, 259.3, 415.0, 748.7", \ + " 100.9, 134.0, 199.8, 308.1, 462.9, 795.0", \ + " 129.3, 168.0, 243.7, 369.9, 536.9, 865.9", \ + " 168.8, 214.3, 302.5, 448.1, 644.6, 976.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.1, 87.1, 199.5, 452.2, 901.5, 1856.2", \ + " 51.9, 90.6, 199.7, 452.2, 901.5, 1856.2", \ + " 59.3, 96.3, 202.6, 452.2, 901.5, 1856.2", \ + " 70.2, 105.3, 208.5, 453.6, 901.5, 1856.2", \ + " 88.8, 123.2, 221.9, 460.8, 902.0, 1856.2", \ + " 109.8, 151.1, 244.6, 476.2, 908.8, 1856.2", \ + " 139.2, 186.3, 282.8, 505.4, 927.6, 1859.6", \ + " 180.3, 232.6, 342.4, 555.5, 964.7, 1878.3", \ + " 239.3, 297.1, 421.9, 639.0, 1032.5, 1923.3", \ + " 325.6, 389.7, 529.3, 772.3, 1150.5, 2012.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 30.8, 60.3, 126.3, 243.5, 492.5", \ + " 24.7, 36.9, 66.5, 132.7, 249.9, 499.0", \ + " 26.8, 41.4, 71.4, 137.5, 254.8, 503.8", \ + " 28.3, 45.6, 78.0, 144.0, 261.3, 510.3", \ + " 29.5, 50.1, 88.9, 155.6, 272.7, 521.7", \ + " 29.2, 53.5, 99.8, 172.4, 289.3, 538.1", \ + " 26.6, 55.2, 110.1, 196.2, 314.4, 562.8", \ + " 20.3, 53.9, 118.5, 220.9, 351.9, 599.5", \ + " 7.7, 47.3, 123.4, 244.5, 400.8, 655.3", \ + " -14.8, 31.8, 121.7, 264.7, 451.1, 740.6" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.2, 62.9, 142.3, 320.9, 638.5, 1313.4", \ + " 41.7, 68.4, 144.0, 320.9, 638.5, 1313.4", \ + " 50.4, 75.4, 148.5, 321.8, 638.5, 1313.4", \ + " 59.9, 86.0, 156.5, 325.8, 638.6, 1313.4", \ + " 73.7, 105.4, 173.4, 337.0, 642.9, 1313.4", \ + " 93.1, 127.4, 200.1, 357.9, 655.7, 1315.1", \ + " 121.3, 158.8, 240.4, 394.9, 682.9, 1327.7", \ + " 160.6, 202.5, 291.8, 453.7, 732.3, 1359.2", \ + " 216.9, 264.7, 363.1, 542.0, 816.8, 1422.9", \ + " 299.2, 353.6, 464.6, 661.4, 951.5, 1539.9" ); }} +} +} +cell(nd2v0x6) { /* 2008-01-06:07h39 characteristic delay 10.3 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 2356 ; /* nd2v0x6 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x6 FO4 effort 1.18 logical effort 1.22 */ +direction : input ; +capacitance : 14.56 ; +rise_capacitance : 13.89 ; +fall_capacitance : 15.22 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x6 */ +} +pin(b) { /* nd2v0x6 FO4 effort 1.13 logical effort 1.19 */ +direction : input ; +capacitance : 14.18 ; +rise_capacitance : 14.15 ; +fall_capacitance : 14.20 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 532 ; +max_fanout : 15 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x6 34.30 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_975_5x10) { +values( " 17.06, 17.39, 17.61, 17.65, 17.58", \ + " 16.40, 16.79, 17.19, 17.38, 17.37", \ + " 16.50, 16.75, 17.09, 17.30, 17.31", \ + " 17.00, 16.99, 17.15, 17.29, 17.30", \ + " 18.43, 17.93, 17.61, 17.49, 17.39", \ + " 21.19, 19.99, 18.86, 18.16, 17.77", \ + " 26.13, 23.95, 21.53, 19.76, 18.71", \ + " 34.27, 30.91, 26.61, 23.07, 20.81", \ + " 47.38, 42.72, 35.87, 29.54, 25.21", \ + " 68.10, 62.12, 52.18, 41.70, 33.95" ); }} +internal_power(b_z_n) { /* nd2v0x6 25.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_975_5x10) { +values( " 12.03, 12.68, 13.13, 13.28, 13.26", \ + " 11.45, 12.01, 12.67, 13.04, 13.14", \ + " 11.69, 11.98, 12.53, 12.94, 13.07", \ + " 12.36, 12.29, 12.56, 12.90, 13.04", \ + " 14.05, 13.36, 13.03, 13.06, 13.09", \ + " 17.03, 15.55, 14.30, 13.68, 13.42", \ + " 22.14, 19.63, 17.02, 15.25, 14.31", \ + " 30.30, 26.57, 22.08, 18.52, 16.35", \ + " 43.24, 38.14, 31.12, 24.88, 20.65", \ + " 63.54, 57.00, 46.84, 36.65, 29.16" ); }} +timing() { /* ring osc delay nd2v0x6, path a to z 39.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 31.7 ; */ +/* rise_resistance : 0.82 ; */ +/* fall_resistance : 0.61 ; */ +cell_rise(x6_975_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.8, 50.9, 100.6, 211.7, 408.7, 827.4", \ + " 39.6, 58.5, 108.3, 219.4, 416.6, 835.2", \ + " 46.3, 64.8, 114.3, 225.4, 422.6, 841.2", \ + " 54.1, 73.6, 122.7, 233.5, 430.6, 849.2", \ + " 65.6, 88.6, 137.8, 248.1, 444.9, 863.4", \ + " 79.8, 106.4, 160.2, 269.4, 465.6, 883.7", \ + " 98.9, 129.2, 192.2, 302.3, 497.3, 914.6", \ + " 124.3, 158.8, 231.2, 352.0, 544.9, 960.7", \ + " 159.3, 198.8, 281.3, 422.5, 618.3, 1031.1", \ + " 208.2, 254.1, 348.4, 511.3, 732.2, 1140.4" ); } +rise_transition(x6_975_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.4, 116.0, 256.6, 572.7, 1134.6, 2328.3", \ + " 68.9, 118.6, 256.6, 572.7, 1134.6, 2328.3", \ + " 75.7, 123.6, 258.6, 572.7, 1134.6, 2328.3", \ + " 85.7, 131.8, 263.6, 573.1, 1134.6, 2328.3", \ + " 105.0, 148.5, 275.5, 578.3, 1134.6, 2328.3", \ + " 129.7, 174.8, 296.3, 591.4, 1138.1, 2328.3", \ + " 161.6, 214.7, 332.2, 617.4, 1152.8, 2328.6", \ + " 204.4, 265.1, 389.5, 663.4, 1184.9, 2340.3", \ + " 264.6, 333.1, 476.1, 742.2, 1246.0, 2376.6", \ + " 351.4, 428.6, 591.6, 871.6, 1355.3, 2454.3" ); } +cell_fall(x6_975_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.3, 38.3, 75.1, 157.6, 304.1, 615.3", \ + " 28.6, 43.0, 80.0, 162.6, 309.1, 620.4", \ + " 30.2, 46.2, 83.3, 165.9, 312.5, 623.8", \ + " 31.6, 49.3, 87.7, 170.4, 317.0, 628.3", \ + " 32.5, 53.1, 95.3, 178.2, 324.8, 636.1", \ + " 31.6, 56.1, 103.6, 189.4, 336.0, 647.3", \ + " 27.9, 57.3, 112.5, 206.0, 352.9, 664.1", \ + " 19.8, 54.8, 120.1, 225.2, 378.0, 689.0", \ + " 4.7, 46.0, 123.9, 245.7, 413.4, 726.6", \ + " -21.8, 26.9, 119.9, 264.2, 454.1, 784.1" ); } +fall_transition(x6_975_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 37.5, 75.4, 176.9, 405.3, 811.6, 1674.8", \ + " 41.8, 78.1, 177.7, 405.3, 811.6, 1674.8", \ + " 47.6, 82.2, 180.2, 405.9, 811.6, 1674.8", \ + " 56.6, 89.3, 184.9, 408.1, 811.6, 1674.8", \ + " 70.0, 105.3, 195.8, 414.5, 814.2, 1674.8", \ + " 89.3, 126.6, 215.7, 427.7, 821.4, 1676.0", \ + " 118.2, 157.3, 250.6, 453.3, 838.1, 1683.1", \ + " 160.0, 201.4, 299.3, 498.6, 871.1, 1701.6", \ + " 220.2, 265.1, 368.8, 575.4, 932.4, 1742.1", \ + " 307.0, 356.7, 469.4, 687.9, 1040.6, 1822.5" ); }} +timing() { /* ring osc delay nd2v0x6, path b to z 35.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.7 ; */ +/* intrinsic_fall : 33.3 ; */ +/* rise_resistance : 0.82 ; */ +/* fall_resistance : 0.61 ; */ +cell_rise(x6_975_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.7, 45.1, 95.1, 206.2, 403.4, 822.0", \ + " 33.5, 52.5, 102.6, 214.0, 411.3, 830.0", \ + " 39.4, 58.6, 108.5, 219.9, 417.2, 836.0", \ + " 45.8, 67.4, 116.6, 227.9, 425.2, 844.0", \ + " 55.4, 81.0, 131.6, 242.2, 439.3, 858.0", \ + " 67.5, 97.0, 153.9, 263.3, 459.9, 878.3", \ + " 83.7, 117.6, 184.3, 296.0, 491.4, 909.1", \ + " 105.1, 144.2, 221.2, 345.4, 538.7, 954.9", \ + " 134.2, 179.8, 268.3, 414.1, 611.7, 1025.1", \ + " 174.5, 228.2, 330.8, 499.9, 724.8, 1133.9" ); } +rise_transition(x6_975_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.6, 102.2, 242.6, 558.5, 1120.1, 2313.5", \ + " 55.8, 104.9, 242.6, 558.5, 1120.1, 2313.5", \ + " 63.0, 110.1, 244.5, 558.5, 1120.1, 2313.5", \ + " 73.7, 118.6, 249.5, 558.8, 1120.1, 2313.5", \ + " 93.1, 135.7, 261.6, 563.9, 1120.1, 2313.5", \ + " 114.8, 162.7, 282.8, 577.1, 1123.5, 2313.5", \ + " 144.7, 201.1, 319.2, 603.3, 1138.1, 2313.8", \ + " 186.3, 249.4, 377.1, 649.8, 1170.5, 2325.4", \ + " 245.9, 315.8, 462.8, 729.4, 1232.0, 2361.7", \ + " 332.8, 410.4, 576.2, 859.6, 1342.2, 2439.9" ); } +cell_fall(x6_975_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.8, 34.8, 71.7, 154.2, 300.7, 611.9", \ + " 26.4, 41.0, 78.0, 160.5, 307.1, 618.4", \ + " 28.7, 45.9, 82.8, 165.4, 311.9, 623.2", \ + " 30.6, 50.8, 89.3, 171.9, 318.4, 629.7", \ + " 32.1, 56.3, 101.1, 183.4, 329.8, 641.1", \ + " 32.3, 60.9, 114.3, 200.1, 346.3, 657.4", \ + " 30.2, 63.9, 127.4, 225.6, 371.3, 682.1", \ + " 24.4, 64.1, 138.9, 255.9, 408.6, 718.6", \ + " 12.6, 59.3, 147.4, 286.3, 463.7, 774.0", \ + " -9.1, 45.9, 150.0, 314.2, 526.5, 859.1" ); } +fall_transition(x6_975_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.4, 73.6, 172.8, 396.1, 793.1, 1636.7", \ + " 44.5, 78.2, 173.8, 396.1, 793.1, 1636.7", \ + " 52.9, 84.8, 177.5, 396.2, 793.1, 1636.7", \ + " 63.4, 95.1, 184.7, 399.0, 793.1, 1636.7", \ + " 77.5, 114.9, 200.4, 408.2, 794.9, 1636.7", \ + " 97.1, 138.6, 226.2, 426.9, 804.6, 1636.8", \ + " 125.7, 170.9, 267.6, 461.4, 827.8, 1643.9", \ + " 165.7, 215.8, 322.3, 518.5, 872.5, 1668.7", \ + " 222.7, 279.5, 396.5, 608.1, 952.2, 1723.9", \ + " 305.6, 370.6, 501.4, 735.1, 1084.3, 1830.5" ); }} +} +} +cell(nd2v0x8) { /* 2008-01-06:07h39 characteristic delay 10.5 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 3142 ; /* nd2v0x8 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v0x8 FO4 effort 1.19 logical effort 1.25 */ +direction : input ; +capacitance : 19.99 ; +rise_capacitance : 19.10 ; +fall_capacitance : 20.88 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v0x8 */ +} +pin(b) { /* nd2v0x8 FO4 effort 1.12 logical effort 1.20 */ +direction : input ; +capacitance : 19.10 ; +rise_capacitance : 19.03 ; +fall_capacitance : 19.18 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 708 ; +max_fanout : 20 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v0x8 44.78 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 22.25, 22.72, 23.05, 23.16, 23.11", \ + " 21.36, 21.85, 22.42, 22.75, 22.81", \ + " 21.53, 21.81, 22.28, 22.63, 22.72", \ + " 22.23, 22.20, 22.39, 22.62, 22.70", \ + " 24.22, 23.59, 23.12, 22.95, 22.85", \ + " 28.01, 26.53, 24.99, 23.99, 23.45", \ + " 34.74, 32.13, 28.93, 26.43, 24.93", \ + " 45.76, 41.82, 36.28, 31.40, 28.17", \ + " 63.40, 58.03, 49.49, 40.95, 34.84", \ + " 91.19, 84.39, 72.38, 58.59, 47.86" ); }} +internal_power(b_z_n) { /* nd2v0x8 32.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 15.37, 16.20, 16.86, 17.13, 17.15", \ + " 14.68, 15.31, 16.18, 16.75, 16.95", \ + " 15.06, 15.34, 16.01, 16.60, 16.86", \ + " 16.04, 15.86, 16.12, 16.56, 16.81", \ + " 18.40, 17.48, 16.90, 16.85, 16.92", \ + " 22.52, 20.65, 18.86, 17.87, 17.45", \ + " 29.49, 26.41, 22.90, 20.31, 18.89", \ + " 40.54, 36.09, 30.24, 25.26, 22.08", \ + " 57.97, 52.02, 43.14, 34.65, 28.63", \ + " 85.20, 77.70, 65.22, 51.70, 41.31" ); }} +timing() { /* ring osc delay nd2v0x8, path a to z 39.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.6 ; */ +/* intrinsic_fall : 31.1 ; */ +/* rise_resistance : 0.62 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 45.6, 85.5, 174.4, 332.1, 667.0", \ + " 38.0, 53.2, 93.1, 182.1, 339.9, 674.9", \ + " 44.7, 59.5, 99.2, 188.1, 345.9, 680.9", \ + " 52.2, 68.4, 107.6, 196.3, 354.0, 688.9", \ + " 63.3, 82.6, 122.9, 210.9, 368.3, 703.1", \ + " 77.2, 99.4, 145.4, 232.5, 389.1, 723.5", \ + " 95.9, 121.1, 174.7, 265.8, 421.2, 754.6", \ + " 120.9, 149.6, 210.9, 315.5, 469.4, 801.1", \ + " 155.3, 188.3, 258.0, 379.5, 543.8, 872.3", \ + " 203.5, 241.9, 321.9, 461.0, 653.3, 983.0" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.7, 100.8, 213.2, 466.2, 915.7, 1870.7", \ + " 64.7, 104.1, 213.6, 466.2, 915.7, 1870.7", \ + " 71.6, 109.5, 216.4, 466.2, 915.7, 1870.7", \ + " 81.8, 118.2, 222.2, 467.7, 915.7, 1870.7", \ + " 101.5, 135.6, 235.4, 474.9, 916.3, 1870.7", \ + " 125.1, 162.5, 257.7, 490.2, 923.2, 1870.7", \ + " 156.2, 200.6, 295.3, 519.0, 941.9, 1874.2", \ + " 198.3, 248.9, 354.1, 568.6, 978.8, 1893.0", \ + " 257.8, 314.7, 436.1, 651.4, 1045.9, 1937.8", \ + " 343.7, 407.9, 545.5, 783.8, 1163.0, 2026.0" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.1, 34.4, 63.9, 129.9, 247.1, 496.1", \ + " 27.3, 39.1, 68.8, 134.9, 252.2, 501.2", \ + " 28.7, 42.0, 72.0, 138.3, 255.6, 504.6", \ + " 29.9, 44.7, 76.4, 142.7, 260.0, 509.1", \ + " 30.4, 47.8, 83.3, 150.5, 267.8, 516.9", \ + " 29.1, 49.9, 90.4, 161.7, 279.0, 528.1", \ + " 24.9, 49.8, 97.4, 176.7, 296.0, 545.0", \ + " 16.2, 45.8, 102.4, 192.8, 320.9, 569.9", \ + " 0.5, 35.4, 102.9, 208.9, 351.7, 607.6", \ + " -26.8, 14.3, 94.7, 221.1, 385.3, 663.6" ); } +fall_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 34.2, 64.4, 145.6, 328.3, 653.3, 1343.8", \ + " 38.7, 67.5, 146.8, 328.3, 653.3, 1343.8", \ + " 44.8, 71.8, 149.6, 329.4, 653.3, 1343.8", \ + " 53.5, 79.5, 154.8, 332.3, 653.9, 1343.8", \ + " 66.6, 95.6, 166.9, 339.9, 657.7, 1343.9", \ + " 85.7, 116.1, 188.2, 354.8, 666.8, 1347.0", \ + " 114.4, 146.3, 222.9, 382.9, 686.3, 1357.0", \ + " 155.9, 189.8, 270.2, 431.4, 723.3, 1379.9", \ + " 215.8, 252.6, 338.1, 508.2, 790.1, 1427.1", \ + " 302.4, 342.7, 436.6, 616.9, 904.7, 1516.7" ); }} +timing() { /* ring osc delay nd2v0x8, path b to z 34.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.2 ; */ +/* rise_resistance : 0.62 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 39.5, 79.6, 168.7, 326.5, 661.4", \ + " 31.7, 46.9, 87.1, 176.4, 334.3, 669.4", \ + " 37.3, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.3, 61.8, 101.3, 190.3, 348.2, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.4, 697.4", \ + " 64.3, 89.2, 138.4, 226.1, 383.1, 717.8", \ + " 80.0, 108.6, 165.9, 259.3, 414.9, 748.7", \ + " 100.8, 133.9, 199.7, 308.0, 462.9, 795.0", \ + " 129.2, 167.9, 243.7, 369.8, 536.9, 865.9", \ + " 168.6, 214.2, 302.4, 448.0, 644.6, 976.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 44.9, 87.0, 199.3, 452.0, 901.3, 1856.1", \ + " 51.7, 90.5, 199.6, 452.0, 901.3, 1856.1", \ + " 59.1, 96.2, 202.4, 452.0, 901.3, 1856.1", \ + " 70.1, 105.2, 208.4, 453.5, 901.3, 1856.1", \ + " 88.6, 123.1, 221.8, 460.6, 901.8, 1856.1", \ + " 109.6, 151.0, 244.5, 476.1, 908.7, 1856.1", \ + " 139.0, 186.2, 282.7, 505.3, 927.4, 1859.4", \ + " 180.1, 232.5, 342.3, 555.4, 964.6, 1878.2", \ + " 239.1, 296.9, 421.8, 638.8, 1032.3, 1923.2", \ + " 325.4, 389.5, 529.1, 772.2, 1150.4, 2012.0" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 30.7, 60.3, 126.3, 243.5, 492.5", \ + " 24.7, 36.9, 66.5, 132.7, 249.9, 499.0", \ + " 26.7, 41.4, 71.4, 137.5, 254.8, 503.8", \ + " 28.3, 45.5, 78.0, 144.0, 261.3, 510.3", \ + " 29.4, 50.0, 88.9, 155.6, 272.7, 521.7", \ + " 29.1, 53.4, 99.8, 172.4, 289.3, 538.1", \ + " 26.5, 55.1, 110.1, 196.2, 314.4, 562.8", \ + " 20.1, 53.8, 118.4, 220.9, 351.8, 599.5", \ + " 7.6, 47.2, 123.4, 244.5, 400.8, 655.3", \ + " -15.0, 31.6, 121.6, 264.6, 451.0, 740.6" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.1, 62.8, 142.2, 320.8, 638.4, 1313.3", \ + " 41.6, 68.3, 143.9, 320.8, 638.4, 1313.3", \ + " 50.3, 75.3, 148.4, 321.7, 638.4, 1313.3", \ + " 59.8, 85.9, 156.4, 325.7, 638.5, 1313.3", \ + " 73.6, 105.3, 173.3, 336.9, 642.8, 1313.3", \ + " 93.0, 127.3, 200.0, 357.8, 655.6, 1315.0", \ + " 121.1, 158.7, 240.3, 394.8, 682.8, 1327.6", \ + " 160.5, 202.4, 291.7, 453.6, 732.2, 1359.1", \ + " 216.7, 264.5, 363.0, 541.9, 816.7, 1422.8", \ + " 299.0, 353.4, 464.5, 661.3, 951.4, 1539.8" ); }} +} +} +cell(nd2v3x05) { /* 2008-01-06:07h39 characteristic delay 12.6 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 427 ; /* nd2v3x05 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v3x05 FO4 effort 1.38 logical effort 1.49 */ +direction : input ; +capacitance : 3.12 ; +rise_capacitance : 2.93 ; +fall_capacitance : 3.31 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v3x05 */ +} +pin(b) { /* nd2v3x05 FO4 effort 1.29 logical effort 1.52 */ +direction : input ; +capacitance : 3.16 ; +rise_capacitance : 3.06 ; +fall_capacitance : 3.26 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v3x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 73 ; +max_fanout : 2 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v3x05 7.91 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 3.96, 4.01, 4.05, 4.08, 4.08", \ + " 3.84, 3.88, 3.94, 3.99, 4.02", \ + " 3.86, 3.88, 3.92, 3.97, 4.00", \ + " 3.96, 3.95, 3.96, 3.98, 3.99", \ + " 4.23, 4.17, 4.10, 4.05, 4.03", \ + " 4.74, 4.61, 4.43, 4.26, 4.16", \ + " 5.63, 5.42, 5.07, 4.71, 4.45", \ + " 7.09, 6.77, 6.22, 5.58, 5.07", \ + " 9.44, 9.01, 8.20, 7.16, 6.27", \ + " 13.19, 12.62, 11.51, 9.97, 8.51" ); }} +internal_power(b_z_n) { /* nd2v3x05 5.14 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 2.48, 2.59, 2.71, 2.80, 2.83", \ + " 2.38, 2.44, 2.56, 2.69, 2.76", \ + " 2.44, 2.46, 2.54, 2.65, 2.73", \ + " 2.58, 2.56, 2.57, 2.64, 2.71", \ + " 2.92, 2.82, 2.73, 2.70, 2.72", \ + " 3.51, 3.32, 3.08, 2.91, 2.83", \ + " 4.48, 4.19, 3.76, 3.37, 3.12", \ + " 6.02, 5.62, 4.95, 4.25, 3.73", \ + " 8.44, 7.90, 6.96, 5.84, 4.93", \ + " 12.23, 11.55, 10.28, 8.63, 7.14" ); }} +timing() { /* ring osc delay nd2v3x05, path a to z 48.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.2 ; */ +/* intrinsic_fall : 25.5 ; */ +/* rise_resistance : 5.92 ; */ +/* fall_resistance : 2.25 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 59.5, 95.8, 176.1, 318.2, 619.8", \ + " 52.8, 66.6, 102.8, 183.3, 325.7, 627.4", \ + " 59.5, 72.9, 108.8, 189.2, 331.5, 633.3", \ + " 69.0, 82.1, 117.4, 197.4, 339.5, 641.2", \ + " 84.4, 98.8, 133.4, 212.4, 354.0, 655.4", \ + " 103.4, 120.1, 157.3, 235.0, 375.5, 676.2", \ + " 129.2, 148.1, 191.3, 270.3, 409.1, 708.2", \ + " 164.3, 185.5, 234.5, 323.4, 460.1, 756.6", \ + " 214.0, 237.7, 292.7, 394.8, 539.2, 831.7", \ + " 285.3, 312.3, 374.2, 489.6, 656.4, 949.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 87.5, 125.6, 227.0, 454.9, 859.7, 1719.5", \ + " 90.4, 127.1, 227.0, 454.9, 859.7, 1719.5", \ + " 95.4, 131.3, 229.1, 454.9, 859.7, 1719.5", \ + " 102.9, 138.1, 234.0, 456.1, 859.7, 1719.5", \ + " 117.4, 151.4, 245.1, 462.8, 860.4, 1719.5", \ + " 139.6, 172.4, 263.3, 476.5, 867.4, 1719.5", \ + " 168.4, 205.6, 293.8, 501.5, 885.1, 1724.1", \ + " 204.4, 246.9, 341.2, 543.2, 918.4, 1743.4", \ + " 253.4, 301.1, 409.5, 611.5, 976.7, 1785.9", \ + " 322.8, 375.7, 497.7, 719.3, 1075.6, 1865.4" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.8, 22.7, 35.7, 64.8, 116.5, 226.4", \ + " 21.4, 27.3, 40.7, 69.9, 121.6, 231.5", \ + " 22.4, 29.1, 43.9, 73.2, 125.0, 234.9", \ + " 22.5, 30.3, 47.0, 77.7, 129.5, 239.4", \ + " 20.8, 30.5, 50.4, 84.8, 137.2, 247.2", \ + " 16.0, 28.1, 52.3, 91.9, 148.3, 258.3", \ + " 6.0, 21.0, 50.9, 98.2, 161.7, 275.1", \ + " -11.5, 6.7, 43.4, 101.1, 175.0, 298.9", \ + " -40.9, -19.2, 25.6, 96.4, 185.4, 326.1", \ + " -88.9, -63.2, -9.2, 77.7, 186.7, 352.7" ); } +fall_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 25.2, 38.3, 73.9, 154.3, 297.7, 602.3", \ + " 31.1, 43.0, 76.9, 155.7, 297.8, 602.3", \ + " 37.6, 48.7, 81.2, 158.5, 299.3, 602.3", \ + " 44.9, 57.5, 88.6, 163.8, 302.5, 603.4", \ + " 57.2, 71.0, 104.6, 175.9, 311.0, 607.7", \ + " 74.6, 89.6, 125.7, 197.1, 327.2, 617.8", \ + " 100.1, 116.8, 155.9, 232.2, 357.1, 639.0", \ + " 136.9, 155.4, 198.2, 280.0, 407.7, 678.5", \ + " 191.0, 211.3, 258.7, 347.6, 484.3, 748.6", \ + " 270.2, 292.4, 345.4, 444.0, 591.7, 867.0" ); }} +timing() { /* ring osc delay nd2v3x05, path b to z 40.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.6 ; */ +/* intrinsic_fall : 24.8 ; */ +/* rise_resistance : 5.95 ; */ +/* fall_resistance : 2.31 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.8, 46.4, 83.5, 164.5, 306.9, 608.6", \ + " 39.2, 53.0, 89.8, 171.2, 314.2, 616.3", \ + " 46.2, 59.4, 95.5, 176.7, 319.8, 622.1", \ + " 54.2, 68.7, 104.0, 184.5, 327.4, 629.8", \ + " 66.4, 83.6, 119.9, 199.2, 341.5, 643.7", \ + " 82.4, 101.9, 143.5, 221.5, 362.5, 664.0", \ + " 104.7, 126.7, 174.4, 256.6, 395.7, 695.5", \ + " 135.6, 160.4, 214.3, 308.5, 446.3, 743.5", \ + " 179.3, 207.7, 268.5, 376.4, 525.1, 818.1", \ + " 242.2, 275.1, 344.5, 466.8, 639.4, 935.0" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.5, 97.4, 198.5, 425.9, 830.3, 1689.5", \ + " 63.8, 99.5, 198.5, 425.9, 830.3, 1689.5", \ + " 69.7, 104.4, 200.8, 425.9, 830.3, 1689.5", \ + " 78.1, 111.9, 206.3, 427.1, 830.3, 1689.5", \ + " 94.3, 126.4, 218.1, 434.1, 830.9, 1689.5", \ + " 114.4, 148.8, 237.3, 448.5, 838.1, 1689.5", \ + " 139.0, 180.2, 268.9, 474.3, 856.2, 1694.1", \ + " 172.7, 218.2, 318.0, 517.3, 890.3, 1713.6", \ + " 221.3, 270.3, 383.4, 587.2, 950.0, 1756.7", \ + " 293.0, 345.2, 469.2, 697.3, 1051.0, 1837.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.2, 19.2, 32.2, 61.4, 113.1, 223.0", \ + " 17.9, 24.8, 38.6, 67.8, 119.5, 229.4", \ + " 18.6, 27.0, 43.4, 72.7, 124.4, 234.3", \ + " 18.4, 28.4, 48.0, 79.3, 131.0, 240.8", \ + " 16.3, 28.6, 52.7, 90.3, 142.5, 252.2", \ + " 11.4, 26.2, 55.4, 101.2, 159.2, 268.7", \ + " 1.8, 19.6, 54.9, 110.5, 180.6, 293.7", \ + " -14.8, 6.5, 48.9, 115.9, 200.8, 330.3", \ + " -42.8, -17.5, 33.5, 114.3, 217.0, 373.7", \ + " -88.7, -58.6, 2.4, 100.0, 224.3, 414.4" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.9, 37.4, 71.9, 150.7, 290.8, 588.6", \ + " 35.3, 46.0, 77.6, 152.7, 290.8, 588.6", \ + " 43.0, 54.6, 84.6, 157.3, 292.6, 588.6", \ + " 50.5, 65.1, 95.2, 165.4, 297.5, 589.1", \ + " 63.9, 79.9, 115.7, 182.6, 309.9, 594.7", \ + " 82.5, 100.2, 139.4, 209.9, 332.6, 609.0", \ + " 108.8, 129.0, 172.5, 251.8, 371.8, 638.5", \ + " 145.1, 168.7, 217.8, 305.5, 433.0, 691.2", \ + " 196.5, 224.3, 281.3, 379.3, 521.7, 779.6", \ + " 270.6, 303.5, 370.8, 482.8, 641.4, 919.4" ); }} +} +} +cell(nd2v3x1) { /* 2008-01-06:07h39 characteristic delay 12.4 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 716 ; /* nd2v3x1 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v3x1 FO4 effort 1.34 logical effort 1.48 */ +direction : input ; +capacitance : 5.25 ; +rise_capacitance : 4.94 ; +fall_capacitance : 5.56 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v3x1 */ +} +pin(b) { /* nd2v3x1 FO4 effort 1.22 logical effort 1.46 */ +direction : input ; +capacitance : 5.11 ; +rise_capacitance : 4.96 ; +fall_capacitance : 5.26 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v3x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 126 ; +max_fanout : 4 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v3x1 11.96 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 5.97, 6.05, 6.13, 6.18, 6.18", \ + " 5.76, 5.84, 5.94, 6.04, 6.08", \ + " 5.82, 5.85, 5.92, 6.00, 6.05", \ + " 6.00, 5.98, 5.98, 6.01, 6.04", \ + " 6.48, 6.36, 6.23, 6.14, 6.10", \ + " 7.36, 7.12, 6.79, 6.50, 6.32", \ + " 8.90, 8.51, 7.89, 7.26, 6.82", \ + " 11.40, 10.83, 9.85, 8.73, 7.87", \ + " 15.42, 14.64, 13.21, 11.42, 9.89", \ + " 21.77, 20.77, 18.83, 16.18, 13.68" ); }} +internal_power(b_z_n) { /* nd2v3x1 7.33 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 3.46, 3.66, 3.89, 4.03, 4.08", \ + " 3.33, 3.43, 3.64, 3.85, 3.98", \ + " 3.45, 3.48, 3.60, 3.79, 3.92", \ + " 3.72, 3.66, 3.67, 3.77, 3.89", \ + " 4.33, 4.14, 3.95, 3.89, 3.92", \ + " 5.36, 5.00, 4.56, 4.24, 4.10", \ + " 7.05, 6.51, 5.73, 5.04, 4.60", \ + " 9.69, 8.94, 7.76, 6.53, 5.64", \ + " 13.81, 12.84, 11.18, 9.23, 7.67", \ + " 20.23, 19.02, 16.80, 13.95, 11.40" ); }} +timing() { /* ring osc delay nd2v3x1, path a to z 58.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.4 ; */ +/* intrinsic_fall : 24.3 ; */ +/* rise_resistance : 3.48 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 55.1, 90.7, 169.5, 308.9, 604.5", \ + " 48.7, 62.2, 97.7, 176.8, 316.3, 612.1", \ + " 55.5, 68.7, 103.8, 182.6, 322.2, 618.0", \ + " 65.0, 77.9, 112.5, 190.8, 330.2, 626.0", \ + " 79.6, 94.4, 128.5, 205.9, 344.7, 640.2", \ + " 97.9, 114.9, 152.5, 228.5, 366.2, 660.9", \ + " 122.8, 142.0, 185.5, 263.8, 399.8, 692.9", \ + " 157.0, 178.5, 227.7, 316.6, 450.8, 741.4", \ + " 205.4, 229.6, 284.8, 386.6, 529.9, 816.4", \ + " 275.0, 302.5, 364.7, 479.8, 645.6, 934.0" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.6, 114.9, 214.3, 437.8, 834.6, 1677.6", \ + " 81.1, 116.9, 214.4, 437.8, 834.6, 1677.6", \ + " 86.4, 121.4, 216.9, 437.8, 834.6, 1677.6", \ + " 94.2, 128.4, 222.1, 439.3, 834.6, 1677.6", \ + " 109.2, 142.2, 233.5, 446.3, 835.6, 1677.6", \ + " 131.7, 163.8, 252.3, 460.5, 843.1, 1677.6", \ + " 158.8, 196.8, 283.4, 486.1, 861.3, 1682.8", \ + " 193.9, 237.0, 331.6, 528.6, 895.3, 1702.8", \ + " 242.2, 290.5, 399.1, 598.1, 954.8, 1746.3", \ + " 311.2, 364.7, 486.6, 707.2, 1055.3, 1827.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.9, 21.9, 35.0, 64.5, 116.8, 228.0", \ + " 20.2, 26.3, 40.0, 69.5, 121.9, 233.1", \ + " 20.9, 28.0, 43.2, 72.9, 125.3, 236.5", \ + " 20.8, 29.1, 46.2, 77.4, 129.8, 241.0", \ + " 18.7, 29.0, 49.5, 84.4, 137.6, 248.8", \ + " 13.5, 26.2, 51.2, 91.5, 148.6, 260.0", \ + " 3.1, 18.7, 49.6, 97.8, 162.1, 276.7", \ + " -14.9, 4.1, 41.9, 100.7, 175.5, 300.6", \ + " -44.6, -21.9, 24.1, 96.1, 186.0, 328.0", \ + " -92.7, -66.0, -10.6, 77.5, 187.6, 355.0" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 22.3, 35.7, 71.6, 153.0, 298.1, 606.4", \ + " 28.7, 40.5, 74.7, 154.4, 298.2, 606.4", \ + " 34.9, 46.4, 79.0, 157.3, 299.7, 606.4", \ + " 41.9, 54.9, 86.5, 162.5, 302.9, 607.3", \ + " 54.1, 68.2, 102.4, 174.6, 311.3, 611.6", \ + " 71.1, 86.6, 123.4, 195.8, 327.5, 621.6", \ + " 96.3, 113.6, 153.5, 230.8, 357.3, 642.7", \ + " 132.8, 151.9, 195.6, 278.6, 407.9, 682.0", \ + " 186.8, 207.5, 255.9, 346.1, 484.4, 752.0", \ + " 265.9, 288.5, 342.6, 442.6, 591.9, 870.2" ); }} +timing() { /* ring osc delay nd2v3x1, path b to z 50.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.0 ; */ +/* intrinsic_fall : 23.3 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 1.41 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.6, 42.0, 78.6, 158.0, 297.6, 593.4", \ + " 35.5, 48.9, 84.9, 164.8, 304.9, 601.1", \ + " 42.1, 55.4, 90.8, 170.3, 310.5, 606.9", \ + " 49.5, 64.8, 99.3, 178.2, 318.2, 614.6", \ + " 61.0, 78.8, 115.3, 192.9, 332.3, 628.5", \ + " 76.2, 96.3, 138.5, 215.2, 353.4, 648.9", \ + " 97.7, 120.3, 168.5, 250.4, 386.6, 680.5", \ + " 127.3, 153.0, 207.4, 301.6, 437.3, 728.4", \ + " 169.4, 198.9, 260.4, 368.2, 516.0, 803.0", \ + " 230.1, 264.4, 334.8, 457.0, 628.6, 919.9" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.3, 87.5, 186.6, 409.6, 806.0, 1648.4", \ + " 55.6, 90.2, 186.6, 409.6, 806.0, 1648.4", \ + " 61.7, 95.4, 189.4, 409.6, 806.0, 1648.4", \ + " 70.6, 103.2, 195.1, 411.1, 806.0, 1648.4", \ + " 87.8, 118.1, 207.3, 418.5, 806.9, 1648.4", \ + " 105.1, 141.3, 227.0, 433.2, 814.5, 1648.4", \ + " 128.9, 170.9, 259.4, 459.7, 833.2, 1653.5", \ + " 162.3, 208.1, 309.2, 503.5, 868.0, 1673.9", \ + " 210.9, 260.0, 373.1, 574.5, 928.8, 1718.0", \ + " 282.8, 335.0, 458.5, 685.2, 1031.4, 1800.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 13.2, 18.2, 31.4, 60.9, 113.3, 224.4", \ + " 16.3, 23.6, 37.8, 67.4, 119.7, 230.9", \ + " 16.6, 25.6, 42.6, 72.2, 124.6, 235.7", \ + " 16.1, 26.7, 47.0, 78.8, 131.1, 242.3", \ + " 13.6, 26.6, 51.5, 89.9, 142.7, 253.7", \ + " 8.2, 23.9, 54.0, 100.6, 159.4, 270.2", \ + " -1.9, 17.0, 53.4, 109.9, 180.9, 295.2", \ + " -19.0, 3.5, 47.2, 115.3, 201.2, 331.9", \ + " -47.4, -20.7, 31.8, 113.9, 217.6, 375.6", \ + " -93.4, -61.9, 0.8, 99.8, 225.3, 416.9" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 22.3, 34.9, 69.7, 149.5, 291.2, 592.5", \ + " 33.2, 43.8, 75.6, 151.5, 291.2, 592.5", \ + " 39.7, 52.6, 82.6, 156.1, 293.0, 592.5", \ + " 47.0, 62.3, 93.3, 164.2, 297.8, 593.0", \ + " 60.1, 76.8, 113.5, 181.4, 310.3, 598.5", \ + " 78.1, 96.7, 137.0, 208.7, 332.9, 612.8", \ + " 103.7, 125.1, 169.8, 250.5, 372.1, 642.1", \ + " 139.2, 164.2, 214.9, 304.1, 433.2, 694.6", \ + " 189.6, 219.1, 278.0, 377.7, 521.8, 782.9", \ + " 262.8, 297.4, 367.0, 481.0, 641.5, 922.4" ); }} +} +} +cell(nd2v3x2) { /* 2008-01-06:07h39 characteristic delay 12.3 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 1016 ; /* nd2v3x2 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v3x2 FO4 effort 1.35 logical effort 1.49 */ +direction : input ; +capacitance : 7.50 ; +rise_capacitance : 7.03 ; +fall_capacitance : 7.97 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v3x2 */ +} +pin(b) { /* nd2v3x2 FO4 effort 1.22 logical effort 1.43 */ +direction : input ; +capacitance : 7.12 ; +rise_capacitance : 6.92 ; +fall_capacitance : 7.32 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v3x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 178 ; +max_fanout : 6 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v3x2 17.20 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 8.60, 8.73, 8.86, 8.92, 8.93", \ + " 8.29, 8.41, 8.58, 8.72, 8.78", \ + " 8.35, 8.41, 8.53, 8.66, 8.73", \ + " 8.60, 8.58, 8.60, 8.66, 8.71", \ + " 9.26, 9.10, 8.92, 8.82, 8.78", \ + " 10.50, 10.15, 9.67, 9.28, 9.05", \ + " 12.67, 12.08, 11.17, 10.30, 9.71", \ + " 16.20, 15.32, 13.86, 12.27, 11.09", \ + " 21.87, 20.66, 18.52, 15.93, 13.80", \ + " 30.85, 29.30, 26.36, 22.47, 18.92" ); }} +internal_power(b_z_n) { /* nd2v3x2 10.59 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 5.01, 5.31, 5.63, 5.81, 5.87", \ + " 4.82, 4.98, 5.28, 5.58, 5.74", \ + " 4.98, 5.03, 5.22, 5.49, 5.67", \ + " 5.35, 5.27, 5.30, 5.46, 5.63", \ + " 6.21, 5.92, 5.66, 5.60, 5.65", \ + " 7.64, 7.11, 6.49, 6.06, 5.88", \ + " 10.02, 9.20, 8.08, 7.11, 6.52", \ + " 13.74, 12.61, 10.86, 9.12, 7.90", \ + " 19.57, 18.08, 15.59, 12.80, 10.62", \ + " 28.64, 26.77, 23.44, 19.28, 15.67" ); }} +timing() { /* ring osc delay nd2v3x2, path a to z 45.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.0 ; */ +/* intrinsic_fall : 24.8 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.8, 58.3, 98.6, 187.9, 345.8, 680.8", \ + " 50.1, 65.3, 105.6, 195.1, 353.2, 688.4", \ + " 56.8, 71.7, 111.6, 200.9, 359.0, 694.3", \ + " 66.3, 80.9, 120.2, 209.1, 367.0, 702.2", \ + " 81.1, 97.5, 136.1, 224.0, 381.4, 716.4", \ + " 99.5, 118.5, 159.9, 246.4, 402.8, 737.0", \ + " 124.7, 146.2, 194.1, 281.4, 436.0, 768.8", \ + " 159.2, 183.1, 237.6, 334.5, 486.6, 816.9", \ + " 207.9, 234.9, 296.0, 407.5, 565.1, 891.3", \ + " 278.1, 308.7, 377.4, 503.9, 684.4, 1007.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.3, 122.6, 235.3, 488.4, 938.2, 1893.5", \ + " 83.6, 124.2, 235.3, 488.4, 938.2, 1893.5", \ + " 88.8, 128.5, 237.2, 488.4, 938.2, 1893.5", \ + " 96.6, 135.4, 242.0, 489.3, 938.2, 1893.5", \ + " 111.5, 149.0, 253.0, 495.4, 938.4, 1893.5", \ + " 134.3, 170.3, 271.2, 508.6, 944.3, 1893.5", \ + " 161.5, 203.8, 301.7, 533.1, 960.8, 1896.2", \ + " 196.8, 244.9, 349.3, 574.2, 992.8, 1912.9", \ + " 245.3, 299.1, 418.9, 642.2, 1049.8, 1952.7", \ + " 314.3, 374.0, 508.9, 750.3, 1147.3, 2029.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.3, 22.9, 37.6, 70.6, 129.2, 253.7", \ + " 20.7, 27.4, 42.6, 75.6, 134.3, 258.8", \ + " 21.4, 29.2, 45.9, 79.0, 137.7, 262.2", \ + " 21.3, 30.4, 49.1, 83.4, 142.1, 266.7", \ + " 19.4, 30.6, 52.8, 90.9, 149.9, 274.5", \ + " 14.2, 28.2, 55.1, 98.7, 161.0, 285.7", \ + " 3.9, 21.1, 54.4, 106.0, 175.7, 302.4", \ + " -14.0, 6.9, 47.7, 110.4, 190.7, 326.9", \ + " -43.7, -18.7, 31.0, 107.9, 203.7, 357.0", \ + " -91.7, -62.4, -2.3, 92.0, 209.0, 387.9" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 23.1, 37.9, 78.3, 169.5, 332.0, 677.3", \ + " 29.2, 42.6, 81.2, 170.7, 332.0, 677.3", \ + " 35.6, 48.4, 85.3, 173.3, 333.2, 677.3", \ + " 42.7, 57.1, 92.5, 178.3, 336.1, 677.9", \ + " 54.9, 70.5, 108.3, 189.8, 343.9, 681.5", \ + " 72.0, 89.2, 129.8, 210.3, 359.2, 690.5", \ + " 97.2, 116.3, 160.3, 245.5, 387.8, 710.1", \ + " 133.7, 154.9, 203.0, 294.2, 436.9, 747.4", \ + " 187.6, 210.8, 264.0, 362.8, 514.8, 814.7", \ + " 266.6, 291.9, 351.4, 460.7, 624.4, 930.5" ); }} +timing() { /* ring osc delay nd2v3x2, path b to z 36.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.4 ; */ +/* intrinsic_fall : 24.0 ; */ +/* rise_resistance : 2.48 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.7, 44.9, 86.1, 175.9, 334.0, 669.2", \ + " 36.4, 51.7, 92.5, 182.7, 341.4, 676.9", \ + " 43.2, 58.1, 98.2, 188.3, 347.0, 682.7", \ + " 50.7, 67.4, 106.6, 196.1, 354.7, 690.5", \ + " 62.5, 82.1, 122.4, 210.7, 368.7, 704.3", \ + " 77.9, 100.1, 146.1, 232.8, 389.7, 724.7", \ + " 99.7, 124.7, 177.5, 267.6, 422.5, 756.0", \ + " 129.7, 158.0, 217.7, 320.0, 472.8, 803.6", \ + " 172.3, 204.8, 272.2, 389.7, 550.9, 877.6", \ + " 233.7, 271.4, 348.4, 481.8, 668.0, 993.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.0, 95.1, 207.4, 460.1, 909.4, 1864.1", \ + " 58.0, 97.4, 207.4, 460.1, 909.4, 1864.1", \ + " 64.0, 102.4, 209.6, 460.1, 909.4, 1864.1", \ + " 72.8, 110.0, 214.9, 461.0, 909.4, 1864.1", \ + " 89.7, 124.6, 226.5, 467.3, 909.6, 1864.1", \ + " 107.8, 147.3, 245.7, 481.1, 915.5, 1864.1", \ + " 131.9, 178.4, 277.3, 506.3, 932.4, 1866.8", \ + " 165.3, 216.4, 326.3, 548.7, 965.2, 1883.7", \ + " 213.9, 268.8, 393.6, 618.2, 1023.4, 1924.1", \ + " 285.7, 344.2, 481.2, 728.3, 1122.9, 2001.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 13.5, 19.1, 33.8, 66.9, 125.5, 250.0", \ + " 16.7, 24.7, 40.2, 73.3, 131.9, 256.4", \ + " 17.2, 26.9, 45.2, 78.2, 136.8, 261.3", \ + " 16.7, 28.3, 50.0, 84.8, 143.3, 267.8", \ + " 14.3, 28.5, 55.2, 96.2, 154.9, 279.2", \ + " 9.1, 26.2, 58.6, 108.3, 171.5, 295.7", \ + " -0.9, 19.7, 58.9, 119.2, 194.8, 320.6", \ + " -17.9, 6.7, 53.8, 126.6, 218.0, 357.4", \ + " -46.2, -17.0, 39.6, 127.4, 237.9, 405.9", \ + " -92.3, -57.7, 10.0, 116.0, 249.8, 453.5" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 22.9, 37.1, 76.3, 165.6, 324.4, 661.8", \ + " 33.8, 45.8, 81.7, 167.2, 324.4, 661.8", \ + " 40.5, 54.4, 88.5, 171.4, 325.6, 661.8", \ + " 48.0, 64.7, 99.0, 179.1, 329.8, 661.9", \ + " 61.1, 79.5, 119.1, 195.7, 341.3, 666.2", \ + " 79.3, 99.7, 143.8, 222.6, 362.9, 678.9", \ + " 105.1, 128.5, 177.3, 265.2, 401.0, 706.3", \ + " 140.7, 168.1, 223.2, 320.3, 461.4, 756.5", \ + " 191.3, 223.6, 287.4, 395.7, 552.4, 842.9", \ + " 264.7, 302.7, 378.0, 501.1, 675.6, 981.4" ); }} +} +} +cell(nd2v3x3) { /* 2008-01-06:07h39 characteristic delay 12.4 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1444 ; /* nd2v3x3 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v3x3 FO4 effort 1.35 logical effort 1.47 */ +direction : input ; +capacitance : 10.47 ; +rise_capacitance : 9.83 ; +fall_capacitance : 11.10 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v3x3 */ +} +pin(b) { /* nd2v3x3 FO4 effort 1.26 logical effort 1.49 */ +direction : input ; +capacitance : 10.53 ; +rise_capacitance : 10.21 ; +fall_capacitance : 10.85 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v3x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 252 ; +max_fanout : 8 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v3x3 25.22 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 12.61, 12.78, 12.94, 13.02, 13.01", \ + " 12.20, 12.36, 12.57, 12.75, 12.81", \ + " 12.29, 12.37, 12.52, 12.68, 12.75", \ + " 12.63, 12.59, 12.61, 12.69, 12.74", \ + " 13.56, 13.32, 13.06, 12.90, 12.83", \ + " 15.30, 14.79, 14.11, 13.55, 13.21", \ + " 18.34, 17.48, 16.19, 14.96, 14.12", \ + " 23.31, 22.04, 19.94, 17.70, 16.02", \ + " 31.31, 29.57, 26.48, 22.79, 19.78", \ + " 44.02, 41.75, 37.50, 31.92, 26.89" ); }} +internal_power(b_z_n) { /* nd2v3x3 16.07 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 7.68, 8.12, 8.58, 8.84, 8.93", \ + " 7.34, 7.60, 8.06, 8.50, 8.74", \ + " 7.55, 7.65, 7.96, 8.37, 8.63", \ + " 8.04, 7.96, 8.03, 8.31, 8.56", \ + " 9.21, 8.83, 8.52, 8.47, 8.58", \ + " 11.21, 10.48, 9.63, 9.08, 8.87", \ + " 14.55, 13.39, 11.83, 10.50, 9.72", \ + " 19.78, 18.16, 15.69, 13.28, 11.60", \ + " 28.00, 25.85, 22.31, 18.37, 15.33", \ + " 40.83, 38.12, 33.32, 27.41, 22.33" ); }} +timing() { /* ring osc delay nd2v3x3, path a to z 46.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 25.3 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 0.67 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.0, 60.4, 103.0, 197.4, 364.5, 719.2", \ + " 51.4, 67.5, 110.0, 204.7, 372.0, 726.9", \ + " 58.1, 73.9, 116.0, 210.5, 377.8, 732.8", \ + " 67.5, 83.0, 124.6, 218.6, 385.8, 740.7", \ + " 82.7, 99.7, 140.4, 233.6, 400.2, 754.9", \ + " 101.4, 121.1, 164.2, 255.9, 421.5, 775.5", \ + " 126.9, 149.2, 199.0, 290.8, 454.7, 807.2", \ + " 161.7, 186.7, 243.3, 343.8, 505.1, 855.1", \ + " 210.9, 238.9, 302.6, 418.5, 583.3, 929.3", \ + " 281.6, 313.4, 385.0, 516.6, 703.7, 1045.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.3, 129.1, 248.4, 516.4, 992.6, 2004.1", \ + " 87.4, 130.6, 248.4, 516.4, 992.6, 2004.1", \ + " 92.5, 134.7, 250.0, 516.4, 992.6, 2004.1", \ + " 100.2, 141.4, 254.6, 517.0, 992.6, 2004.1", \ + " 114.9, 154.8, 265.3, 522.6, 992.7, 2004.1", \ + " 137.4, 175.8, 283.2, 535.3, 997.7, 2004.1", \ + " 165.5, 209.1, 313.1, 559.2, 1013.3, 2005.9", \ + " 201.3, 251.3, 360.3, 599.6, 1044.3, 2020.9", \ + " 250.2, 306.3, 430.8, 666.6, 1100.1, 2058.9", \ + " 319.6, 381.9, 522.4, 774.0, 1195.9, 2133.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.5, 23.4, 38.9, 73.6, 135.3, 266.4", \ + " 21.1, 28.1, 43.9, 78.7, 140.4, 271.5", \ + " 22.0, 29.9, 47.2, 82.1, 143.8, 274.9", \ + " 22.0, 31.4, 50.7, 86.5, 148.3, 279.4", \ + " 20.3, 31.8, 54.6, 94.1, 156.1, 287.2", \ + " 15.4, 29.6, 57.3, 102.2, 167.2, 298.3", \ + " 5.3, 23.0, 57.0, 110.2, 182.3, 315.1", \ + " -12.3, 9.2, 51.0, 115.4, 198.2, 339.6", \ + " -41.7, -16.0, 35.1, 114.0, 212.4, 371.0", \ + " -89.5, -59.2, 2.6, 99.4, 219.5, 403.8" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 24.4, 40.1, 82.5, 178.6, 349.6, 713.1", \ + " 30.4, 44.6, 85.4, 179.7, 349.7, 713.1", \ + " 36.8, 50.2, 89.4, 182.2, 350.7, 713.1", \ + " 44.0, 59.1, 96.5, 187.0, 353.4, 713.5", \ + " 56.3, 72.7, 111.9, 198.3, 361.0, 716.8", \ + " 73.6, 91.5, 133.9, 218.5, 375.8, 725.4", \ + " 99.0, 118.9, 164.7, 253.5, 403.9, 744.3", \ + " 135.7, 157.7, 207.7, 302.8, 452.3, 780.7", \ + " 189.8, 213.9, 269.2, 372.0, 530.4, 846.8", \ + " 268.9, 295.4, 357.2, 470.6, 641.2, 961.1" ); }} +timing() { /* ring osc delay nd2v3x3, path b to z 38.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 25.1 ; */ +/* rise_resistance : 1.75 ; */ +/* fall_resistance : 0.68 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.7, 47.9, 91.4, 186.4, 353.8, 708.6", \ + " 38.2, 54.4, 97.7, 193.2, 361.1, 716.4", \ + " 45.2, 60.8, 103.3, 198.7, 366.7, 722.2", \ + " 52.9, 70.0, 111.6, 206.5, 374.4, 729.9", \ + " 64.9, 85.1, 127.4, 220.9, 388.4, 743.8", \ + " 80.6, 103.6, 151.1, 242.9, 409.2, 764.0", \ + " 102.6, 128.5, 183.2, 277.6, 441.9, 795.3", \ + " 133.1, 162.3, 224.3, 330.2, 491.9, 842.7", \ + " 176.2, 209.7, 279.6, 401.6, 569.7, 916.3", \ + " 238.3, 277.2, 356.8, 495.5, 688.3, 1031.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.8, 101.4, 220.3, 487.9, 963.6, 1974.5", \ + " 61.4, 103.4, 220.3, 487.9, 963.6, 1974.5", \ + " 67.4, 108.3, 222.1, 487.9, 963.6, 1974.5", \ + " 76.0, 115.7, 227.1, 488.4, 963.6, 1974.5", \ + " 92.6, 130.1, 238.5, 494.2, 963.6, 1974.5", \ + " 112.1, 152.5, 257.3, 507.5, 968.7, 1974.5", \ + " 136.4, 184.8, 288.5, 532.1, 984.6, 1976.2", \ + " 170.1, 223.4, 337.1, 573.7, 1016.4, 1991.4", \ + " 218.8, 276.3, 406.2, 642.4, 1073.3, 2029.9", \ + " 290.6, 352.0, 495.3, 751.9, 1171.2, 2105.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 14.1, 20.0, 35.6, 70.3, 132.0, 263.1", \ + " 17.7, 25.9, 42.0, 76.8, 138.5, 269.5", \ + " 18.3, 28.2, 46.9, 81.7, 143.3, 274.4", \ + " 18.0, 29.8, 52.1, 88.2, 149.9, 280.9", \ + " 15.8, 30.3, 57.7, 99.8, 161.4, 292.3", \ + " 10.8, 28.3, 61.6, 112.6, 178.0, 308.8", \ + " 1.2, 22.2, 62.4, 124.4, 202.0, 333.7", \ + " -15.6, 9.6, 58.0, 132.7, 226.6, 370.4", \ + " -43.5, -13.6, 44.5, 134.7, 248.3, 420.7", \ + " -89.3, -53.8, 15.8, 124.8, 262.2, 471.5" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.1, 39.1, 80.5, 174.5, 341.6, 696.8", \ + " 34.6, 47.4, 85.5, 175.8, 341.6, 696.8", \ + " 42.0, 55.9, 92.1, 179.8, 342.5, 696.8", \ + " 49.5, 66.9, 102.4, 187.2, 346.4, 696.8", \ + " 62.8, 81.8, 122.3, 203.5, 357.5, 700.4", \ + " 81.2, 102.2, 147.9, 230.1, 378.4, 712.4", \ + " 107.3, 131.3, 181.8, 272.5, 416.0, 738.8", \ + " 143.3, 171.3, 228.2, 328.9, 476.0, 787.9", \ + " 194.4, 227.5, 293.1, 405.2, 567.9, 873.2", \ + " 268.3, 307.3, 384.6, 511.8, 692.8, 1011.2" ); }} +} +} +cell(nd2v3x4) { /* 2008-01-06:07h39 characteristic delay 12.3 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 2033 ; /* nd2v3x4 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v3x4 FO4 effort 1.35 logical effort 1.49 */ +direction : input ; +capacitance : 14.93 ; +rise_capacitance : 13.99 ; +fall_capacitance : 15.87 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v3x4 */ +} +pin(b) { /* nd2v3x4 FO4 effort 1.22 logical effort 1.44 */ +direction : input ; +capacitance : 14.30 ; +rise_capacitance : 13.88 ; +fall_capacitance : 14.71 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v3x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 356 ; +max_fanout : 10 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v3x4 34.47 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 17.23, 17.49, 17.75, 17.88, 17.89", \ + " 16.62, 16.86, 17.19, 17.47, 17.59", \ + " 16.73, 16.86, 17.10, 17.36, 17.49", \ + " 17.23, 17.19, 17.23, 17.36, 17.46", \ + " 18.56, 18.23, 17.88, 17.67, 17.60", \ + " 21.04, 20.33, 19.38, 18.60, 18.14", \ + " 25.38, 24.18, 22.37, 20.64, 19.45", \ + " 32.43, 30.67, 27.75, 24.59, 22.21", \ + " 43.76, 41.36, 37.07, 31.90, 27.64", \ + " 61.72, 58.62, 52.75, 44.97, 37.87" ); }} +internal_power(b_z_n) { /* nd2v3x4 21.31 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 10.10, 10.69, 11.34, 11.71, 11.83", \ + " 9.69, 10.02, 10.63, 11.23, 11.56", \ + " 10.02, 10.13, 10.51, 11.05, 11.42", \ + " 10.76, 10.60, 10.65, 11.00, 11.33", \ + " 12.46, 11.89, 11.39, 11.26, 11.38", \ + " 15.33, 14.27, 13.03, 12.18, 11.84", \ + " 20.08, 18.45, 16.20, 14.28, 13.11", \ + " 27.52, 25.25, 21.77, 18.30, 15.86", \ + " 39.16, 36.19, 31.23, 25.65, 21.29", \ + " 57.31, 53.57, 46.91, 38.61, 31.39" ); }} +timing() { /* ring osc delay nd2v3x4, path a to z 45.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.1 ; */ +/* intrinsic_fall : 24.9 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.48 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.8, 58.4, 98.7, 187.9, 345.8, 680.8", \ + " 50.1, 65.4, 105.7, 195.2, 353.3, 688.5", \ + " 56.8, 71.8, 111.7, 201.0, 359.1, 694.4", \ + " 66.4, 80.9, 120.2, 209.1, 367.1, 702.3", \ + " 81.2, 97.6, 136.1, 224.0, 381.5, 716.4", \ + " 99.6, 118.6, 159.9, 246.4, 402.8, 737.1", \ + " 124.8, 146.2, 194.2, 281.5, 436.1, 768.9", \ + " 159.3, 183.2, 237.7, 334.6, 486.7, 816.9", \ + " 208.1, 235.0, 296.1, 407.6, 565.2, 891.3", \ + " 278.2, 308.8, 377.5, 504.0, 684.4, 1007.9" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 80.5, 122.8, 235.4, 488.6, 938.4, 1893.7", \ + " 83.7, 124.4, 235.5, 488.6, 938.4, 1893.7", \ + " 89.0, 128.7, 237.4, 488.6, 938.4, 1893.7", \ + " 96.8, 135.6, 242.2, 489.5, 938.4, 1893.7", \ + " 111.6, 149.2, 253.1, 495.5, 938.6, 1893.7", \ + " 134.4, 170.5, 271.4, 508.8, 944.5, 1893.7", \ + " 161.8, 204.0, 301.8, 533.3, 960.9, 1896.3", \ + " 197.0, 245.1, 349.5, 574.4, 993.0, 1913.1", \ + " 245.5, 299.4, 419.1, 642.3, 1050.0, 1952.9", \ + " 314.6, 374.2, 509.1, 750.5, 1147.5, 2029.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.3, 22.9, 37.6, 70.6, 129.2, 253.7", \ + " 20.7, 27.4, 42.6, 75.7, 134.3, 258.8", \ + " 21.5, 29.2, 45.9, 79.0, 137.7, 262.2", \ + " 21.4, 30.5, 49.1, 83.5, 142.2, 266.7", \ + " 19.4, 30.7, 52.9, 90.9, 149.9, 274.5", \ + " 14.3, 28.2, 55.2, 98.7, 161.1, 285.7", \ + " 4.0, 21.2, 54.4, 106.0, 175.7, 302.4", \ + " -13.9, 7.0, 47.8, 110.5, 190.7, 326.9", \ + " -43.5, -18.6, 31.1, 108.0, 203.8, 357.0", \ + " -91.6, -62.2, -2.2, 92.0, 209.0, 387.9" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 23.1, 38.0, 78.3, 169.6, 332.1, 677.3", \ + " 29.3, 42.7, 81.3, 170.8, 332.1, 677.3", \ + " 35.6, 48.4, 85.4, 173.4, 333.3, 677.3", \ + " 42.8, 57.2, 92.6, 178.4, 336.2, 677.9", \ + " 54.9, 70.6, 108.3, 189.9, 344.0, 681.6", \ + " 72.0, 89.2, 129.9, 210.4, 359.3, 690.6", \ + " 97.3, 116.4, 160.4, 245.6, 387.9, 710.2", \ + " 133.8, 155.0, 203.0, 294.3, 437.0, 747.5", \ + " 187.7, 210.8, 264.0, 362.8, 514.8, 814.8", \ + " 266.7, 292.0, 351.5, 460.7, 624.5, 930.5" ); }} +timing() { /* ring osc delay nd2v3x4, path b to z 36.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.5 ; */ +/* intrinsic_fall : 24.1 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.49 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 45.1, 86.3, 176.1, 334.2, 669.4", \ + " 36.6, 51.8, 92.6, 182.9, 341.6, 677.1", \ + " 43.4, 58.3, 98.4, 188.4, 347.2, 682.9", \ + " 50.9, 67.6, 106.8, 196.2, 354.9, 690.7", \ + " 62.6, 82.2, 122.6, 210.8, 368.9, 704.5", \ + " 78.1, 100.3, 146.2, 232.9, 389.8, 724.8", \ + " 99.9, 124.8, 177.6, 267.7, 422.7, 756.2", \ + " 129.9, 158.2, 217.8, 320.2, 472.9, 803.8", \ + " 172.5, 205.0, 272.3, 389.9, 551.0, 877.7", \ + " 234.0, 271.6, 348.5, 482.0, 668.1, 993.7" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.2, 95.3, 207.6, 460.3, 909.6, 1864.4", \ + " 58.2, 97.6, 207.6, 460.3, 909.6, 1864.4", \ + " 64.2, 102.6, 209.8, 460.3, 909.6, 1864.4", \ + " 73.0, 110.2, 215.1, 461.2, 909.6, 1864.4", \ + " 89.9, 124.8, 226.7, 467.5, 909.8, 1864.4", \ + " 108.1, 147.5, 245.9, 481.3, 915.7, 1864.4", \ + " 132.2, 178.7, 277.5, 506.5, 932.6, 1867.0", \ + " 165.6, 216.7, 326.5, 548.9, 965.4, 1883.9", \ + " 214.2, 269.1, 393.9, 618.4, 1023.6, 1924.3", \ + " 286.0, 344.5, 481.5, 728.6, 1123.1, 2002.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 13.5, 19.2, 33.9, 66.9, 125.5, 250.1", \ + " 16.8, 24.8, 40.3, 73.4, 132.0, 256.5", \ + " 17.3, 27.0, 45.2, 78.3, 136.9, 261.4", \ + " 16.8, 28.4, 50.1, 84.8, 143.4, 267.9", \ + " 14.4, 28.6, 55.3, 96.3, 154.9, 279.3", \ + " 9.2, 26.3, 58.7, 108.4, 171.6, 295.8", \ + " -0.7, 19.8, 59.0, 119.3, 194.9, 320.7", \ + " -17.7, 6.8, 53.9, 126.7, 218.1, 357.5", \ + " -46.0, -16.8, 39.7, 127.5, 238.0, 405.9", \ + " -92.1, -57.5, 10.1, 116.1, 249.9, 453.6" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.0, 37.2, 76.4, 165.7, 324.5, 661.9", \ + " 33.9, 45.8, 81.7, 167.2, 324.5, 661.9", \ + " 40.5, 54.4, 88.5, 171.5, 325.6, 661.9", \ + " 48.0, 64.8, 99.0, 179.1, 329.9, 662.0", \ + " 61.2, 79.5, 119.1, 195.8, 341.4, 666.2", \ + " 79.3, 99.8, 143.9, 222.6, 362.9, 679.0", \ + " 105.2, 128.6, 177.4, 265.2, 401.0, 706.3", \ + " 140.8, 168.2, 223.2, 320.3, 461.5, 756.5", \ + " 191.5, 223.8, 287.5, 395.7, 552.5, 842.9", \ + " 264.9, 302.9, 378.0, 501.2, 675.6, 981.4" ); }} +} +} +cell(nd2v4x1) { /* 2008-01-06:07h39 characteristic delay 11.7 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 508 ; /* nd2v4x1 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x1 FO4 effort 1.28 logical effort 1.37 */ +direction : input ; +capacitance : 2.98 ; +rise_capacitance : 2.91 ; +fall_capacitance : 3.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x1 */ +} +pin(b) { /* nd2v4x1 FO4 effort 1.26 logical effort 1.35 */ +direction : input ; +capacitance : 2.94 ; +rise_capacitance : 2.98 ; +fall_capacitance : 2.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 130 ; +max_fanout : 4 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x1 6.85 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 3.43, 3.49, 3.54, 3.56, 3.55", \ + " 3.29, 3.36, 3.44, 3.49, 3.51", \ + " 3.30, 3.34, 3.42, 3.47, 3.49", \ + " 3.38, 3.39, 3.43, 3.47, 3.49", \ + " 3.64, 3.57, 3.52, 3.51, 3.51", \ + " 4.14, 3.96, 3.77, 3.65, 3.59", \ + " 5.05, 4.73, 4.32, 4.00, 3.80", \ + " 6.56, 6.06, 5.35, 4.70, 4.26", \ + " 8.99, 8.31, 7.19, 6.05, 5.21", \ + " 12.85, 11.98, 10.39, 8.54, 7.06" ); }} +internal_power(b_z_n) { /* nd2v4x1 5.64 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 2.77, 2.86, 2.94, 2.98, 2.98", \ + " 2.63, 2.72, 2.84, 2.92, 2.95", \ + " 2.66, 2.71, 2.81, 2.90, 2.93", \ + " 2.77, 2.77, 2.82, 2.89, 2.93", \ + " 3.05, 2.97, 2.92, 2.93, 2.94", \ + " 3.57, 3.38, 3.18, 3.06, 3.02", \ + " 4.47, 4.14, 3.72, 3.40, 3.22", \ + " 5.93, 5.42, 4.72, 4.08, 3.67", \ + " 8.26, 7.56, 6.47, 5.39, 4.59", \ + " 11.94, 11.03, 9.47, 7.74, 6.36" ); }} +timing() { /* ring osc delay nd2v4x1, path a to z 45.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.7 ; */ +/* intrinsic_fall : 40.4 ; */ +/* rise_resistance : 3.29 ; */ +/* fall_resistance : 4.61 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 34.4, 60.9, 120.2, 225.3, 448.6", \ + " 32.3, 42.3, 68.8, 128.1, 233.3, 456.6", \ + " 37.9, 48.6, 75.0, 134.2, 239.3, 462.6", \ + " 43.8, 56.5, 83.4, 142.4, 247.4, 470.6", \ + " 52.2, 67.4, 98.5, 157.0, 261.7, 484.8", \ + " 62.3, 79.9, 116.8, 178.4, 282.6, 505.3", \ + " 75.0, 95.4, 138.7, 210.7, 314.4, 536.3", \ + " 90.9, 114.6, 164.8, 249.9, 362.1, 582.5", \ + " 111.6, 139.3, 197.5, 296.9, 430.2, 653.0", \ + " 138.8, 171.4, 239.5, 355.0, 512.6, 762.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.2, 76.2, 151.0, 319.6, 619.2, 1255.9", \ + " 56.0, 81.4, 152.9, 319.6, 619.2, 1255.9", \ + " 64.1, 88.2, 157.3, 320.7, 619.2, 1255.9", \ + " 76.3, 98.7, 165.0, 324.8, 619.5, 1255.9", \ + " 97.3, 119.4, 181.6, 335.8, 624.2, 1255.9", \ + " 122.3, 149.3, 209.0, 356.3, 637.1, 1258.2", \ + " 156.7, 187.9, 253.8, 393.0, 663.8, 1271.5", \ + " 204.1, 239.7, 316.3, 453.8, 712.2, 1303.1", \ + " 271.4, 312.2, 399.8, 551.8, 797.0, 1365.5", \ + " 368.7, 415.9, 516.0, 691.4, 939.0, 1480.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 47.2, 84.2, 166.8, 313.4, 624.7", \ + " 37.0, 51.3, 88.7, 171.7, 318.4, 629.7", \ + " 39.5, 54.4, 91.8, 174.9, 321.7, 633.1", \ + " 41.8, 58.2, 96.1, 179.2, 326.1, 637.5", \ + " 44.8, 63.1, 103.9, 186.9, 333.8, 645.3", \ + " 47.6, 68.4, 113.3, 198.2, 345.0, 656.5", \ + " 49.9, 74.0, 124.3, 215.5, 362.2, 673.4", \ + " 51.0, 78.9, 136.4, 236.8, 387.9, 698.7", \ + " 49.7, 82.2, 148.8, 261.9, 425.6, 737.3", \ + " 43.8, 81.8, 159.8, 289.9, 471.5, 797.0" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 53.4, 91.4, 192.9, 421.4, 827.6, 1690.8", \ + " 56.4, 93.2, 193.1, 421.4, 827.6, 1690.8", \ + " 61.1, 96.9, 195.5, 421.5, 827.6, 1690.8", \ + " 69.4, 103.2, 199.7, 423.7, 827.6, 1690.8", \ + " 84.6, 117.5, 209.8, 429.6, 829.9, 1690.8", \ + " 104.2, 140.1, 228.4, 442.0, 836.7, 1691.8", \ + " 134.1, 170.8, 261.6, 466.2, 852.5, 1698.7", \ + " 177.3, 215.1, 310.2, 509.3, 883.9, 1716.4", \ + " 239.6, 279.6, 378.4, 583.0, 942.5, 1755.3", \ + " 332.1, 373.6, 478.0, 692.5, 1046.0, 1832.3" ); }} +timing() { /* ring osc delay nd2v4x1, path b to z 42.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.2 ; */ +/* intrinsic_fall : 42.5 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 4.60 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.3, 31.5, 58.2, 117.5, 222.7, 446.0", \ + " 29.2, 39.4, 66.0, 125.4, 230.6, 454.0", \ + " 33.8, 45.7, 72.1, 131.4, 236.6, 460.0", \ + " 38.7, 52.7, 80.4, 139.5, 244.7, 468.0", \ + " 45.7, 62.4, 95.2, 154.1, 259.0, 482.1", \ + " 53.9, 73.4, 112.5, 175.3, 279.7, 502.5", \ + " 63.9, 86.8, 132.9, 207.1, 311.4, 533.5", \ + " 76.0, 103.0, 156.9, 245.1, 358.8, 579.5", \ + " 90.9, 122.8, 186.4, 290.0, 426.0, 649.8", \ + " 109.6, 147.4, 222.7, 344.8, 506.3, 758.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.3, 68.4, 143.3, 311.7, 611.2, 1247.7", \ + " 48.3, 73.5, 144.9, 311.7, 611.2, 1247.7", \ + " 56.7, 80.3, 149.2, 312.7, 611.3, 1247.7", \ + " 69.5, 91.0, 156.9, 316.7, 611.4, 1247.7", \ + " 88.6, 112.1, 173.6, 327.6, 616.0, 1247.7", \ + " 112.1, 140.8, 201.2, 348.1, 628.8, 1250.0", \ + " 145.1, 177.8, 246.4, 384.8, 655.5, 1263.1", \ + " 191.0, 228.0, 307.2, 445.9, 704.0, 1294.6", \ + " 256.4, 298.7, 388.7, 544.1, 788.9, 1357.2", \ + " 351.0, 399.8, 502.6, 681.6, 931.1, 1472.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 43.9, 81.0, 163.7, 310.3, 621.6", \ + " 35.2, 49.5, 86.9, 169.8, 316.6, 627.9", \ + " 39.3, 54.2, 91.5, 174.5, 321.3, 632.7", \ + " 43.0, 60.5, 98.0, 180.9, 327.7, 639.2", \ + " 47.5, 68.2, 109.7, 192.3, 339.1, 650.5", \ + " 52.0, 76.0, 124.9, 209.2, 355.6, 666.8", \ + " 56.7, 84.2, 141.3, 235.2, 380.8, 691.6", \ + " 60.9, 92.6, 158.3, 268.6, 418.8, 728.5", \ + " 64.0, 100.7, 176.4, 304.8, 476.2, 784.9", \ + " 64.7, 107.5, 195.3, 343.6, 545.4, 872.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.0, 89.2, 188.4, 411.7, 808.7, 1652.4", \ + " 57.3, 92.0, 188.6, 411.7, 808.7, 1652.4", \ + " 64.4, 97.7, 191.6, 411.7, 808.7, 1652.4", \ + " 75.0, 107.0, 198.0, 413.7, 808.7, 1652.4", \ + " 92.1, 125.0, 212.5, 422.1, 810.0, 1652.4", \ + " 111.3, 150.6, 236.7, 439.5, 818.8, 1652.4", \ + " 139.6, 181.8, 275.4, 472.0, 840.7, 1658.7", \ + " 180.1, 225.3, 329.3, 525.8, 883.1, 1682.2", \ + " 238.8, 288.0, 400.2, 610.5, 958.7, 1735.1", \ + " 325.3, 379.1, 500.8, 732.1, 1083.7, 1836.7" ); }} +} +} +cell(nd2v4x2) { /* 2008-01-06:07h39 characteristic delay 11.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 889 ; /* nd2v4x2 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x2 FO4 effort 1.25 logical effort 1.35 */ +direction : input ; +capacitance : 4.95 ; +rise_capacitance : 4.86 ; +fall_capacitance : 5.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x2 */ +} +pin(b) { /* nd2v4x2 FO4 effort 1.24 logical effort 1.35 */ +direction : input ; +capacitance : 4.92 ; +rise_capacitance : 5.00 ; +fall_capacitance : 4.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 195 ; +max_fanout : 6 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x2 11.04 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_195_5x10) { +values( " 5.53, 5.63, 5.72, 5.76, 5.76", \ + " 5.28, 5.39, 5.55, 5.65, 5.69", \ + " 5.30, 5.37, 5.50, 5.61, 5.66", \ + " 5.46, 5.46, 5.52, 5.61, 5.65", \ + " 5.91, 5.79, 5.70, 5.68, 5.68", \ + " 6.78, 6.50, 6.17, 5.95, 5.84", \ + " 8.37, 7.84, 7.15, 6.57, 6.22", \ + " 10.97, 10.17, 8.98, 7.84, 7.07", \ + " 15.14, 14.05, 12.22, 10.27, 8.80", \ + " 21.72, 20.34, 17.78, 14.68, 12.14" ); }} +internal_power(b_z_n) { /* nd2v4x2 9.09 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_195_5x10) { +values( " 4.45, 4.60, 4.74, 4.80, 4.82", \ + " 4.24, 4.37, 4.56, 4.70, 4.76", \ + " 4.30, 4.37, 4.52, 4.66, 4.73", \ + " 4.49, 4.48, 4.55, 4.66, 4.72", \ + " 5.00, 4.85, 4.74, 4.73, 4.76", \ + " 5.89, 5.58, 5.22, 5.00, 4.90", \ + " 7.44, 6.90, 6.20, 5.62, 5.28", \ + " 9.93, 9.12, 7.96, 6.86, 6.11", \ + " 13.91, 12.79, 11.02, 9.18, 7.78", \ + " 20.16, 18.74, 16.22, 13.33, 10.96" ); }} +timing() { /* ring osc delay nd2v4x2, path a to z 44.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.7 ; */ +/* intrinsic_fall : 40.2 ; */ +/* rise_resistance : 1.85 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.1, 30.7, 53.2, 103.2, 191.9, 380.3", \ + " 30.2, 38.7, 61.1, 111.1, 199.9, 388.3", \ + " 35.3, 45.1, 67.3, 117.2, 205.9, 394.3", \ + " 40.7, 52.2, 75.7, 125.4, 214.0, 402.4", \ + " 48.4, 62.0, 90.2, 140.1, 228.4, 416.6", \ + " 57.5, 73.3, 106.7, 161.6, 249.3, 437.0", \ + " 68.9, 87.3, 126.4, 191.9, 281.3, 468.2", \ + " 82.9, 104.4, 149.8, 227.1, 329.0, 514.5", \ + " 100.9, 126.1, 179.1, 269.2, 390.8, 585.4", \ + " 124.4, 153.9, 216.1, 321.3, 464.5, 692.6" ); } +rise_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.4, 66.8, 129.9, 272.1, 524.9, 1062.1", \ + " 51.7, 72.8, 132.5, 272.2, 524.9, 1062.1", \ + " 60.3, 80.1, 137.5, 274.2, 524.9, 1062.1", \ + " 73.1, 91.2, 145.9, 279.2, 526.0, 1062.1", \ + " 93.2, 112.8, 163.7, 291.6, 532.5, 1062.2", \ + " 117.8, 141.6, 192.5, 313.8, 547.5, 1067.2", \ + " 152.0, 179.4, 238.4, 353.0, 577.1, 1084.2", \ + " 199.3, 230.6, 298.3, 416.7, 629.5, 1120.4", \ + " 266.5, 302.6, 380.0, 515.5, 719.3, 1189.1", \ + " 364.0, 405.9, 494.7, 650.3, 866.8, 1312.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.7, 45.9, 80.2, 156.5, 291.9, 579.2", \ + " 36.5, 49.9, 84.5, 161.2, 296.8, 584.2", \ + " 39.0, 52.9, 87.6, 164.4, 300.0, 587.6", \ + " 41.2, 56.6, 91.8, 168.7, 304.4, 592.0", \ + " 44.3, 61.3, 99.6, 176.3, 312.1, 599.7", \ + " 47.3, 66.6, 108.6, 187.7, 323.3, 610.9", \ + " 50.1, 72.3, 119.4, 204.8, 340.5, 627.9", \ + " 52.1, 77.8, 131.4, 225.5, 366.5, 653.3", \ + " 52.2, 82.1, 144.1, 250.0, 403.4, 692.2", \ + " 48.6, 83.6, 156.0, 277.7, 448.0, 752.6" ); } +fall_transition(x2_195_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 51.6, 86.7, 180.4, 391.3, 766.3, 1563.1", \ + " 54.8, 88.6, 180.8, 391.3, 766.3, 1563.1", \ + " 59.6, 92.5, 183.3, 391.6, 766.3, 1563.1", \ + " 68.0, 99.0, 187.7, 394.0, 766.3, 1563.1", \ + " 83.0, 113.6, 198.2, 400.4, 769.2, 1563.1", \ + " 102.5, 135.8, 217.1, 413.3, 776.6, 1564.7", \ + " 132.0, 166.1, 251.0, 438.2, 793.3, 1572.5", \ + " 174.8, 209.8, 298.2, 482.2, 825.9, 1591.7", \ + " 236.8, 273.7, 365.4, 556.4, 886.0, 1632.6", \ + " 329.9, 367.1, 463.7, 663.3, 991.0, 1712.3" ); }} +timing() { /* ring osc delay nd2v4x2, path b to z 41.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.3 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 1.87 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 28.0, 50.5, 100.6, 189.3, 377.7", \ + " 27.1, 35.9, 58.4, 108.5, 197.3, 385.8", \ + " 31.3, 42.0, 64.5, 114.6, 203.3, 391.8", \ + " 35.8, 48.3, 72.9, 122.7, 211.4, 399.8", \ + " 42.1, 57.0, 86.9, 137.3, 225.7, 414.0", \ + " 49.3, 66.9, 102.4, 158.7, 246.5, 434.4", \ + " 58.0, 78.8, 120.6, 188.3, 278.4, 465.4", \ + " 68.2, 92.8, 141.9, 222.2, 325.8, 511.7", \ + " 80.4, 109.5, 167.7, 262.2, 386.3, 582.3", \ + " 95.2, 129.7, 198.8, 310.5, 457.9, 688.8" ); } +rise_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.4, 59.9, 123.0, 265.2, 517.9, 1055.0", \ + " 45.0, 65.8, 125.4, 265.2, 517.9, 1055.0", \ + " 53.9, 73.2, 130.4, 267.1, 517.9, 1054.9", \ + " 67.3, 84.4, 138.8, 272.0, 518.9, 1054.9", \ + " 84.9, 106.7, 156.6, 284.3, 525.2, 1055.0", \ + " 108.2, 133.5, 185.6, 306.6, 540.2, 1059.9", \ + " 141.1, 169.8, 231.0, 345.8, 569.8, 1076.8", \ + " 186.9, 219.4, 289.5, 409.6, 622.3, 1113.0", \ + " 252.1, 289.6, 369.3, 507.8, 712.2, 1181.8", \ + " 346.6, 390.0, 481.6, 640.5, 859.8, 1305.7" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.1, 42.4, 76.8, 153.2, 288.5, 575.9", \ + " 34.7, 47.9, 82.5, 159.2, 294.7, 582.2", \ + " 38.7, 52.6, 87.1, 163.9, 299.4, 587.0", \ + " 42.4, 58.8, 93.6, 170.2, 305.8, 593.4", \ + " 47.0, 66.3, 105.5, 181.7, 317.2, 604.7", \ + " 51.8, 74.0, 120.1, 198.6, 333.7, 621.1", \ + " 57.0, 82.4, 135.9, 224.8, 359.1, 645.9", \ + " 62.1, 91.3, 152.6, 256.7, 397.4, 683.0", \ + " 66.7, 100.6, 171.0, 291.5, 454.1, 739.8", \ + " 69.9, 109.3, 190.8, 329.6, 520.1, 827.9" ); } +fall_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.4, 84.7, 176.3, 382.4, 748.9, 1527.6", \ + " 55.6, 87.6, 176.6, 382.4, 748.9, 1527.6", \ + " 62.8, 93.5, 179.9, 382.4, 748.9, 1527.6", \ + " 73.4, 102.8, 186.5, 384.9, 748.9, 1527.6", \ + " 89.7, 120.7, 201.3, 393.9, 750.8, 1527.6", \ + " 108.5, 145.4, 225.5, 412.0, 760.6, 1527.8", \ + " 136.4, 175.8, 264.2, 445.0, 783.8, 1536.0", \ + " 176.3, 218.4, 315.9, 499.0, 827.5, 1561.8", \ + " 234.5, 280.0, 384.8, 583.2, 904.1, 1617.2", \ + " 320.5, 369.8, 483.2, 700.3, 1028.8, 1721.6" ); }} +} +} +cell(nd2v4x3) { /* 2008-01-06:07h39 characteristic delay 11.4 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1282 ; /* nd2v4x3 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x3 FO4 effort 1.21 logical effort 1.26 */ +direction : input ; +capacitance : 6.18 ; +rise_capacitance : 6.00 ; +fall_capacitance : 6.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x3 */ +} +pin(b) { /* nd2v4x3 FO4 effort 1.25 logical effort 1.40 */ +direction : input ; +capacitance : 7.86 ; +rise_capacitance : 8.01 ; +fall_capacitance : 7.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 279 ; +max_fanout : 8 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x3 15.12 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 7.56, 7.68, 7.78, 7.82, 7.81", \ + " 7.26, 7.41, 7.58, 7.69, 7.72", \ + " 7.29, 7.38, 7.53, 7.65, 7.69", \ + " 7.49, 7.49, 7.56, 7.65, 7.69", \ + " 8.07, 7.91, 7.78, 7.75, 7.73", \ + " 9.20, 8.80, 8.37, 8.08, 7.92", \ + " 11.26, 10.53, 9.60, 8.85, 8.40", \ + " 14.66, 13.54, 11.91, 10.43, 9.44", \ + " 20.13, 18.59, 16.06, 13.47, 11.57", \ + " 28.78, 26.81, 23.24, 19.06, 15.74" ); }} +internal_power(b_z_n) { /* nd2v4x3 12.97 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 6.30, 6.56, 6.80, 6.92, 6.95", \ + " 5.98, 6.19, 6.51, 6.74, 6.84", \ + " 6.09, 6.20, 6.44, 6.68, 6.80", \ + " 6.42, 6.38, 6.49, 6.67, 6.78", \ + " 7.24, 6.98, 6.80, 6.79, 6.83", \ + " 8.67, 8.15, 7.57, 7.22, 7.07", \ + " 11.13, 10.26, 9.14, 8.22, 7.68", \ + " 15.06, 13.77, 11.93, 10.20, 9.01", \ + " 21.30, 19.55, 16.77, 13.88, 11.68", \ + " 31.09, 28.86, 24.95, 20.45, 16.74" ); }} +timing() { /* ring osc delay nd2v4x3, path a to z 48.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.5 ; */ +/* intrinsic_fall : 38.5 ; */ +/* rise_resistance : 1.56 ; */ +/* fall_resistance : 1.94 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 36.0, 64.3, 127.4, 239.5, 477.5", \ + " 33.2, 43.9, 72.1, 135.3, 247.4, 485.4", \ + " 39.0, 50.2, 78.3, 141.4, 253.4, 491.4", \ + " 45.2, 58.4, 86.7, 149.6, 261.5, 499.4", \ + " 54.2, 69.8, 101.9, 164.2, 275.9, 513.6", \ + " 64.9, 83.1, 121.1, 185.7, 296.7, 534.1", \ + " 78.8, 99.7, 144.2, 218.5, 328.6, 565.1", \ + " 96.5, 120.7, 172.1, 259.7, 376.4, 611.4", \ + " 119.8, 148.0, 207.4, 309.5, 446.8, 682.1", \ + " 151.1, 184.2, 253.5, 371.6, 533.7, 791.5" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.4, 80.3, 160.1, 339.7, 659.1, 1337.6", \ + " 57.9, 85.2, 161.7, 339.7, 659.1, 1337.6", \ + " 65.9, 91.7, 165.8, 340.6, 659.1, 1337.6", \ + " 77.7, 101.9, 173.2, 344.3, 659.1, 1337.6", \ + " 98.7, 122.1, 189.3, 354.7, 663.1, 1337.6", \ + " 123.5, 152.0, 215.9, 374.3, 675.1, 1339.1", \ + " 157.6, 190.4, 259.5, 409.7, 700.6, 1350.9", \ + " 204.4, 241.7, 322.1, 468.8, 747.1, 1380.5", \ + " 270.7, 313.3, 405.3, 564.4, 829.0, 1440.1", \ + " 366.6, 415.7, 520.4, 704.4, 967.3, 1550.6" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.9, 44.3, 79.4, 157.6, 296.4, 591.3", \ + " 35.0, 48.6, 83.9, 162.4, 301.4, 596.4", \ + " 37.3, 51.7, 87.1, 165.7, 304.7, 599.7", \ + " 39.5, 55.3, 91.4, 170.0, 309.1, 604.2", \ + " 42.1, 59.9, 99.1, 177.7, 316.9, 612.0", \ + " 44.3, 64.7, 108.0, 189.1, 328.1, 623.1", \ + " 45.5, 69.2, 118.3, 206.0, 345.2, 640.1", \ + " 44.8, 72.5, 129.0, 226.3, 370.9, 665.3", \ + " 40.9, 73.2, 139.1, 249.5, 407.3, 703.8", \ + " 31.0, 69.0, 146.4, 274.3, 450.6, 763.1" ); } +fall_transition(x3_292_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 49.7, 85.7, 181.9, 398.3, 783.1, 1600.9", \ + " 53.1, 87.8, 182.4, 398.3, 783.1, 1600.9", \ + " 58.0, 91.6, 184.8, 398.7, 783.1, 1600.9", \ + " 66.6, 98.2, 189.3, 401.0, 783.2, 1600.9", \ + " 81.4, 113.0, 199.8, 407.3, 785.9, 1600.9", \ + " 101.0, 135.2, 218.9, 420.2, 793.3, 1602.4", \ + " 130.8, 166.0, 253.1, 445.3, 809.9, 1610.0", \ + " 173.9, 210.3, 301.1, 489.7, 842.7, 1628.9", \ + " 235.9, 274.7, 369.5, 564.9, 903.1, 1669.7", \ + " 327.4, 368.2, 469.2, 674.1, 1009.3, 1749.7" ); }} +timing() { /* ring osc delay nd2v4x3, path b to z 40.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 35.8 ; */ +/* intrinsic_fall : 42.0 ; */ +/* rise_resistance : 1.11 ; */ +/* fall_resistance : 1.94 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.2, 24.9, 45.0, 89.5, 168.3, 335.8", \ + " 24.5, 32.9, 52.9, 97.4, 176.3, 343.8", \ + " 28.1, 38.5, 59.0, 103.4, 182.3, 349.8", \ + " 31.9, 44.1, 67.4, 111.6, 190.4, 357.9", \ + " 37.2, 51.7, 80.2, 126.3, 204.8, 372.1", \ + " 43.0, 60.2, 94.1, 147.6, 225.6, 392.5", \ + " 49.6, 70.0, 110.2, 174.6, 257.5, 423.6", \ + " 57.0, 81.1, 128.7, 205.2, 303.4, 469.8", \ + " 65.1, 93.7, 150.2, 240.8, 358.5, 540.4", \ + " 73.9, 107.9, 175.2, 282.8, 423.0, 641.6" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.3, 51.8, 108.0, 234.3, 459.0, 936.3", \ + " 40.8, 58.7, 111.1, 234.5, 459.0, 936.3", \ + " 50.3, 66.5, 116.5, 237.0, 458.9, 936.3", \ + " 62.7, 78.5, 125.6, 242.7, 460.7, 936.3", \ + " 79.9, 100.4, 144.4, 256.1, 468.4, 936.9", \ + " 102.8, 126.4, 174.7, 279.8, 485.0, 943.8", \ + " 135.5, 162.3, 219.3, 321.1, 516.8, 963.2", \ + " 180.9, 211.6, 276.7, 387.3, 572.4, 1002.8", \ + " 245.6, 281.4, 355.8, 484.1, 666.3, 1076.5", \ + " 339.7, 381.1, 467.7, 614.8, 818.1, 1207.1" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 42.8, 78.2, 156.7, 295.6, 590.6", \ + " 34.5, 48.1, 83.8, 162.6, 301.8, 596.9", \ + " 38.5, 52.8, 88.3, 167.2, 306.5, 601.7", \ + " 42.1, 59.0, 94.8, 173.5, 312.8, 608.0", \ + " 46.9, 66.7, 106.7, 185.0, 324.1, 619.3", \ + " 52.1, 74.8, 121.7, 202.0, 340.7, 635.7", \ + " 58.2, 84.0, 138.2, 228.4, 366.2, 660.5", \ + " 64.8, 94.3, 156.2, 261.4, 404.6, 697.7", \ + " 71.9, 106.0, 176.7, 298.0, 462.4, 754.8", \ + " 79.2, 118.8, 200.3, 339.3, 531.3, 843.6" ); } +fall_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.5, 83.7, 177.7, 389.2, 765.3, 1564.6", \ + " 53.7, 86.5, 177.8, 389.2, 765.3, 1564.6", \ + " 60.8, 92.3, 181.0, 389.2, 765.3, 1564.6", \ + " 71.3, 101.5, 187.6, 391.4, 765.3, 1564.6", \ + " 87.0, 119.2, 202.1, 400.1, 766.9, 1564.6", \ + " 105.2, 143.3, 225.9, 417.8, 776.3, 1564.6", \ + " 132.2, 172.9, 263.8, 450.2, 798.9, 1572.1", \ + " 171.3, 214.4, 314.7, 503.1, 841.5, 1596.9", \ + " 228.5, 274.8, 382.2, 585.6, 916.4, 1650.8", \ + " 314.1, 363.2, 478.6, 701.1, 1038.7, 1752.6" ); }} +} +} +cell(nd2v4x4) { /* 2008-01-06:07h39 characteristic delay 11.8 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1732 ; /* nd2v4x4 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x4 FO4 effort 1.27 logical effort 1.39 */ +direction : input ; +capacitance : 10.02 ; +rise_capacitance : 9.83 ; +fall_capacitance : 10.21 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x4 */ +} +pin(b) { /* nd2v4x4 FO4 effort 1.24 logical effort 1.36 */ +direction : input ; +capacitance : 9.82 ; +rise_capacitance : 9.97 ; +fall_capacitance : 9.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 390 ; +max_fanout : 10 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x4 21.22 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_390_5x10) { +values( " 10.61, 10.84, 11.03, 11.12, 11.12", \ + " 10.11, 10.36, 10.67, 10.89, 10.96", \ + " 10.16, 10.31, 10.58, 10.81, 10.91", \ + " 10.46, 10.47, 10.61, 10.79, 10.89", \ + " 11.37, 11.12, 10.95, 10.94, 10.95", \ + " 13.11, 12.52, 11.86, 11.44, 11.24", \ + " 16.24, 15.18, 13.80, 12.66, 11.99", \ + " 21.39, 19.78, 17.38, 15.15, 13.64", \ + " 29.63, 27.44, 23.77, 19.89, 17.01", \ + " 42.62, 39.85, 34.72, 28.55, 23.53" ); }} +internal_power(b_z_n) { /* nd2v4x4 17.28 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_390_5x10) { +values( " 8.42, 8.74, 9.03, 9.16, 9.19", \ + " 8.01, 8.29, 8.68, 8.96, 9.07", \ + " 8.13, 8.28, 8.59, 8.88, 9.02", \ + " 8.53, 8.50, 8.64, 8.87, 9.00", \ + " 9.54, 9.23, 9.01, 9.01, 9.06", \ + " 11.33, 10.67, 9.95, 9.52, 9.34", \ + " 14.40, 13.29, 11.88, 10.74, 10.08", \ + " 19.35, 17.69, 15.35, 13.17, 11.69", \ + " 27.22, 24.96, 21.38, 17.72, 14.96", \ + " 39.58, 36.70, 31.64, 25.88, 21.20" ); }} +timing() { /* ring osc delay nd2v4x4, path a to z 44.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.7 ; */ +/* intrinsic_fall : 39.4 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 31.1, 54.3, 105.9, 197.5, 392.0", \ + " 30.3, 39.0, 62.2, 113.8, 205.4, 399.9", \ + " 35.3, 45.4, 68.3, 119.9, 211.5, 406.0", \ + " 40.7, 52.5, 76.8, 128.1, 219.6, 414.0", \ + " 48.5, 62.5, 91.4, 142.8, 234.0, 428.2", \ + " 57.7, 73.9, 108.2, 164.3, 254.8, 448.7", \ + " 69.2, 88.2, 128.2, 195.0, 286.8, 479.8", \ + " 83.7, 105.7, 152.1, 230.9, 334.6, 526.1", \ + " 102.2, 128.0, 182.1, 273.9, 397.7, 596.9", \ + " 126.6, 156.9, 220.2, 327.3, 473.0, 705.0" ); } +rise_transition(x4_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.0, 67.2, 132.4, 279.2, 540.2, 1094.6", \ + " 51.4, 73.1, 134.8, 279.2, 540.2, 1094.6", \ + " 60.0, 80.3, 139.7, 281.0, 540.2, 1094.6", \ + " 72.8, 91.4, 148.1, 285.9, 541.0, 1094.6", \ + " 92.8, 113.0, 165.7, 298.0, 547.2, 1094.6", \ + " 117.2, 141.8, 194.3, 320.0, 561.9, 1099.2", \ + " 151.2, 179.5, 240.1, 358.7, 590.9, 1115.5", \ + " 198.2, 230.5, 300.2, 421.9, 642.5, 1150.8", \ + " 265.0, 302.2, 381.8, 520.7, 731.4, 1218.3", \ + " 361.9, 405.1, 496.3, 655.9, 877.8, 1340.3" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.2, 79.5, 155.9, 291.2, 578.5", \ + " 35.8, 49.2, 83.9, 160.6, 296.1, 583.5", \ + " 38.1, 52.2, 86.9, 163.7, 299.4, 586.9", \ + " 40.3, 55.8, 91.1, 168.0, 303.7, 591.3", \ + " 43.1, 60.4, 98.9, 175.7, 311.4, 599.0", \ + " 45.8, 65.4, 107.7, 187.0, 322.6, 610.2", \ + " 48.2, 70.8, 118.3, 204.0, 339.8, 627.2", \ + " 49.5, 75.7, 129.9, 224.5, 365.8, 652.5", \ + " 48.7, 79.2, 142.0, 248.6, 402.4, 691.4", \ + " 43.9, 79.6, 153.0, 275.6, 446.6, 751.6" ); } +fall_transition(x4_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 49.2, 84.3, 178.0, 388.9, 763.9, 1560.7", \ + " 52.5, 86.3, 178.3, 388.9, 763.9, 1560.7", \ + " 57.4, 90.1, 180.9, 389.2, 763.9, 1560.7", \ + " 66.1, 96.8, 185.4, 391.6, 763.9, 1560.7", \ + " 80.8, 111.6, 195.9, 398.0, 766.8, 1560.7", \ + " 100.1, 133.6, 215.0, 411.0, 774.3, 1562.3", \ + " 129.7, 163.9, 249.2, 436.0, 791.1, 1570.2", \ + " 172.4, 207.6, 296.3, 480.2, 823.8, 1589.4", \ + " 234.3, 271.4, 363.5, 554.8, 884.0, 1630.4", \ + " 327.3, 364.7, 461.9, 661.8, 989.5, 1710.4" ); }} +timing() { /* ring osc delay nd2v4x4, path b to z 41.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.1 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 0.96 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 28.2, 51.5, 103.1, 194.7, 389.2", \ + " 26.9, 36.1, 59.3, 111.0, 202.7, 397.2", \ + " 31.0, 42.2, 65.4, 117.1, 208.7, 403.2", \ + " 35.5, 48.5, 73.8, 125.2, 216.8, 411.3", \ + " 41.8, 57.3, 87.9, 139.8, 231.1, 425.4", \ + " 49.2, 67.3, 103.6, 161.2, 251.9, 445.9", \ + " 58.0, 79.4, 122.2, 191.2, 283.7, 476.9", \ + " 68.5, 93.8, 144.0, 225.8, 331.3, 523.1", \ + " 81.2, 111.1, 170.5, 266.8, 393.1, 593.7", \ + " 96.9, 132.3, 202.8, 316.4, 466.3, 701.1" ); } +rise_transition(x4_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.8, 60.1, 125.3, 272.0, 532.9, 1087.3", \ + " 44.5, 66.0, 127.6, 272.0, 532.9, 1087.3", \ + " 53.5, 73.3, 132.4, 273.7, 532.9, 1087.3", \ + " 66.9, 84.5, 140.8, 278.5, 533.7, 1087.3", \ + " 84.3, 106.7, 158.4, 290.6, 539.7, 1087.3", \ + " 107.4, 133.5, 187.2, 312.6, 554.3, 1091.7", \ + " 140.1, 169.7, 232.6, 351.4, 583.4, 1107.9", \ + " 185.6, 219.2, 291.2, 414.7, 635.1, 1143.2", \ + " 250.5, 289.1, 370.9, 512.9, 724.1, 1210.8", \ + " 344.7, 389.2, 483.2, 646.1, 870.5, 1333.0" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 41.6, 75.9, 152.3, 287.7, 575.0", \ + " 33.8, 47.1, 81.7, 158.4, 293.9, 581.4", \ + " 37.7, 51.8, 86.3, 163.0, 298.6, 586.1", \ + " 41.2, 57.8, 92.8, 169.4, 305.0, 592.6", \ + " 45.5, 65.1, 104.6, 180.9, 316.4, 603.9", \ + " 50.0, 72.6, 119.1, 197.8, 332.9, 620.2", \ + " 54.7, 80.6, 134.6, 223.9, 358.3, 645.1", \ + " 59.1, 88.9, 150.9, 255.5, 396.5, 682.1", \ + " 62.7, 97.3, 168.6, 289.8, 452.9, 738.9", \ + " 64.6, 104.8, 187.4, 327.1, 518.5, 826.8" ); } +fall_transition(x4_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.0, 82.3, 173.9, 380.0, 746.5, 1525.3", \ + " 53.5, 85.4, 174.3, 380.0, 746.5, 1525.3", \ + " 60.8, 91.3, 177.6, 380.0, 746.5, 1525.3", \ + " 71.6, 100.8, 184.3, 382.6, 746.5, 1525.3", \ + " 87.4, 118.9, 199.2, 391.6, 748.5, 1525.3", \ + " 106.1, 143.2, 223.6, 409.8, 758.4, 1525.4", \ + " 133.8, 173.6, 262.5, 443.1, 781.7, 1533.7", \ + " 173.7, 216.2, 314.1, 497.3, 825.5, 1559.6", \ + " 231.6, 277.8, 383.2, 581.9, 902.4, 1615.2", \ + " 317.5, 367.6, 481.7, 699.3, 1027.7, 1719.9" ); }} +} +} +cell(nd2v4x6) { /* 2008-01-06:07h39 characteristic delay 11.7 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 2541 ; /* nd2v4x6 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x6 FO4 effort 1.26 logical effort 1.38 */ +direction : input ; +capacitance : 14.62 ; +rise_capacitance : 14.34 ; +fall_capacitance : 14.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x6 */ +} +pin(b) { /* nd2v4x6 FO4 effort 1.23 logical effort 1.34 */ +direction : input ; +capacitance : 14.16 ; +rise_capacitance : 14.36 ; +fall_capacitance : 13.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 5 ; +max_capacitance : 585 ; +max_fanout : 15 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x6 30.58 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_585_5x10) { +values( " 15.27, 15.61, 15.89, 16.01, 16.01", \ + " 14.55, 14.91, 15.37, 15.68, 15.79", \ + " 14.63, 14.84, 15.24, 15.57, 15.71", \ + " 15.08, 15.09, 15.29, 15.55, 15.68", \ + " 16.41, 16.04, 15.78, 15.76, 15.77", \ + " 18.98, 18.09, 17.11, 16.49, 16.19", \ + " 23.59, 21.99, 19.93, 18.26, 17.27", \ + " 31.14, 28.71, 25.16, 21.87, 19.66", \ + " 43.22, 39.93, 34.46, 28.76, 24.54", \ + " 62.25, 58.09, 50.46, 41.36, 34.00" ); }} +internal_power(b_z_n) { /* nd2v4x6 24.85 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_585_5x10) { +values( " 12.08, 12.56, 12.96, 13.16, 13.19", \ + " 11.51, 11.91, 12.47, 12.87, 13.02", \ + " 11.70, 11.90, 12.35, 12.76, 12.95", \ + " 12.28, 12.23, 12.42, 12.74, 12.92", \ + " 13.77, 13.30, 12.97, 12.96, 13.02", \ + " 16.40, 15.41, 14.34, 13.70, 13.43", \ + " 20.92, 19.25, 17.15, 15.46, 14.50", \ + " 28.17, 25.68, 22.20, 18.99, 16.84", \ + " 39.71, 36.31, 31.00, 25.61, 21.57", \ + " 57.82, 53.50, 45.97, 37.48, 30.61" ); }} +timing() { /* ring osc delay nd2v4x6, path a to z 43.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.4 ; */ +/* intrinsic_fall : 39.1 ; */ +/* rise_resistance : 0.65 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x6_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 31.0, 54.7, 107.5, 201.1, 399.9", \ + " 30.0, 39.0, 62.6, 115.4, 209.0, 407.8", \ + " 35.0, 45.4, 68.8, 121.5, 215.1, 413.8", \ + " 40.4, 52.5, 77.2, 129.7, 223.2, 421.9", \ + " 48.1, 62.4, 91.9, 144.4, 237.5, 436.1", \ + " 57.2, 73.9, 108.8, 165.8, 258.4, 456.5", \ + " 68.7, 88.1, 128.9, 196.8, 290.3, 487.6", \ + " 83.0, 105.6, 152.9, 233.0, 338.1, 533.9", \ + " 101.4, 127.8, 182.9, 276.4, 401.9, 604.6", \ + " 125.5, 156.5, 221.2, 330.0, 478.0, 713.0" ); } +rise_transition(x6_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.6, 67.3, 133.9, 283.9, 550.6, 1117.3", \ + " 51.0, 73.2, 136.3, 283.9, 550.6, 1117.3", \ + " 59.7, 80.4, 141.1, 285.7, 550.6, 1117.3", \ + " 72.5, 91.5, 149.4, 290.4, 551.3, 1117.3", \ + " 92.3, 113.1, 167.0, 302.4, 557.3, 1117.3", \ + " 116.7, 141.9, 195.5, 324.2, 571.8, 1121.4", \ + " 150.7, 179.6, 241.4, 362.8, 600.5, 1137.3", \ + " 197.6, 230.6, 301.7, 425.7, 651.8, 1172.1", \ + " 264.4, 302.4, 383.6, 524.7, 740.2, 1239.0", \ + " 361.3, 405.4, 498.4, 660.6, 886.2, 1360.0" ); } +cell_fall(x6_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 45.3, 80.5, 158.9, 297.7, 592.6", \ + " 35.5, 49.3, 84.9, 163.6, 302.6, 597.6", \ + " 37.9, 52.3, 87.9, 166.7, 305.9, 601.0", \ + " 40.0, 55.9, 92.1, 171.0, 310.3, 605.4", \ + " 42.8, 60.5, 99.9, 178.7, 317.9, 613.1", \ + " 45.5, 65.7, 108.9, 190.0, 329.1, 624.3", \ + " 47.9, 71.1, 119.6, 207.2, 346.4, 641.3", \ + " 49.2, 76.1, 131.4, 227.9, 372.3, 666.6", \ + " 48.5, 79.7, 143.8, 252.4, 409.4, 705.4", \ + " 43.7, 80.3, 155.2, 280.1, 454.3, 765.6" ); } +fall_transition(x6_585_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 48.9, 84.9, 181.0, 397.5, 782.3, 1600.1", \ + " 52.1, 86.8, 181.3, 397.5, 782.3, 1600.1", \ + " 57.1, 90.7, 183.9, 397.7, 782.3, 1600.1", \ + " 65.8, 97.3, 188.3, 400.1, 782.3, 1600.1", \ + " 80.5, 112.1, 198.8, 406.4, 785.0, 1600.1", \ + " 99.8, 134.2, 217.7, 419.2, 792.4, 1601.5", \ + " 129.3, 164.4, 251.7, 444.0, 808.8, 1609.1", \ + " 172.0, 208.1, 299.0, 487.8, 841.1, 1627.8", \ + " 233.8, 271.9, 366.3, 562.2, 900.8, 1668.1", \ + " 326.8, 365.2, 464.8, 669.5, 1005.5, 1747.1" ); }} +timing() { /* ring osc delay nd2v4x6, path b to z 40.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 37.8 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 0.66 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x6_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.0, 28.1, 51.9, 104.7, 198.3, 397.1", \ + " 26.6, 36.0, 59.7, 112.6, 206.3, 405.1", \ + " 30.8, 42.1, 65.9, 118.6, 212.3, 411.1", \ + " 35.2, 48.5, 74.3, 126.8, 220.4, 419.1", \ + " 41.5, 57.3, 88.4, 141.4, 234.7, 433.3", \ + " 48.7, 67.3, 104.3, 162.8, 255.5, 453.7", \ + " 57.5, 79.4, 123.0, 193.0, 287.3, 484.7", \ + " 67.8, 93.7, 144.9, 228.0, 334.9, 530.9", \ + " 80.4, 111.0, 171.5, 269.3, 397.4, 601.4", \ + " 95.8, 132.2, 203.9, 319.4, 471.4, 709.2" ); } +rise_transition(x6_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.5, 60.2, 126.9, 276.8, 543.4, 1110.0", \ + " 44.3, 66.2, 129.1, 276.8, 543.4, 1110.0", \ + " 53.2, 73.5, 134.0, 278.5, 543.4, 1110.0", \ + " 66.5, 84.7, 142.3, 283.2, 544.1, 1110.0", \ + " 84.0, 106.9, 159.9, 295.1, 550.0, 1110.0", \ + " 107.0, 133.7, 188.5, 316.9, 564.4, 1114.1", \ + " 139.7, 170.0, 234.1, 355.6, 593.2, 1129.8", \ + " 185.2, 219.5, 292.9, 418.6, 644.5, 1164.6", \ + " 250.0, 289.5, 372.9, 517.1, 733.1, 1231.6", \ + " 344.1, 389.7, 485.4, 651.0, 879.1, 1353.0" ); } +cell_fall(x6_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.0, 41.6, 76.9, 155.3, 294.2, 589.1", \ + " 33.6, 47.2, 82.7, 161.3, 300.4, 595.4", \ + " 37.4, 51.9, 87.3, 166.0, 305.1, 600.2", \ + " 40.9, 58.0, 93.8, 172.4, 311.5, 606.6", \ + " 45.2, 65.3, 105.6, 183.9, 322.9, 618.0", \ + " 49.7, 72.8, 120.3, 200.8, 339.4, 634.3", \ + " 54.4, 80.9, 136.0, 226.9, 364.8, 659.1", \ + " 58.8, 89.4, 152.6, 259.1, 403.0, 696.2", \ + " 62.5, 97.9, 170.6, 294.1, 459.9, 752.9", \ + " 64.4, 105.7, 189.9, 332.2, 526.7, 840.7" ); } +fall_transition(x6_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.6, 82.9, 176.9, 388.4, 764.5, 1563.8", \ + " 53.2, 85.9, 177.2, 388.4, 764.5, 1563.8", \ + " 60.5, 91.9, 180.5, 388.4, 764.5, 1563.8", \ + " 71.3, 101.2, 187.1, 390.8, 764.5, 1563.8", \ + " 86.9, 119.3, 201.9, 399.7, 766.3, 1563.8", \ + " 105.6, 143.7, 226.2, 417.6, 775.8, 1563.8", \ + " 133.3, 174.1, 264.9, 450.6, 798.7, 1571.5", \ + " 173.2, 216.7, 316.9, 504.6, 842.1, 1596.7", \ + " 231.0, 278.4, 386.2, 589.0, 918.4, 1651.4", \ + " 316.9, 368.2, 485.0, 707.2, 1043.3, 1754.9" ); }} +} +} +cell(nd2v4x8) { /* 2008-01-06:07h39 characteristic delay 11.7 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 3488 ; /* nd2v4x8 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v4x8 FO4 effort 1.26 logical effort 1.38 */ +direction : input ; +capacitance : 20.03 ; +rise_capacitance : 19.66 ; +fall_capacitance : 20.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v4x8 */ +} +pin(b) { /* nd2v4x8 FO4 effort 1.23 logical effort 1.34 */ +direction : input ; +capacitance : 19.46 ; +rise_capacitance : 19.75 ; +fall_capacitance : 19.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v4x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 780 ; +max_fanout : 20 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v4x8 42.46 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_780_5x10) { +values( " 21.23, 21.69, 22.08, 22.26, 22.27", \ + " 20.22, 20.71, 21.36, 21.79, 21.95", \ + " 20.31, 20.62, 21.17, 21.64, 21.84", \ + " 20.93, 20.95, 21.23, 21.60, 21.80", \ + " 22.74, 22.26, 21.91, 21.89, 21.92", \ + " 26.25, 25.07, 23.75, 22.91, 22.50", \ + " 32.55, 30.42, 27.64, 25.36, 24.01", \ + " 42.90, 39.66, 34.85, 30.36, 27.32", \ + " 59.45, 55.05, 47.68, 39.90, 34.11", \ + " 85.53, 79.98, 69.70, 57.32, 47.24" ); }} +internal_power(b_z_n) { /* nd2v4x8 34.58 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_780_5x10) { +values( " 16.85, 17.48, 18.04, 18.32, 18.37", \ + " 16.04, 16.58, 17.35, 17.91, 18.13", \ + " 16.29, 16.57, 17.19, 17.76, 18.03", \ + " 17.09, 17.02, 17.29, 17.73, 17.99", \ + " 19.12, 18.49, 18.05, 18.04, 18.12", \ + " 22.71, 21.40, 19.95, 19.07, 18.69", \ + " 28.90, 26.67, 23.83, 21.52, 20.19", \ + " 38.83, 35.51, 30.80, 26.41, 23.44", \ + " 54.62, 50.09, 42.92, 35.57, 30.02", \ + " 79.44, 73.67, 63.54, 51.98, 42.56" ); }} +timing() { /* ring osc delay nd2v4x8, path a to z 43.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.6 ; */ +/* intrinsic_fall : 39.4 ; */ +/* rise_resistance : 0.47 ; */ +/* fall_resistance : 0.71 ; */ +cell_rise(x8_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 30.9, 53.9, 105.2, 196.0, 388.9", \ + " 30.2, 38.8, 61.8, 113.1, 203.9, 396.9", \ + " 35.2, 45.2, 68.0, 119.1, 210.0, 402.9", \ + " 40.5, 52.3, 76.4, 127.3, 218.1, 410.9", \ + " 48.2, 62.2, 91.0, 142.0, 232.4, 425.1", \ + " 57.3, 73.5, 107.6, 163.5, 253.3, 445.6", \ + " 68.8, 87.7, 127.5, 194.1, 285.3, 476.7", \ + " 83.1, 105.0, 151.3, 229.8, 333.1, 523.1", \ + " 101.4, 127.1, 181.0, 272.5, 395.8, 593.9", \ + " 125.5, 155.6, 218.8, 325.5, 470.7, 701.7" ); } +rise_transition(x8_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.7, 66.7, 131.3, 276.9, 535.8, 1085.9", \ + " 51.1, 72.6, 133.8, 277.0, 535.8, 1085.9", \ + " 59.7, 79.8, 138.7, 278.8, 535.8, 1085.9", \ + " 72.5, 91.0, 147.1, 283.7, 536.7, 1085.9", \ + " 92.5, 112.6, 164.8, 295.9, 543.0, 1085.9", \ + " 116.9, 141.3, 193.4, 318.0, 557.7, 1090.5", \ + " 150.8, 179.0, 239.3, 356.8, 586.9, 1107.0", \ + " 197.8, 229.9, 299.2, 420.2, 638.8, 1142.6", \ + " 264.6, 301.6, 380.8, 518.9, 727.9, 1210.4", \ + " 361.6, 404.6, 495.3, 654.0, 874.6, 1332.8" ); } +cell_fall(x8_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.2, 79.6, 155.9, 291.2, 578.6", \ + " 35.8, 49.2, 83.9, 160.6, 296.1, 583.6", \ + " 38.1, 52.2, 86.9, 163.8, 299.4, 586.9", \ + " 40.2, 55.8, 91.1, 168.0, 303.7, 591.3", \ + " 43.1, 60.4, 98.9, 175.7, 311.4, 599.1", \ + " 45.8, 65.5, 107.7, 187.0, 322.6, 610.2", \ + " 48.2, 70.9, 118.3, 204.1, 339.8, 627.2", \ + " 49.7, 75.8, 130.0, 224.5, 365.8, 652.6", \ + " 49.0, 79.5, 142.2, 248.7, 402.5, 691.4", \ + " 44.4, 80.1, 153.4, 275.9, 446.7, 751.7" ); } +fall_transition(x8_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 49.3, 84.4, 178.1, 389.0, 763.9, 1560.8", \ + " 52.5, 86.3, 178.4, 389.0, 763.9, 1560.8", \ + " 57.5, 90.2, 181.0, 389.3, 763.9, 1560.8", \ + " 66.2, 96.9, 185.5, 391.7, 764.0, 1560.8", \ + " 80.9, 111.7, 196.0, 398.1, 766.9, 1560.8", \ + " 100.3, 133.8, 215.1, 411.1, 774.4, 1562.4", \ + " 129.8, 164.0, 249.3, 436.1, 791.1, 1570.3", \ + " 172.5, 207.7, 296.4, 480.3, 823.9, 1589.5", \ + " 234.3, 271.4, 363.5, 554.8, 884.1, 1630.5", \ + " 327.4, 364.7, 461.8, 661.7, 989.5, 1710.4" ); }} +timing() { /* ring osc delay nd2v4x8, path b to z 40.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.0 ; */ +/* intrinsic_fall : 41.3 ; */ +/* rise_resistance : 0.48 ; */ +/* fall_resistance : 0.71 ; */ +cell_rise(x8_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.1, 28.0, 51.1, 102.3, 193.2, 386.1", \ + " 26.8, 35.9, 58.9, 110.2, 201.2, 394.1", \ + " 30.9, 42.0, 65.0, 116.3, 207.2, 400.1", \ + " 35.3, 48.3, 73.4, 124.4, 215.2, 408.2", \ + " 41.6, 57.0, 87.5, 139.0, 229.6, 422.3", \ + " 48.9, 66.9, 103.1, 160.4, 250.4, 442.8", \ + " 57.6, 78.9, 121.5, 190.3, 282.2, 473.8", \ + " 68.0, 93.2, 143.2, 224.7, 329.7, 520.0", \ + " 80.5, 110.3, 169.5, 265.4, 391.2, 590.6", \ + " 95.9, 131.2, 201.4, 314.7, 464.0, 697.8" ); } +rise_transition(x8_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.6, 59.7, 124.3, 269.9, 528.7, 1078.6", \ + " 44.4, 65.7, 126.7, 269.9, 528.7, 1078.6", \ + " 53.3, 73.0, 131.6, 271.7, 528.7, 1078.6", \ + " 66.6, 84.2, 140.0, 276.5, 529.5, 1078.6", \ + " 84.1, 106.5, 157.7, 288.7, 535.7, 1078.6", \ + " 107.2, 133.1, 186.5, 310.7, 550.4, 1083.2", \ + " 139.9, 169.3, 231.9, 349.7, 579.6, 1099.6", \ + " 185.4, 218.8, 290.4, 413.1, 631.5, 1135.1", \ + " 250.3, 288.7, 370.1, 511.3, 720.8, 1203.1", \ + " 344.4, 388.7, 482.3, 644.3, 867.5, 1325.7" ); } +cell_fall(x8_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.2, 41.5, 75.9, 152.3, 287.6, 575.0", \ + " 33.8, 47.0, 81.6, 158.3, 293.8, 581.3", \ + " 37.7, 51.8, 86.3, 163.0, 298.6, 586.1", \ + " 41.1, 57.8, 92.7, 169.4, 304.9, 592.5", \ + " 45.5, 65.1, 104.6, 180.8, 316.3, 603.8", \ + " 50.0, 72.6, 119.0, 197.8, 332.8, 620.2", \ + " 54.8, 80.6, 134.6, 223.9, 358.2, 645.0", \ + " 59.3, 89.1, 151.0, 255.5, 396.5, 682.1", \ + " 63.1, 97.6, 168.8, 289.9, 453.0, 738.9", \ + " 65.2, 105.4, 187.8, 327.4, 518.6, 826.8" ); } +fall_transition(x8_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.1, 82.4, 174.0, 380.1, 746.6, 1525.3", \ + " 53.6, 85.5, 174.3, 380.1, 746.6, 1525.3", \ + " 60.8, 91.4, 177.7, 380.1, 746.6, 1525.3", \ + " 71.7, 100.8, 184.4, 382.7, 746.6, 1525.3", \ + " 87.4, 118.9, 199.3, 391.7, 748.5, 1525.3", \ + " 106.1, 143.2, 223.6, 409.9, 758.4, 1525.5", \ + " 133.9, 173.6, 262.5, 443.1, 781.7, 1533.8", \ + " 173.7, 216.2, 314.0, 497.3, 825.6, 1559.7", \ + " 231.7, 277.7, 383.1, 581.8, 902.4, 1615.3", \ + " 317.6, 367.5, 481.6, 699.0, 1027.5, 1719.9" ); }} +} +} +cell(nd2v5x05) { /* 2008-01-06:07h40 characteristic delay 11.1 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 231 ; /* nd2v5x05 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x05 FO4 effort 1.23 logical effort 1.29 */ +direction : input ; +capacitance : 2.32 ; +rise_capacitance : 2.24 ; +fall_capacitance : 2.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v5x05 */ +} +pin(b) { /* nd2v5x05 FO4 effort 1.20 logical effort 1.29 */ +direction : input ; +capacitance : 2.31 ; +rise_capacitance : 2.32 ; +fall_capacitance : 2.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 88 ; +max_fanout : 2 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x05 5.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 2.75, 2.80, 2.83, 2.84, 2.84", \ + " 2.64, 2.70, 2.76, 2.80, 2.80", \ + " 2.66, 2.69, 2.74, 2.78, 2.79", \ + " 2.73, 2.73, 2.75, 2.78, 2.79", \ + " 2.94, 2.88, 2.83, 2.82, 2.81", \ + " 3.35, 3.20, 3.04, 2.93, 2.87", \ + " 4.09, 3.82, 3.48, 3.21, 3.04", \ + " 5.32, 4.90, 4.30, 3.76, 3.41", \ + " 7.30, 6.72, 5.78, 4.84, 4.16", \ + " 10.43, 9.69, 8.36, 6.83, 5.63" ); }} +internal_power(b_z_n) { /* nd2v5x05 4.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 2.06, 2.14, 2.20, 2.23, 2.23", \ + " 1.96, 2.03, 2.13, 2.19, 2.21", \ + " 1.99, 2.03, 2.11, 2.17, 2.20", \ + " 2.09, 2.08, 2.12, 2.17, 2.19", \ + " 2.34, 2.25, 2.20, 2.20, 2.21", \ + " 2.78, 2.60, 2.41, 2.31, 2.27", \ + " 3.54, 3.23, 2.86, 2.58, 2.43", \ + " 4.75, 4.29, 3.68, 3.14, 2.79", \ + " 6.69, 6.06, 5.11, 4.19, 3.52", \ + " 9.73, 8.93, 7.58, 6.10, 4.95" ); }} +timing() { /* ring osc delay nd2v5x05, path a to z 43.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.9 ; */ +/* intrinsic_fall : 35.0 ; */ +/* rise_resistance : 4.92 ; */ +/* fall_resistance : 4.61 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 42.4, 77.3, 155.1, 293.0, 586.1", \ + " 36.9, 50.1, 85.0, 162.9, 300.9, 594.0", \ + " 43.3, 56.5, 91.1, 168.9, 306.9, 600.0", \ + " 50.5, 65.3, 99.5, 177.1, 315.0, 608.0", \ + " 60.9, 78.4, 114.8, 191.7, 329.3, 622.2", \ + " 73.7, 93.9, 136.4, 213.2, 350.2, 642.6", \ + " 90.6, 113.8, 163.3, 246.3, 382.1, 673.7", \ + " 112.8, 139.3, 196.1, 293.4, 430.1, 720.0", \ + " 142.8, 173.5, 238.6, 351.7, 503.9, 791.0", \ + " 184.2, 220.1, 295.2, 425.3, 604.9, 900.9" ); } +rise_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 94.5, 192.8, 414.1, 807.4, 1643.1", \ + " 64.0, 98.2, 193.6, 414.1, 807.4, 1643.1", \ + " 71.2, 104.0, 196.9, 414.3, 807.4, 1643.1", \ + " 82.0, 113.3, 203.3, 416.7, 807.4, 1643.1", \ + " 102.8, 131.7, 217.5, 425.1, 809.1, 1643.1", \ + " 127.2, 160.3, 241.5, 442.1, 818.0, 1643.1", \ + " 160.1, 199.1, 281.7, 473.5, 839.4, 1649.8", \ + " 204.8, 249.3, 343.6, 527.1, 880.0, 1672.9", \ + " 268.0, 318.3, 426.6, 615.5, 953.2, 1723.6", \ + " 359.3, 416.5, 539.4, 754.0, 1079.5, 1820.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 39.4, 71.7, 144.0, 272.2, 544.5", \ + " 31.4, 44.0, 76.5, 148.9, 277.2, 549.6", \ + " 33.4, 47.2, 79.8, 152.3, 280.6, 553.0", \ + " 35.1, 50.3, 84.1, 156.7, 285.0, 557.5", \ + " 36.8, 54.2, 91.5, 164.4, 292.8, 565.3", \ + " 37.2, 57.7, 99.6, 175.7, 304.0, 576.5", \ + " 35.6, 59.9, 108.2, 191.8, 321.1, 593.4", \ + " 30.7, 59.3, 116.0, 210.0, 346.4, 618.5", \ + " 20.4, 54.0, 121.0, 229.5, 380.1, 656.4", \ + " 1.1, 40.6, 120.0, 247.7, 418.4, 714.4" ); } +fall_transition(x05_113_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 42.6, 75.8, 164.6, 364.5, 719.9, 1475.2", \ + " 46.4, 78.3, 165.4, 364.5, 719.9, 1475.2", \ + " 51.8, 82.3, 168.0, 365.2, 719.9, 1475.2", \ + " 61.2, 89.4, 172.8, 367.7, 720.2, 1475.2", \ + " 74.8, 105.3, 184.0, 374.6, 723.4, 1475.2", \ + " 94.3, 126.5, 204.2, 388.5, 731.6, 1477.4", \ + " 123.9, 157.3, 239.1, 415.1, 749.6, 1486.1", \ + " 166.7, 201.6, 287.0, 461.5, 784.4, 1506.9", \ + " 228.3, 265.9, 355.6, 538.2, 848.1, 1550.8", \ + " 317.7, 358.4, 455.6, 647.8, 958.7, 1635.6" ); }} +timing() { /* ring osc delay nd2v5x05, path b to z 39.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.6 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 4.94 ; */ +/* fall_resistance : 4.61 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.0, 37.6, 72.6, 150.5, 288.5, 581.6", \ + " 31.9, 45.2, 80.2, 158.3, 296.5, 589.6", \ + " 37.4, 51.4, 86.2, 164.2, 302.4, 595.6", \ + " 43.2, 59.7, 94.5, 172.3, 310.4, 603.6", \ + " 51.9, 71.4, 109.6, 186.8, 324.7, 617.7", \ + " 62.7, 85.2, 130.4, 208.1, 345.4, 638.1", \ + " 76.7, 102.8, 155.6, 241.0, 377.1, 669.0", \ + " 94.9, 125.2, 186.3, 287.0, 424.8, 715.2", \ + " 119.0, 154.7, 225.5, 343.1, 498.3, 785.8", \ + " 151.7, 193.9, 276.8, 413.4, 597.2, 895.3" ); } +rise_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.1, 82.9, 181.2, 402.3, 795.5, 1630.9", \ + " 52.9, 86.7, 181.8, 402.3, 795.5, 1630.9", \ + " 60.6, 92.7, 185.1, 402.4, 795.5, 1630.9", \ + " 72.0, 102.2, 191.6, 404.7, 795.5, 1630.9", \ + " 91.5, 121.1, 206.0, 413.1, 797.0, 1630.9", \ + " 113.9, 150.0, 230.3, 430.2, 805.8, 1630.9", \ + " 145.1, 186.3, 270.9, 461.9, 827.2, 1637.4", \ + " 188.5, 234.6, 332.4, 515.9, 868.1, 1660.5", \ + " 250.7, 302.2, 413.4, 604.7, 941.8, 1711.4", \ + " 341.1, 399.0, 524.0, 742.9, 1068.7, 1809.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.4, 35.8, 68.1, 140.4, 268.6, 541.0", \ + " 29.3, 41.8, 74.3, 146.7, 275.0, 547.4", \ + " 32.1, 46.6, 79.1, 151.5, 279.8, 552.2", \ + " 34.6, 51.7, 85.6, 157.9, 286.3, 558.7", \ + " 37.2, 57.5, 97.2, 169.5, 297.7, 570.0", \ + " 38.9, 62.7, 109.9, 186.3, 314.2, 586.4", \ + " 39.2, 67.1, 122.5, 211.5, 339.4, 611.2", \ + " 37.0, 69.5, 134.3, 239.6, 377.0, 648.0", \ + " 30.4, 68.5, 144.2, 268.0, 430.1, 703.9", \ + " 16.6, 61.3, 150.2, 295.2, 487.6, 790.0" ); } +fall_transition(x05_113_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 41.4, 74.0, 160.8, 356.2, 703.5, 1441.7", \ + " 48.5, 78.3, 161.8, 356.2, 703.5, 1441.7", \ + " 56.4, 84.8, 165.7, 356.5, 703.5, 1441.7", \ + " 68.3, 94.8, 173.1, 359.8, 703.5, 1441.7", \ + " 82.3, 114.4, 189.0, 369.8, 706.5, 1441.7", \ + " 102.0, 137.8, 214.8, 389.4, 717.7, 1442.4", \ + " 130.8, 169.5, 256.0, 424.8, 742.9, 1452.5", \ + " 171.4, 213.8, 308.0, 481.9, 789.6, 1481.0", \ + " 229.5, 277.1, 379.8, 570.5, 871.0, 1540.4", \ + " 314.4, 368.0, 482.1, 691.1, 1002.7, 1651.7" ); }} +} +} +cell(nd2v5x1) { /* 2008-01-06:07h40 characteristic delay 10.7 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 578 ; /* nd2v5x1 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x1 FO4 effort 1.20 logical effort 1.25 */ +direction : input ; +capacitance : 3.46 ; +rise_capacitance : 3.34 ; +fall_capacitance : 3.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v5x1 */ +} +pin(b) { /* nd2v5x1 FO4 effort 1.18 logical effort 1.24 */ +direction : input ; +capacitance : 3.43 ; +rise_capacitance : 3.45 ; +fall_capacitance : 3.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 139 ; +max_fanout : 4 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x1 8.30 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 4.14, 4.21, 4.26, 4.28, 4.26", \ + " 3.98, 4.07, 4.16, 4.21, 4.22", \ + " 4.00, 4.06, 4.14, 4.19, 4.20", \ + " 4.11, 4.11, 4.15, 4.19, 4.20", \ + " 4.44, 4.34, 4.27, 4.24, 4.22", \ + " 5.07, 4.82, 4.57, 4.41, 4.32", \ + " 6.22, 5.76, 5.22, 4.81, 4.56", \ + " 8.12, 7.41, 6.45, 5.62, 5.09", \ + " 11.18, 10.19, 8.68, 7.21, 6.18", \ + " 16.01, 14.75, 12.58, 10.17, 8.34" ); }} +internal_power(b_z_n) { /* nd2v5x1 6.49 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 3.15, 3.28, 3.38, 3.42, 3.42", \ + " 3.00, 3.12, 3.27, 3.36, 3.38", \ + " 3.05, 3.11, 3.24, 3.33, 3.37", \ + " 3.19, 3.18, 3.25, 3.32, 3.36", \ + " 3.57, 3.43, 3.36, 3.37, 3.38", \ + " 4.24, 3.95, 3.67, 3.53, 3.46", \ + " 5.40, 4.89, 4.33, 3.91, 3.69", \ + " 7.27, 6.51, 5.54, 4.72, 4.20", \ + " 10.24, 9.20, 7.68, 6.26, 5.27", \ + " 14.92, 13.58, 11.39, 9.10, 7.35" ); }} +timing() { /* ring osc delay nd2v5x1, path a to z 41.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.8 ; */ +/* intrinsic_fall : 35.3 ; */ +/* rise_resistance : 3.11 ; */ +/* fall_resistance : 3.07 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 42.7, 80.4, 164.6, 313.9, 631.2", \ + " 36.2, 50.5, 88.2, 172.4, 321.8, 639.1", \ + " 42.6, 56.8, 94.3, 178.4, 327.8, 645.1", \ + " 49.6, 65.6, 102.7, 186.6, 335.9, 653.2", \ + " 59.8, 78.8, 117.8, 201.2, 350.2, 667.3", \ + " 72.2, 94.2, 139.6, 222.5, 371.0, 687.7", \ + " 88.6, 113.8, 167.0, 255.5, 402.8, 718.7", \ + " 110.0, 139.0, 200.1, 303.3, 450.4, 764.8", \ + " 138.8, 172.4, 242.5, 363.0, 523.7, 835.3", \ + " 178.4, 217.6, 298.7, 437.6, 627.7, 944.5" ); } +rise_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.7, 96.6, 203.0, 442.6, 868.4, 1773.1", \ + " 63.2, 100.3, 203.7, 442.6, 868.4, 1773.1", \ + " 70.5, 106.0, 206.8, 442.6, 868.4, 1773.1", \ + " 81.6, 115.3, 213.0, 444.7, 868.4, 1773.1", \ + " 102.4, 133.8, 227.0, 452.5, 869.5, 1773.1", \ + " 127.0, 162.6, 250.8, 468.9, 877.4, 1773.1", \ + " 160.2, 202.1, 290.9, 499.7, 897.6, 1778.0", \ + " 205.4, 253.3, 353.5, 552.6, 937.0, 1798.9", \ + " 269.4, 323.6, 438.8, 640.7, 1008.6, 1847.2", \ + " 361.7, 423.5, 554.5, 781.1, 1133.6, 1941.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.8, 41.8, 78.7, 161.2, 307.7, 619.0", \ + " 32.1, 46.4, 83.5, 166.2, 312.8, 624.1", \ + " 34.1, 49.5, 86.7, 169.5, 316.2, 627.5", \ + " 36.0, 53.0, 91.1, 173.9, 320.6, 631.9", \ + " 37.9, 57.3, 98.8, 181.7, 328.4, 639.8", \ + " 38.7, 61.4, 107.6, 192.9, 339.6, 651.0", \ + " 37.7, 64.4, 117.4, 209.9, 356.6, 667.9", \ + " 33.7, 65.2, 126.9, 230.0, 382.0, 692.9", \ + " 24.7, 61.6, 134.3, 252.2, 418.3, 730.9", \ + " 7.2, 50.7, 136.7, 274.7, 461.0, 789.2" ); } +fall_transition(x1_195_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 44.5, 82.4, 183.9, 412.4, 818.6, 1681.8", \ + " 48.2, 84.7, 184.5, 412.4, 818.6, 1681.8", \ + " 53.4, 88.6, 186.9, 412.8, 818.6, 1681.8", \ + " 62.7, 95.4, 191.4, 415.0, 818.6, 1681.8", \ + " 76.6, 110.6, 202.0, 421.2, 821.1, 1681.8", \ + " 96.2, 132.7, 221.3, 434.0, 828.2, 1682.9", \ + " 126.0, 163.7, 255.6, 459.0, 844.5, 1689.9", \ + " 168.9, 208.2, 304.5, 503.5, 876.8, 1708.1", \ + " 230.6, 272.9, 373.8, 579.2, 937.1, 1748.0", \ + " 320.4, 366.2, 474.7, 690.9, 1043.5, 1827.1" ); }} +timing() { /* ring osc delay nd2v5x1, path b to z 38.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 3.12 ; */ +/* fall_resistance : 3.07 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.9, 38.5, 76.4, 160.7, 310.1, 627.4", \ + " 31.8, 46.1, 84.0, 168.5, 318.0, 635.4", \ + " 37.2, 52.4, 90.0, 174.4, 324.0, 641.4", \ + " 43.0, 60.8, 98.3, 182.5, 332.0, 649.4", \ + " 51.5, 72.5, 113.3, 196.9, 346.2, 663.5", \ + " 62.0, 86.3, 134.4, 218.1, 366.8, 683.8", \ + " 75.6, 103.8, 160.2, 250.8, 398.4, 714.6", \ + " 93.0, 126.0, 191.3, 297.8, 445.8, 760.6", \ + " 116.0, 154.7, 230.6, 355.5, 518.7, 830.8", \ + " 147.0, 192.8, 281.7, 427.0, 621.0, 939.6" ); } +rise_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.9, 85.8, 192.2, 431.6, 857.3, 1761.8", \ + " 52.9, 89.5, 192.7, 431.6, 857.3, 1761.8", \ + " 60.6, 95.4, 195.7, 431.6, 857.3, 1761.8", \ + " 72.1, 104.9, 202.0, 433.5, 857.3, 1761.8", \ + " 91.7, 123.8, 216.1, 441.3, 858.2, 1761.8", \ + " 114.4, 153.1, 240.2, 457.7, 866.0, 1761.8", \ + " 146.0, 190.3, 280.6, 488.7, 886.2, 1766.5", \ + " 190.0, 239.5, 343.3, 541.9, 925.7, 1787.3", \ + " 252.8, 308.3, 426.6, 630.5, 997.8, 1835.6", \ + " 344.0, 406.7, 540.1, 771.0, 1123.3, 1930.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.4, 38.6, 75.5, 158.1, 304.6, 615.8", \ + " 30.3, 44.5, 81.6, 164.3, 310.9, 622.2", \ + " 33.3, 49.3, 86.4, 169.1, 315.8, 627.1", \ + " 36.0, 54.9, 92.9, 175.6, 322.2, 633.5", \ + " 38.9, 61.5, 104.7, 187.1, 333.6, 644.9", \ + " 41.1, 67.4, 118.8, 203.9, 350.1, 661.3", \ + " 42.1, 72.7, 133.3, 229.5, 375.3, 686.0", \ + " 40.9, 76.6, 147.1, 261.2, 412.8, 722.7", \ + " 35.7, 77.5, 159.8, 293.9, 468.8, 778.5", \ + " 23.9, 72.9, 169.3, 326.4, 534.2, 864.4" ); } +fall_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.2, 80.4, 179.6, 402.9, 800.0, 1643.6", \ + " 50.0, 84.2, 180.3, 402.9, 800.0, 1643.6", \ + " 57.8, 90.5, 183.7, 403.0, 800.0, 1643.6", \ + " 69.7, 100.3, 190.5, 405.5, 800.0, 1643.6", \ + " 84.1, 119.3, 205.8, 414.3, 801.5, 1643.6", \ + " 103.8, 144.1, 230.9, 432.5, 810.8, 1643.6", \ + " 132.7, 176.2, 271.3, 466.2, 833.5, 1650.4", \ + " 173.4, 220.8, 325.9, 522.0, 877.3, 1674.7", \ + " 231.8, 284.7, 399.1, 609.8, 955.4, 1729.0", \ + " 317.2, 376.6, 502.7, 735.0, 1084.8, 1833.6" ); }} +} +} +cell(nd2v5x2) { /* 2008-01-06:07h40 characteristic delay 10.4 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 855 ; /* nd2v5x2 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x2 FO4 effort 1.18 logical effort 1.22 */ +direction : input ; +capacitance : 5.05 ; +rise_capacitance : 4.87 ; +fall_capacitance : 5.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v5x2 */ +} +pin(b) { /* nd2v5x2 FO4 effort 1.15 logical effort 1.21 */ +direction : input ; +capacitance : 4.99 ; +rise_capacitance : 5.00 ; +fall_capacitance : 4.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 205 ; +max_fanout : 6 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x2 11.85 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 5.90, 6.01, 6.08, 6.11, 6.10", \ + " 5.67, 5.79, 5.93, 6.01, 6.03", \ + " 5.71, 5.78, 5.90, 5.98, 6.01", \ + " 5.89, 5.88, 5.93, 5.98, 6.00", \ + " 6.39, 6.23, 6.12, 6.07, 6.04", \ + " 7.36, 6.99, 6.60, 6.34, 6.20", \ + " 9.10, 8.44, 7.62, 6.98, 6.59", \ + " 11.95, 10.95, 9.53, 8.27, 7.44", \ + " 16.53, 15.16, 12.96, 10.76, 9.17", \ + " 23.74, 22.01, 18.91, 15.34, 12.56" ); }} +internal_power(b_z_n) { /* nd2v5x2 9.14 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 4.41, 4.60, 4.76, 4.82, 4.83", \ + " 4.21, 4.37, 4.59, 4.73, 4.78", \ + " 4.29, 4.37, 4.55, 4.69, 4.75", \ + " 4.52, 4.49, 4.57, 4.68, 4.74", \ + " 5.10, 4.90, 4.77, 4.76, 4.77", \ + " 6.13, 5.69, 5.26, 5.02, 4.92", \ + " 7.89, 7.15, 6.29, 5.65, 5.29", \ + " 10.70, 9.62, 8.17, 6.92, 6.11", \ + " 15.16, 13.69, 11.48, 9.34, 7.80", \ + " 22.15, 20.29, 17.14, 13.73, 11.08" ); }} +timing() { /* ring osc delay nd2v5x2, path a to z 40.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 34.1 ; */ +/* rise_resistance : 2.11 ; */ +/* fall_resistance : 2.05 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.2, 40.3, 74.5, 150.7, 285.8, 572.9", \ + " 35.2, 48.1, 82.3, 158.5, 293.7, 580.8", \ + " 41.5, 54.5, 88.4, 164.5, 299.7, 586.8", \ + " 48.3, 63.2, 96.8, 172.7, 307.8, 594.9", \ + " 58.3, 75.9, 112.1, 187.4, 322.1, 609.0", \ + " 70.6, 90.9, 133.4, 208.9, 343.0, 629.5", \ + " 86.9, 110.2, 159.6, 242.0, 374.9, 660.5", \ + " 108.2, 134.9, 191.7, 288.5, 422.9, 706.9", \ + " 137.0, 168.0, 233.1, 345.5, 496.6, 777.8", \ + " 176.7, 212.9, 288.2, 417.6, 595.9, 887.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.8, 89.9, 186.2, 403.0, 788.2, 1606.8", \ + " 60.6, 94.0, 187.1, 403.0, 788.2, 1606.8", \ + " 68.1, 99.9, 190.6, 403.2, 788.2, 1606.8", \ + " 79.2, 109.4, 197.2, 405.8, 788.2, 1606.8", \ + " 99.8, 128.2, 211.7, 414.5, 790.1, 1606.8", \ + " 123.8, 157.3, 236.2, 431.8, 799.5, 1606.9", \ + " 156.5, 195.4, 276.9, 463.8, 821.3, 1614.2", \ + " 201.0, 245.3, 338.9, 518.2, 862.7, 1638.0", \ + " 264.2, 314.4, 421.6, 607.6, 937.0, 1689.8", \ + " 355.6, 412.7, 534.5, 746.6, 1064.8, 1788.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.3, 38.9, 71.7, 145.1, 275.3, 552.0", \ + " 30.6, 43.4, 76.4, 150.0, 280.3, 557.0", \ + " 32.4, 46.5, 79.7, 153.3, 283.7, 560.4", \ + " 34.1, 49.6, 84.0, 157.7, 288.1, 564.9", \ + " 35.7, 53.6, 91.4, 165.5, 295.9, 572.7", \ + " 36.1, 57.0, 99.6, 176.7, 307.1, 583.9", \ + " 34.5, 59.3, 108.3, 193.0, 324.2, 600.8", \ + " 29.8, 59.0, 116.4, 211.4, 349.6, 625.9", \ + " 19.9, 54.2, 121.9, 231.4, 383.7, 663.9", \ + " 1.3, 41.5, 121.7, 250.4, 422.7, 722.2" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 41.0, 74.8, 165.0, 368.0, 729.1, 1496.4", \ + " 45.0, 77.4, 165.8, 368.0, 729.1, 1496.4", \ + " 50.5, 81.4, 168.4, 368.7, 729.1, 1496.4", \ + " 59.8, 88.5, 173.2, 371.2, 729.4, 1496.4", \ + " 73.3, 104.5, 184.4, 378.1, 732.5, 1496.4", \ + " 92.9, 125.7, 204.6, 391.9, 740.6, 1498.5", \ + " 122.5, 156.4, 239.5, 418.4, 758.4, 1507.0", \ + " 165.2, 200.6, 287.3, 464.6, 793.0, 1527.5", \ + " 226.5, 264.8, 355.9, 541.2, 856.3, 1570.9", \ + " 316.0, 357.1, 455.7, 650.8, 966.3, 1655.0" ); }} +timing() { /* ring osc delay nd2v5x2, path b to z 37.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.3 ; */ +/* intrinsic_fall : 35.9 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 2.05 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 36.0, 70.4, 146.7, 281.9, 569.0", \ + " 30.7, 43.6, 78.0, 154.5, 289.8, 577.0", \ + " 35.8, 50.0, 84.0, 160.4, 295.8, 583.0", \ + " 41.4, 58.1, 92.3, 168.5, 303.8, 591.0", \ + " 49.8, 69.4, 107.5, 183.0, 318.0, 605.1", \ + " 60.0, 82.7, 127.8, 204.3, 338.7, 625.4", \ + " 73.5, 99.7, 152.4, 237.2, 370.5, 656.4", \ + " 90.7, 121.4, 182.4, 282.5, 418.2, 702.5", \ + " 113.6, 149.6, 220.5, 337.5, 491.4, 773.1", \ + " 144.5, 187.2, 270.3, 406.2, 588.6, 882.6" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.1, 79.1, 175.4, 392.0, 777.1, 1595.5", \ + " 50.4, 83.2, 176.1, 392.0, 777.1, 1595.5", \ + " 58.2, 89.4, 179.6, 392.1, 777.1, 1595.5", \ + " 69.9, 99.1, 186.2, 394.6, 777.1, 1595.5", \ + " 88.8, 118.3, 200.9, 403.3, 778.9, 1595.5", \ + " 111.0, 147.1, 225.7, 420.7, 788.1, 1595.5", \ + " 142.1, 183.2, 266.8, 452.9, 809.9, 1602.6", \ + " 185.5, 231.3, 328.3, 507.6, 851.6, 1626.5", \ + " 247.6, 298.9, 409.0, 597.5, 926.3, 1678.3", \ + " 338.0, 395.9, 519.8, 736.0, 1054.7, 1777.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.9, 35.6, 68.4, 141.8, 272.1, 548.8", \ + " 28.7, 41.5, 74.6, 148.1, 278.5, 555.2", \ + " 31.4, 46.4, 79.3, 152.9, 283.3, 560.0", \ + " 33.8, 51.4, 85.9, 159.4, 289.7, 566.5", \ + " 36.4, 57.2, 97.6, 170.9, 301.2, 577.9", \ + " 38.1, 62.4, 110.3, 187.8, 317.7, 594.2", \ + " 38.5, 66.9, 123.1, 213.0, 342.9, 619.0", \ + " 36.5, 69.6, 135.1, 241.5, 380.5, 655.8", \ + " 30.3, 69.1, 145.6, 270.6, 434.1, 711.8", \ + " 17.3, 62.7, 152.5, 298.7, 492.7, 798.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.9, 72.9, 161.1, 359.6, 712.5, 1462.4", \ + " 47.2, 77.3, 162.1, 359.6, 712.5, 1462.4", \ + " 55.1, 83.8, 166.0, 360.0, 712.5, 1462.4", \ + " 66.7, 93.9, 173.3, 363.2, 712.5, 1462.4", \ + " 80.5, 113.4, 189.3, 373.1, 715.3, 1462.4", \ + " 100.0, 136.6, 215.0, 392.5, 726.3, 1463.0", \ + " 128.5, 168.1, 256.0, 427.7, 751.2, 1472.7", \ + " 168.9, 212.2, 307.9, 484.6, 797.6, 1500.7", \ + " 226.7, 275.2, 379.6, 573.0, 878.5, 1559.5", \ + " 311.5, 365.8, 481.6, 693.6, 1009.6, 1669.8" ); }} +} +} +cell(nd2v5x3) { /* 2008-01-06:07h40 characteristic delay 10.3 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1247 ; /* nd2v5x3 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x3 FO4 effort 1.19 logical effort 1.21 */ +direction : input ; +capacitance : 7.20 ; +rise_capacitance : 6.92 ; +fall_capacitance : 7.47 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v5x3 */ +} +pin(b) { /* nd2v5x3 FO4 effort 1.12 logical effort 1.19 */ +direction : input ; +capacitance : 7.02 ; +rise_capacitance : 7.04 ; +fall_capacitance : 7.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 293 ; +max_fanout : 8 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x3 17.55 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 8.78, 8.94, 9.06, 9.09, 9.07", \ + " 8.41, 8.60, 8.81, 8.93, 8.95", \ + " 8.44, 8.57, 8.75, 8.88, 8.91", \ + " 8.67, 8.68, 8.77, 8.87, 8.90", \ + " 9.35, 9.14, 9.01, 8.98, 8.95", \ + " 10.68, 10.17, 9.66, 9.33, 9.16", \ + " 13.12, 12.18, 11.05, 10.19, 9.67", \ + " 17.17, 15.71, 13.71, 11.97, 10.83", \ + " 23.71, 21.69, 18.52, 15.42, 13.23", \ + " 34.06, 31.48, 26.95, 21.87, 17.96" ); }} +internal_power(b_z_n) { /* nd2v5x3 12.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 5.82, 6.11, 6.33, 6.42, 6.42", \ + " 5.56, 5.79, 6.10, 6.30, 6.36", \ + " 5.69, 5.80, 6.05, 6.25, 6.33", \ + " 6.04, 5.98, 6.08, 6.24, 6.31", \ + " 6.90, 6.57, 6.36, 6.35, 6.36", \ + " 8.40, 7.72, 7.07, 6.72, 6.56", \ + " 10.96, 9.82, 8.54, 7.60, 7.08", \ + " 15.03, 13.37, 11.22, 9.40, 8.24", \ + " 21.47, 19.23, 15.93, 12.83, 10.62", \ + " 31.55, 28.71, 24.03, 19.06, 15.25" ); }} +timing() { /* ring osc delay nd2v5x3, path a to z 40.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.1 ; */ +/* intrinsic_fall : 35.3 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.1, 42.1, 78.0, 158.1, 300.1, 601.5", \ + " 36.0, 49.8, 85.8, 165.9, 307.9, 609.4", \ + " 42.4, 56.1, 91.9, 171.9, 313.9, 615.4", \ + " 49.4, 64.9, 100.2, 180.1, 322.0, 623.4", \ + " 59.7, 78.0, 115.4, 194.7, 336.3, 637.6", \ + " 72.3, 93.4, 137.1, 216.1, 357.1, 658.0", \ + " 89.0, 113.1, 164.1, 249.2, 389.0, 689.0", \ + " 110.7, 138.4, 196.9, 296.5, 436.8, 735.2", \ + " 140.0, 172.1, 239.2, 355.1, 510.4, 806.0", \ + " 180.5, 217.9, 295.5, 428.9, 612.4, 915.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 55.5, 93.2, 194.3, 421.9, 826.5, 1686.1", \ + " 61.9, 97.0, 195.0, 421.9, 826.5, 1686.1", \ + " 69.3, 102.8, 198.3, 422.0, 826.5, 1686.1", \ + " 80.2, 112.2, 204.7, 424.3, 826.5, 1686.1", \ + " 100.9, 130.8, 218.9, 432.6, 828.0, 1686.1", \ + " 125.0, 159.6, 243.0, 449.4, 836.6, 1686.1", \ + " 157.8, 198.3, 283.3, 480.7, 857.6, 1692.2", \ + " 202.3, 248.6, 345.5, 534.2, 897.9, 1714.6", \ + " 265.2, 317.8, 429.2, 622.8, 970.7, 1764.5", \ + " 356.0, 416.0, 542.9, 762.3, 1096.8, 1860.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.0, 40.1, 74.2, 150.4, 285.6, 572.9", \ + " 31.7, 45.0, 79.4, 155.7, 291.1, 578.4", \ + " 33.7, 48.2, 82.7, 159.1, 294.5, 581.9", \ + " 35.4, 51.5, 87.0, 163.5, 299.0, 586.4", \ + " 36.8, 55.4, 94.5, 171.3, 306.8, 594.2", \ + " 36.9, 58.8, 102.8, 182.5, 318.0, 605.4", \ + " 34.9, 60.9, 111.6, 198.9, 335.0, 622.3", \ + " 29.6, 60.3, 119.8, 217.8, 360.3, 647.3", \ + " 19.2, 55.1, 125.4, 238.2, 395.0, 685.2", \ + " 0.1, 42.1, 125.2, 257.8, 434.9, 743.5" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 39.5, 74.4, 168.1, 378.9, 753.9, 1550.7", \ + " 43.0, 76.6, 168.6, 378.9, 753.9, 1550.7", \ + " 48.2, 80.4, 171.0, 379.4, 753.9, 1550.7", \ + " 57.3, 87.1, 175.5, 381.7, 754.0, 1550.7", \ + " 70.3, 102.5, 186.2, 388.1, 756.8, 1550.7", \ + " 89.3, 123.4, 205.8, 401.3, 764.3, 1552.3", \ + " 118.5, 153.9, 240.4, 427.0, 781.5, 1560.2", \ + " 161.4, 198.2, 288.2, 472.5, 815.1, 1579.7", \ + " 224.2, 263.2, 357.1, 548.9, 877.2, 1621.9", \ + " 315.3, 357.0, 457.9, 659.2, 986.1, 1704.2" ); }} +timing() { /* ring osc delay nd2v5x3, path b to z 35.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.3 ; */ +/* intrinsic_fall : 34.6 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 35.8, 71.8, 151.9, 293.9, 595.3", \ + " 29.8, 43.4, 79.5, 159.8, 301.8, 603.3", \ + " 34.8, 49.8, 85.5, 165.7, 307.8, 609.3", \ + " 40.2, 57.9, 93.8, 173.8, 315.8, 617.3", \ + " 48.4, 69.2, 108.9, 188.3, 330.0, 631.4", \ + " 58.5, 82.5, 129.6, 209.6, 350.7, 651.8", \ + " 71.8, 99.6, 154.6, 242.4, 382.4, 682.7", \ + " 88.9, 121.4, 184.9, 288.5, 430.1, 728.8", \ + " 111.6, 149.8, 223.6, 344.7, 503.3, 799.3", \ + " 142.5, 187.6, 274.2, 414.9, 603.0, 908.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 41.2, 79.0, 180.1, 407.6, 811.9, 1671.2", \ + " 48.8, 83.2, 180.8, 407.6, 811.9, 1671.2", \ + " 56.7, 89.4, 184.2, 407.6, 811.9, 1671.2", \ + " 68.6, 99.1, 190.7, 409.9, 811.9, 1671.2", \ + " 86.9, 118.3, 205.2, 418.2, 813.3, 1671.2", \ + " 108.7, 146.9, 229.7, 435.2, 821.9, 1671.2", \ + " 139.5, 182.9, 270.5, 466.9, 843.0, 1677.2", \ + " 182.6, 231.0, 332.2, 520.9, 883.6, 1699.6", \ + " 244.3, 298.4, 413.4, 609.9, 957.0, 1749.8", \ + " 334.3, 395.1, 524.6, 749.0, 1083.8, 1846.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.7, 34.8, 69.0, 145.2, 280.5, 567.8", \ + " 27.4, 40.8, 75.1, 151.5, 286.8, 574.2", \ + " 30.0, 45.7, 79.9, 156.3, 291.6, 579.0", \ + " 32.3, 50.7, 86.5, 162.8, 298.1, 585.5", \ + " 34.6, 56.4, 98.2, 174.3, 309.5, 596.9", \ + " 36.0, 61.6, 111.1, 191.1, 326.1, 613.2", \ + " 36.1, 65.9, 124.2, 216.6, 351.3, 638.0", \ + " 33.6, 68.4, 136.4, 245.8, 388.9, 674.7", \ + " 26.8, 67.6, 147.0, 275.7, 443.2, 730.7", \ + " 12.9, 60.8, 154.0, 304.6, 503.6, 816.7" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.2, 72.5, 164.1, 370.3, 736.7, 1515.5", \ + " 45.7, 76.9, 165.1, 370.3, 736.7, 1515.5", \ + " 53.7, 83.4, 168.9, 370.5, 736.7, 1515.5", \ + " 64.6, 93.5, 176.1, 373.5, 736.7, 1515.5", \ + " 78.1, 113.0, 191.9, 383.2, 739.1, 1515.5", \ + " 97.4, 135.9, 217.5, 402.3, 749.7, 1515.8", \ + " 125.8, 167.3, 258.5, 437.1, 773.9, 1524.6", \ + " 165.7, 211.2, 310.7, 493.8, 819.6, 1551.5", \ + " 223.1, 274.1, 382.6, 582.3, 899.8, 1609.0", \ + " 307.6, 364.6, 484.9, 703.9, 1030.6, 1717.8" ); }} +} +} +cell(nd2v5x4) { /* 2008-01-06:07h40 characteristic delay 10.5 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1709 ; /* nd2v5x4 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x4 FO4 effort 1.18 logical effort 1.25 */ +direction : input ; +capacitance : 10.34 ; +rise_capacitance : 9.96 ; +fall_capacitance : 10.71 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v5x4 */ +} +pin(b) { /* nd2v5x4 FO4 effort 1.13 logical effort 1.20 */ +direction : input ; +capacitance : 9.88 ; +rise_capacitance : 9.91 ; +fall_capacitance : 9.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 412 ; +max_fanout : 10 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x4 22.76 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 11.31, 11.56, 11.74, 11.81, 11.78", \ + " 10.83, 11.10, 11.41, 11.59, 11.63", \ + " 10.91, 11.07, 11.33, 11.53, 11.58", \ + " 11.27, 11.26, 11.38, 11.52, 11.57", \ + " 12.30, 11.98, 11.75, 11.68, 11.65", \ + " 14.27, 13.50, 12.72, 12.22, 11.95", \ + " 17.78, 16.42, 14.76, 13.48, 12.72", \ + " 23.53, 21.47, 18.59, 16.06, 14.41", \ + " 32.73, 29.93, 25.47, 21.04, 17.87", \ + " 47.20, 43.68, 37.40, 30.22, 24.65" ); }} +internal_power(b_z_n) { /* nd2v5x4 17.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 8.23, 8.63, 8.95, 9.08, 9.09", \ + " 7.86, 8.18, 8.61, 8.89, 8.99", \ + " 8.04, 8.19, 8.53, 8.82, 8.94", \ + " 8.53, 8.45, 8.58, 8.81, 8.92", \ + " 9.72, 9.27, 8.99, 8.96, 8.99", \ + " 11.81, 10.89, 9.99, 9.49, 9.27", \ + " 15.37, 13.84, 12.07, 10.76, 10.02", \ + " 21.05, 18.81, 15.85, 13.31, 11.68", \ + " 30.01, 27.00, 22.49, 18.17, 15.08", \ + " 44.05, 40.24, 33.86, 26.97, 21.64" ); }} +timing() { /* ring osc delay nd2v5x4, path a to z 39.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.6 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 1.03 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.6, 39.7, 73.9, 150.1, 285.3, 572.4", \ + " 34.5, 47.5, 81.7, 158.0, 293.2, 580.3", \ + " 40.7, 53.8, 87.8, 164.0, 299.2, 586.3", \ + " 47.4, 62.5, 96.2, 172.1, 307.3, 594.3", \ + " 57.2, 75.1, 111.5, 186.8, 321.6, 608.5", \ + " 69.3, 89.9, 132.7, 208.3, 342.4, 628.9", \ + " 85.4, 108.9, 158.7, 241.4, 374.3, 660.0", \ + " 106.4, 133.5, 190.6, 287.7, 422.3, 706.3", \ + " 134.8, 166.3, 231.8, 344.6, 496.0, 777.2", \ + " 174.2, 210.9, 286.7, 416.5, 595.1, 887.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.7, 87.7, 184.0, 400.8, 786.1, 1604.6", \ + " 58.7, 91.9, 185.0, 400.8, 786.1, 1604.6", \ + " 66.2, 97.9, 188.4, 401.0, 786.1, 1604.6", \ + " 77.5, 107.5, 195.1, 403.6, 786.1, 1604.6", \ + " 97.9, 126.5, 209.7, 412.4, 788.0, 1604.6", \ + " 121.6, 155.7, 234.3, 429.8, 797.3, 1604.7", \ + " 154.0, 193.4, 275.2, 461.9, 819.2, 1612.0", \ + " 198.2, 243.0, 337.2, 516.3, 860.7, 1635.9", \ + " 261.0, 311.7, 419.6, 605.8, 935.0, 1687.7", \ + " 351.9, 409.7, 532.1, 744.9, 1063.0, 1786.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.8, 38.5, 71.3, 144.7, 274.9, 551.6", \ + " 30.1, 42.9, 76.0, 149.6, 279.9, 556.7", \ + " 31.7, 46.1, 79.3, 152.9, 283.3, 560.1", \ + " 33.3, 49.0, 83.6, 157.3, 287.7, 564.5", \ + " 34.7, 52.8, 91.0, 165.0, 295.5, 572.3", \ + " 34.8, 56.1, 99.0, 176.3, 306.7, 583.5", \ + " 33.0, 58.2, 107.6, 192.5, 323.8, 600.4", \ + " 28.0, 57.6, 115.5, 210.8, 349.2, 625.5", \ + " 17.8, 52.5, 120.8, 230.7, 383.2, 663.5", \ + " -1.2, 39.6, 120.4, 249.5, 422.1, 721.7" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 38.9, 72.6, 162.8, 365.9, 727.0, 1494.3", \ + " 43.0, 75.2, 163.7, 365.9, 727.0, 1494.3", \ + " 48.6, 79.4, 166.3, 366.6, 727.0, 1494.3", \ + " 57.9, 86.6, 171.1, 369.1, 727.2, 1494.3", \ + " 71.2, 102.8, 182.4, 376.0, 730.4, 1494.3", \ + " 90.6, 123.6, 202.7, 389.8, 738.5, 1496.4", \ + " 120.1, 154.2, 237.6, 416.3, 756.3, 1504.9", \ + " 162.6, 198.3, 285.3, 462.7, 790.9, 1525.4", \ + " 223.6, 262.2, 353.7, 539.3, 854.3, 1568.9", \ + " 313.1, 354.2, 453.3, 648.7, 964.4, 1653.0" ); }} +timing() { /* ring osc delay nd2v5x4, path b to z 35.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.4 ; */ +/* intrinsic_fall : 35.0 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 1.03 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.7, 35.1, 69.4, 145.7, 280.9, 568.0", \ + " 29.8, 42.7, 77.1, 153.5, 288.9, 576.0", \ + " 34.6, 49.0, 83.1, 159.5, 294.8, 582.0", \ + " 40.1, 57.0, 91.4, 167.6, 302.8, 590.0", \ + " 48.2, 68.2, 106.6, 182.1, 317.1, 604.1", \ + " 58.3, 81.3, 126.8, 203.4, 337.8, 624.5", \ + " 71.4, 98.2, 151.3, 236.3, 369.5, 655.4", \ + " 88.3, 119.6, 181.0, 281.5, 417.3, 701.6", \ + " 110.8, 147.5, 219.0, 336.3, 490.5, 772.2", \ + " 141.3, 184.7, 268.5, 404.9, 587.6, 881.7" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.9, 77.0, 173.3, 389.9, 775.0, 1593.3", \ + " 48.6, 81.3, 174.1, 389.9, 775.0, 1593.3", \ + " 56.6, 87.6, 177.6, 390.0, 775.0, 1593.3", \ + " 68.5, 97.3, 184.3, 392.6, 775.0, 1593.3", \ + " 86.8, 116.7, 199.1, 401.3, 776.8, 1593.3", \ + " 108.7, 145.2, 223.9, 418.8, 786.0, 1593.4", \ + " 139.6, 181.1, 265.1, 451.1, 808.0, 1600.6", \ + " 182.7, 229.0, 326.5, 505.9, 849.7, 1624.4", \ + " 244.5, 296.3, 407.0, 595.8, 924.5, 1676.4", \ + " 334.6, 392.9, 517.5, 734.2, 1053.0, 1775.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.1, 34.7, 67.6, 141.0, 271.3, 548.0", \ + " 27.8, 40.7, 73.8, 147.3, 277.6, 554.4", \ + " 30.4, 45.6, 78.5, 152.1, 282.5, 559.2", \ + " 32.6, 50.4, 85.1, 158.6, 288.9, 565.7", \ + " 34.9, 56.1, 96.7, 170.1, 300.4, 577.1", \ + " 36.4, 61.1, 109.3, 187.0, 316.9, 593.4", \ + " 36.6, 65.3, 122.0, 212.2, 342.1, 618.2", \ + " 34.2, 67.8, 133.8, 240.6, 379.8, 655.0", \ + " 27.7, 67.0, 144.1, 269.5, 433.3, 711.0", \ + " 14.2, 60.4, 150.7, 297.4, 491.7, 797.2" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.8, 70.9, 159.0, 357.5, 710.4, 1460.3", \ + " 45.3, 75.4, 160.1, 357.5, 710.4, 1460.3", \ + " 53.4, 81.9, 164.0, 357.9, 710.4, 1460.3", \ + " 64.4, 92.1, 171.4, 361.1, 710.4, 1460.3", \ + " 78.1, 111.8, 187.4, 371.1, 713.3, 1460.3", \ + " 97.4, 134.4, 213.2, 390.6, 724.3, 1460.9", \ + " 125.7, 165.7, 254.1, 425.8, 749.2, 1470.7", \ + " 165.7, 209.6, 305.8, 482.8, 795.7, 1498.7", \ + " 223.1, 272.3, 377.3, 571.1, 876.6, 1557.6", \ + " 307.6, 362.5, 479.1, 691.4, 1007.8, 1668.0" ); }} +} +} +cell(nd2v5x6) { /* 2008-01-06:07h40 characteristic delay 10.6 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 2472 ; /* nd2v5x6 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x6 FO4 effort 1.18 logical effort 1.24 */ +direction : input ; +capacitance : 14.70 ; +rise_capacitance : 14.17 ; +fall_capacitance : 15.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2v5x6 */ +} +pin(b) { /* nd2v5x6 FO4 effort 1.15 logical effort 1.22 */ +direction : input ; +capacitance : 14.36 ; +rise_capacitance : 14.41 ; +fall_capacitance : 14.30 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 595 ; +max_fanout : 15 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x6 33.13 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 16.48, 16.83, 17.07, 17.15, 17.12", \ + " 15.79, 16.18, 16.61, 16.86, 16.90", \ + " 15.89, 16.13, 16.50, 16.77, 16.84", \ + " 16.40, 16.39, 16.57, 16.76, 16.82", \ + " 17.85, 17.39, 17.08, 16.99, 16.93", \ + " 20.64, 19.53, 18.42, 17.73, 17.35", \ + " 25.63, 23.65, 21.29, 19.48, 18.41", \ + " 33.83, 30.81, 26.67, 23.09, 20.75", \ + " 46.97, 42.85, 36.38, 30.06, 25.58", \ + " 67.66, 62.45, 53.28, 42.98, 35.07" ); }} +internal_power(b_z_n) { /* nd2v5x6 25.33 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 12.18, 12.76, 13.20, 13.38, 13.39", \ + " 11.61, 12.09, 12.72, 13.12, 13.25", \ + " 11.85, 12.09, 12.60, 13.02, 13.18", \ + " 12.52, 12.43, 12.66, 12.99, 13.15", \ + " 14.20, 13.57, 13.20, 13.20, 13.24", \ + " 17.15, 15.84, 14.59, 13.92, 13.63", \ + " 22.20, 20.00, 17.50, 15.66, 14.66", \ + " 30.28, 27.02, 22.80, 19.22, 16.94", \ + " 43.06, 38.65, 32.16, 26.02, 21.67", \ + " 63.10, 57.51, 48.24, 38.40, 30.84" ); }} +timing() { /* ring osc delay nd2v5x6, path a to z 40.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.7 ; */ +/* intrinsic_fall : 34.1 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.8, 40.4, 75.8, 154.9, 295.0, 592.7", \ + " 34.8, 48.2, 83.6, 162.7, 302.9, 600.6", \ + " 41.0, 54.5, 89.7, 168.7, 308.9, 606.6", \ + " 47.7, 63.2, 98.1, 176.9, 317.0, 614.7", \ + " 57.5, 75.9, 113.3, 191.5, 331.3, 628.8", \ + " 69.6, 90.8, 134.7, 212.9, 352.1, 649.2", \ + " 85.6, 109.9, 161.1, 245.9, 383.9, 680.3", \ + " 106.4, 134.4, 193.2, 292.8, 431.8, 726.5", \ + " 134.6, 167.1, 234.5, 350.4, 505.3, 797.2", \ + " 173.4, 211.4, 289.5, 422.9, 606.0, 906.7" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.6, 90.0, 189.8, 414.6, 814.2, 1663.0", \ + " 59.5, 94.0, 190.7, 414.6, 814.2, 1663.0", \ + " 67.0, 100.0, 194.0, 414.8, 814.2, 1663.0", \ + " 78.3, 109.5, 200.6, 417.2, 814.2, 1663.0", \ + " 98.9, 128.4, 215.0, 425.7, 815.7, 1663.0", \ + " 122.9, 157.7, 239.4, 442.7, 824.6, 1663.0", \ + " 155.5, 195.9, 280.2, 474.4, 846.0, 1669.5", \ + " 200.1, 246.1, 342.6, 528.5, 886.8, 1692.4", \ + " 263.4, 315.6, 426.2, 617.8, 960.3, 1743.0", \ + " 355.0, 414.4, 540.1, 757.9, 1087.6, 1840.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.5, 39.9, 74.6, 152.3, 290.2, 583.1", \ + " 30.8, 44.3, 79.4, 157.2, 295.2, 588.2", \ + " 32.6, 47.5, 82.6, 160.5, 298.6, 591.6", \ + " 34.2, 50.7, 86.9, 164.9, 303.0, 596.1", \ + " 35.8, 54.6, 94.5, 172.7, 310.8, 603.9", \ + " 36.2, 58.3, 102.8, 183.9, 322.0, 615.1", \ + " 34.8, 60.8, 112.0, 200.5, 339.1, 632.0", \ + " 30.3, 60.9, 120.7, 219.7, 364.4, 657.0", \ + " 20.7, 56.6, 127.1, 240.8, 399.7, 695.1", \ + " 2.6, 44.8, 128.2, 261.5, 440.5, 753.5" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 40.7, 76.4, 171.9, 386.9, 769.3, 1581.7", \ + " 44.6, 78.9, 172.6, 386.9, 769.2, 1581.7", \ + " 50.2, 82.9, 175.2, 387.5, 769.3, 1581.7", \ + " 59.6, 90.0, 179.8, 389.9, 769.3, 1581.7", \ + " 72.9, 105.8, 190.8, 396.4, 772.2, 1581.7", \ + " 92.5, 127.1, 210.6, 409.8, 779.8, 1583.3", \ + " 122.0, 157.8, 245.5, 435.6, 796.9, 1591.1", \ + " 164.6, 202.1, 293.5, 481.0, 830.5, 1610.5", \ + " 225.9, 266.3, 362.3, 557.4, 892.3, 1652.2", \ + " 315.6, 358.8, 462.5, 667.7, 1000.6, 1733.8" ); }} +timing() { /* ring osc delay nd2v5x6, path b to z 36.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.7 ; */ +/* intrinsic_fall : 35.8 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 36.0, 71.6, 150.7, 290.9, 588.6", \ + " 30.2, 43.7, 79.3, 158.5, 298.8, 596.6", \ + " 35.2, 50.0, 85.3, 164.5, 304.8, 602.6", \ + " 40.7, 58.0, 93.6, 172.6, 312.8, 610.6", \ + " 48.9, 69.3, 108.7, 187.0, 327.1, 624.7", \ + " 58.9, 82.6, 129.2, 208.3, 347.7, 645.1", \ + " 72.0, 99.5, 154.0, 241.1, 379.4, 676.0", \ + " 88.8, 120.9, 184.0, 286.9, 427.0, 722.0", \ + " 111.1, 148.8, 222.2, 342.5, 500.1, 792.5", \ + " 141.2, 185.8, 271.9, 411.8, 598.9, 901.6" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.0, 79.4, 179.3, 403.9, 803.3, 1651.9", \ + " 49.5, 83.5, 179.9, 403.9, 803.3, 1651.9", \ + " 57.4, 89.7, 183.3, 403.9, 803.3, 1651.9", \ + " 69.4, 99.4, 189.9, 406.3, 803.3, 1651.9", \ + " 88.0, 118.8, 204.5, 414.7, 804.7, 1651.9", \ + " 110.2, 147.6, 229.2, 431.9, 813.5, 1651.9", \ + " 141.3, 183.9, 270.2, 463.8, 834.9, 1658.2", \ + " 184.8, 232.4, 332.3, 518.2, 875.9, 1681.0", \ + " 247.0, 300.4, 413.9, 607.9, 949.9, 1731.8", \ + " 337.6, 397.8, 525.7, 747.6, 1077.7, 1829.6" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.9, 36.3, 71.1, 148.8, 286.8, 579.7", \ + " 28.7, 42.3, 77.2, 155.1, 293.1, 586.1", \ + " 31.4, 47.1, 82.0, 159.9, 297.9, 590.9", \ + " 33.8, 52.3, 88.6, 166.4, 304.4, 597.4", \ + " 36.4, 58.3, 100.3, 177.9, 315.8, 608.8", \ + " 38.2, 63.7, 113.6, 194.7, 332.3, 625.1", \ + " 38.7, 68.5, 127.1, 220.3, 357.5, 649.9", \ + " 36.9, 71.7, 139.9, 250.2, 395.1, 686.6", \ + " 31.1, 71.8, 151.4, 280.9, 450.1, 742.6", \ + " 18.7, 66.3, 159.6, 311.1, 511.9, 828.7" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.6, 74.6, 167.9, 378.1, 751.7, 1545.8", \ + " 46.8, 78.7, 168.8, 378.1, 751.7, 1545.8", \ + " 54.7, 85.2, 172.5, 378.3, 751.7, 1545.8", \ + " 66.3, 95.2, 179.6, 381.2, 751.7, 1545.8", \ + " 79.9, 114.6, 195.2, 390.6, 753.9, 1545.8", \ + " 99.4, 138.1, 220.7, 409.5, 764.2, 1546.0", \ + " 127.8, 169.7, 261.5, 444.0, 788.0, 1554.3", \ + " 168.0, 213.8, 314.4, 500.3, 833.2, 1580.6", \ + " 225.8, 276.9, 386.5, 588.6, 912.8, 1637.2", \ + " 310.6, 367.7, 489.0, 711.0, 1043.0, 1744.8" ); }} +} +} +cell(nd2v5x8) { /* 2008-01-06:07h40 characteristic delay 10.6 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 3419 ; /* nd2v5x8 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v5x8 FO4 effort 1.19 logical effort 1.26 */ +direction : input ; +capacitance : 20.80 ; +rise_capacitance : 20.05 ; +fall_capacitance : 21.56 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v5x8 */ +} +pin(b) { /* nd2v5x8 FO4 effort 1.14 logical effort 1.21 */ +direction : input ; +capacitance : 19.91 ; +rise_capacitance : 19.96 ; +fall_capacitance : 19.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2v5x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 824 ; +max_fanout : 20 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v5x8 45.67 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 22.71, 23.21, 23.57, 23.70, 23.66", \ + " 21.73, 22.27, 22.90, 23.27, 23.35", \ + " 21.88, 22.21, 22.74, 23.13, 23.25", \ + " 22.61, 22.59, 22.84, 23.13, 23.23", \ + " 24.66, 24.02, 23.57, 23.45, 23.38", \ + " 28.59, 27.06, 25.50, 24.51, 23.99", \ + " 35.61, 32.89, 29.59, 27.04, 25.52", \ + " 47.11, 42.99, 37.24, 32.20, 28.89", \ + " 65.50, 59.91, 50.99, 42.13, 35.82", \ + " 94.44, 87.39, 74.85, 60.50, 49.37" ); }} +internal_power(b_z_n) { /* nd2v5x8 34.48 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 16.54, 17.34, 17.97, 18.23, 18.25", \ + " 15.79, 16.43, 17.29, 17.86, 18.05", \ + " 16.14, 16.45, 17.13, 17.71, 17.95", \ + " 17.12, 16.96, 17.24, 17.69, 17.92", \ + " 19.50, 18.61, 18.04, 18.00, 18.05", \ + " 23.68, 21.84, 20.06, 19.05, 18.62", \ + " 30.80, 27.74, 24.21, 21.58, 20.12", \ + " 42.14, 37.66, 31.76, 26.70, 23.43", \ + " 60.07, 54.05, 45.04, 36.40, 30.22", \ + " 88.12, 80.52, 67.77, 54.00, 43.35" ); }} +timing() { /* ring osc delay nd2v5x8, path a to z 39.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.7 ; */ +/* intrinsic_fall : 33.7 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.51 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.6, 39.8, 74.0, 150.2, 285.4, 572.5", \ + " 34.6, 47.6, 81.8, 158.0, 293.3, 580.4", \ + " 40.8, 53.9, 87.9, 164.1, 299.3, 586.4", \ + " 47.4, 62.6, 96.3, 172.2, 307.3, 594.4", \ + " 57.3, 75.2, 111.5, 186.9, 321.7, 608.6", \ + " 69.4, 90.0, 132.7, 208.3, 342.5, 629.0", \ + " 85.5, 109.0, 158.8, 241.5, 374.4, 660.1", \ + " 106.5, 133.6, 190.7, 287.8, 422.4, 706.4", \ + " 135.0, 166.4, 231.9, 344.7, 496.1, 777.2", \ + " 174.3, 211.0, 286.8, 416.6, 595.2, 887.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.8, 87.9, 184.2, 400.9, 786.2, 1604.7", \ + " 58.8, 92.0, 185.1, 400.9, 786.2, 1604.7", \ + " 66.3, 98.0, 188.5, 401.1, 786.2, 1604.7", \ + " 77.6, 107.6, 195.2, 403.8, 786.2, 1604.7", \ + " 98.1, 126.6, 209.8, 412.5, 788.1, 1604.7", \ + " 121.7, 155.8, 234.4, 429.9, 797.4, 1604.8", \ + " 154.1, 193.5, 275.2, 461.9, 819.3, 1612.1", \ + " 198.4, 243.1, 337.3, 516.4, 860.8, 1636.0", \ + " 261.2, 311.9, 419.7, 605.9, 935.1, 1687.8", \ + " 352.1, 409.9, 532.3, 745.0, 1063.1, 1786.5" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.9, 38.5, 71.4, 144.8, 275.0, 551.7", \ + " 30.1, 43.0, 76.1, 149.7, 280.0, 556.7", \ + " 31.8, 46.1, 79.3, 153.0, 283.4, 560.1", \ + " 33.4, 49.1, 83.7, 157.4, 287.8, 564.6", \ + " 34.7, 52.9, 91.0, 165.1, 295.6, 572.4", \ + " 34.9, 56.2, 99.0, 176.4, 306.8, 583.6", \ + " 33.1, 58.3, 107.7, 192.6, 323.8, 600.5", \ + " 28.1, 57.7, 115.6, 210.9, 349.2, 625.6", \ + " 17.9, 52.7, 120.9, 230.8, 383.3, 663.6", \ + " -1.1, 39.7, 120.5, 249.6, 422.1, 721.8" ); } +fall_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 39.0, 72.7, 162.9, 366.0, 727.1, 1494.4", \ + " 43.1, 75.3, 163.8, 366.0, 727.1, 1494.4", \ + " 48.7, 79.5, 166.4, 366.7, 727.1, 1494.4", \ + " 58.0, 86.7, 171.2, 369.3, 727.3, 1494.4", \ + " 71.4, 102.9, 182.5, 376.1, 730.5, 1494.4", \ + " 90.8, 123.7, 202.8, 389.9, 738.6, 1496.5", \ + " 120.2, 154.3, 237.7, 416.4, 756.5, 1505.0", \ + " 162.7, 198.4, 285.4, 462.8, 791.0, 1525.5", \ + " 223.8, 262.3, 353.8, 539.4, 854.4, 1569.0", \ + " 313.3, 354.4, 453.5, 648.8, 964.5, 1653.1" ); }} +timing() { /* ring osc delay nd2v5x8, path b to z 35.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.4 ; */ +/* intrinsic_fall : 35.1 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.51 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 35.1, 69.5, 145.8, 281.0, 568.1", \ + " 29.8, 42.8, 77.1, 153.6, 288.9, 576.1", \ + " 34.7, 49.1, 83.1, 159.6, 294.9, 582.1", \ + " 40.2, 57.1, 91.5, 167.6, 302.9, 590.1", \ + " 48.3, 68.2, 106.6, 182.1, 317.1, 604.2", \ + " 58.4, 81.4, 126.9, 203.5, 337.9, 624.6", \ + " 71.6, 98.3, 151.3, 236.4, 369.6, 655.5", \ + " 88.5, 119.7, 181.1, 281.5, 417.3, 701.7", \ + " 111.0, 147.7, 219.1, 336.4, 490.6, 772.3", \ + " 141.5, 184.8, 268.6, 405.0, 587.6, 881.7" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 41.1, 77.1, 173.4, 390.0, 775.1, 1593.5", \ + " 48.7, 81.4, 174.2, 390.0, 775.1, 1593.5", \ + " 56.7, 87.7, 177.7, 390.1, 775.1, 1593.5", \ + " 68.6, 97.4, 184.4, 392.7, 775.1, 1593.5", \ + " 86.9, 116.8, 199.2, 401.4, 776.9, 1593.5", \ + " 108.8, 145.4, 224.0, 418.9, 786.2, 1593.5", \ + " 139.7, 181.2, 265.2, 451.2, 808.1, 1600.7", \ + " 182.9, 229.1, 326.6, 506.0, 849.8, 1624.6", \ + " 244.7, 296.5, 407.1, 595.9, 924.6, 1676.5", \ + " 334.8, 393.1, 517.6, 734.4, 1053.1, 1775.7" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 34.8, 67.7, 141.1, 271.4, 548.0", \ + " 27.9, 40.8, 73.8, 147.4, 277.7, 554.4", \ + " 30.4, 45.6, 78.6, 152.2, 282.5, 559.3", \ + " 32.7, 50.5, 85.2, 158.6, 289.0, 565.7", \ + " 35.0, 56.1, 96.8, 170.2, 300.4, 577.1", \ + " 36.5, 61.2, 109.4, 187.0, 317.0, 593.5", \ + " 36.7, 65.4, 122.1, 212.3, 342.2, 618.3", \ + " 34.4, 67.9, 133.9, 240.6, 379.8, 655.1", \ + " 27.8, 67.2, 144.2, 269.5, 433.3, 711.1", \ + " 14.4, 60.5, 150.9, 297.5, 491.8, 797.3" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.0, 71.0, 159.2, 357.7, 710.5, 1460.5", \ + " 45.4, 75.5, 160.2, 357.7, 710.5, 1460.5", \ + " 53.5, 82.0, 164.2, 358.0, 710.5, 1460.5", \ + " 64.6, 92.2, 171.5, 361.2, 710.5, 1460.5", \ + " 78.2, 111.9, 187.5, 371.2, 713.4, 1460.5", \ + " 97.5, 134.5, 213.3, 390.7, 724.5, 1461.0", \ + " 125.9, 165.8, 254.2, 425.9, 749.4, 1470.8", \ + " 165.9, 209.7, 305.9, 482.9, 795.8, 1498.8", \ + " 223.3, 272.5, 377.4, 571.2, 876.7, 1557.7", \ + " 307.8, 362.7, 479.3, 691.6, 1007.9, 1668.1" ); }} +} +} +cell(nd2v6x3) { /* 2008-01-06:07h40 characteristic delay 10.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1178 ; /* nd2v6x3 */ +cell_footprint : nd2 ; +pin(a) { /* nd2v6x3 FO4 effort 1.21 logical effort 1.27 */ +direction : input ; +capacitance : 7.60 ; +rise_capacitance : 7.26 ; +fall_capacitance : 7.93 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd2v6x3 */ +} +pin(b) { /* nd2v6x3 FO4 effort 1.14 logical effort 1.21 */ +direction : input ; +capacitance : 7.20 ; +rise_capacitance : 7.17 ; +fall_capacitance : 7.23 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2v6x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 265 ; +max_fanout : 8 ; +function : "(a*b)'" ; +internal_power(a_z_n) { /* nd2v6x3 17.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 8.68, 8.84, 8.97, 9.01, 8.99", \ + " 8.33, 8.52, 8.73, 8.85, 8.88", \ + " 8.39, 8.50, 8.68, 8.81, 8.84", \ + " 8.64, 8.64, 8.71, 8.80, 8.83", \ + " 9.37, 9.15, 8.98, 8.92, 8.89", \ + " 10.77, 10.24, 9.68, 9.31, 9.11", \ + " 13.27, 12.32, 11.14, 10.22, 9.66", \ + " 17.38, 15.93, 13.89, 12.08, 10.88", \ + " 23.96, 21.98, 18.82, 15.65, 13.37", \ + " 34.36, 31.85, 27.38, 22.25, 18.24" ); }} +internal_power(b_z_n) { /* nd2v6x3 12.66 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 6.07, 6.37, 6.61, 6.71, 6.72", \ + " 5.80, 6.04, 6.36, 6.57, 6.65", \ + " 5.93, 6.04, 6.30, 6.52, 6.61", \ + " 6.29, 6.23, 6.33, 6.50, 6.59", \ + " 7.16, 6.83, 6.62, 6.61, 6.63", \ + " 8.68, 8.01, 7.35, 6.99, 6.83", \ + " 11.27, 10.15, 8.86, 7.90, 7.37", \ + " 15.39, 13.76, 11.60, 9.75, 8.56", \ + " 21.90, 19.71, 16.42, 13.26, 11.02", \ + " 32.09, 29.32, 24.68, 19.65, 15.77" ); }} +timing() { /* ring osc delay nd2v6x3, path a to z 40.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.3 ; */ +/* intrinsic_fall : 31.7 ; */ +/* rise_resistance : 1.64 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.0, 46.4, 86.3, 175.2, 332.9, 667.8", \ + " 38.8, 54.0, 93.9, 182.9, 340.7, 675.7", \ + " 45.4, 60.3, 100.0, 188.9, 346.7, 681.7", \ + " 53.1, 69.2, 108.4, 197.1, 354.7, 689.7", \ + " 64.4, 83.5, 123.7, 211.7, 369.1, 703.8", \ + " 78.4, 100.4, 146.2, 233.2, 389.9, 724.3", \ + " 97.3, 122.3, 175.6, 266.6, 421.9, 755.4", \ + " 122.4, 150.9, 211.9, 316.2, 470.2, 801.8", \ + " 157.1, 189.8, 259.2, 380.4, 544.5, 873.1", \ + " 205.6, 243.6, 323.2, 462.0, 654.1, 983.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.7, 102.8, 215.2, 468.1, 917.7, 1872.7", \ + " 66.4, 105.9, 215.5, 468.1, 917.7, 1872.7", \ + " 73.2, 111.3, 218.3, 468.1, 917.7, 1872.7", \ + " 83.4, 119.9, 224.1, 469.6, 917.7, 1872.7", \ + " 103.0, 137.2, 237.1, 476.8, 918.2, 1872.7", \ + " 127.1, 164.1, 259.4, 492.1, 925.1, 1872.7", \ + " 158.5, 202.5, 297.0, 520.8, 943.8, 1876.1", \ + " 200.9, 251.0, 355.7, 570.3, 980.6, 1894.9", \ + " 260.6, 317.1, 438.0, 653.0, 1047.7, 1939.7", \ + " 346.9, 410.6, 547.6, 785.4, 1164.7, 2027.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 35.0, 64.5, 130.5, 247.7, 496.7", \ + " 27.9, 39.6, 69.4, 135.5, 252.8, 501.8", \ + " 29.5, 42.7, 72.6, 138.8, 256.2, 505.2", \ + " 30.7, 45.4, 77.0, 143.3, 260.6, 509.7", \ + " 31.4, 48.6, 83.9, 151.0, 268.4, 517.5", \ + " 30.2, 50.7, 91.1, 162.3, 279.6, 528.7", \ + " 26.2, 50.8, 98.1, 177.3, 296.6, 545.6", \ + " 17.8, 47.0, 103.3, 193.5, 321.5, 570.5", \ + " 2.3, 36.8, 103.9, 209.6, 352.4, 608.2", \ + " -24.7, 15.9, 95.9, 221.9, 385.9, 664.2" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 35.4, 65.7, 146.9, 329.7, 654.6, 1345.2", \ + " 39.9, 68.7, 148.1, 329.7, 654.6, 1345.2", \ + " 45.9, 73.1, 150.9, 330.7, 654.6, 1345.2", \ + " 54.7, 80.7, 156.1, 333.6, 655.2, 1345.2", \ + " 67.9, 96.7, 168.0, 341.2, 659.0, 1345.2", \ + " 87.0, 117.3, 189.3, 356.0, 668.1, 1348.3", \ + " 115.8, 147.6, 224.1, 384.1, 687.6, 1358.3", \ + " 157.4, 191.1, 271.4, 432.5, 724.5, 1381.2", \ + " 217.5, 254.1, 339.3, 509.4, 791.3, 1428.3", \ + " 304.2, 344.4, 438.0, 618.1, 905.8, 1517.9" ); }} +timing() { /* ring osc delay nd2v6x3, path b to z 35.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.8 ; */ +/* intrinsic_fall : 32.8 ; */ +/* rise_resistance : 1.65 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.5, 40.2, 80.3, 169.4, 327.1, 662.1", \ + " 32.4, 47.6, 87.8, 177.1, 335.0, 670.1", \ + " 38.1, 53.9, 93.7, 183.0, 340.9, 676.1", \ + " 44.3, 62.5, 102.0, 191.0, 348.9, 684.0", \ + " 53.7, 75.1, 117.1, 205.4, 363.1, 698.1", \ + " 65.5, 90.1, 139.1, 226.8, 383.8, 718.4", \ + " 81.4, 109.7, 166.7, 259.9, 415.6, 749.4", \ + " 102.5, 135.2, 200.7, 308.8, 463.6, 795.6", \ + " 131.1, 169.4, 244.8, 370.7, 537.5, 866.6", \ + " 170.9, 216.0, 303.7, 449.0, 645.3, 976.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.7, 88.8, 201.1, 453.9, 903.1, 1857.9", \ + " 53.3, 92.2, 201.4, 453.9, 903.1, 1857.9", \ + " 60.6, 97.8, 204.2, 453.9, 903.1, 1857.9", \ + " 71.4, 106.8, 210.1, 455.2, 903.1, 1857.9", \ + " 90.4, 124.6, 223.4, 462.4, 903.7, 1857.9", \ + " 111.7, 152.4, 246.1, 477.8, 910.4, 1857.9", \ + " 141.3, 188.0, 284.2, 506.9, 929.2, 1861.2", \ + " 182.5, 234.5, 343.7, 557.0, 966.3, 1879.9", \ + " 241.8, 299.2, 423.5, 640.4, 1034.0, 1924.9", \ + " 328.3, 392.0, 531.1, 773.7, 1152.0, 2013.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.9, 31.3, 60.8, 126.8, 244.0, 493.0", \ + " 25.4, 37.4, 67.0, 133.2, 250.4, 499.5", \ + " 27.5, 42.0, 71.9, 138.0, 255.3, 504.3", \ + " 29.2, 46.2, 78.5, 144.5, 261.8, 510.8", \ + " 30.5, 50.8, 89.5, 156.1, 273.2, 522.2", \ + " 30.4, 54.3, 100.5, 172.9, 289.8, 538.6", \ + " 28.0, 56.2, 110.9, 196.8, 314.9, 563.3", \ + " 21.9, 55.1, 119.4, 221.5, 352.3, 600.0", \ + " 9.6, 48.7, 124.4, 245.3, 401.4, 655.8", \ + " -12.7, 33.4, 122.8, 265.5, 451.7, 741.1" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.4, 64.1, 143.5, 322.1, 639.7, 1314.6", \ + " 42.8, 69.4, 145.1, 322.1, 639.7, 1314.6", \ + " 51.3, 76.4, 149.6, 323.0, 639.7, 1314.6", \ + " 61.2, 87.0, 157.5, 327.0, 639.8, 1314.6", \ + " 75.1, 106.5, 174.4, 338.1, 644.1, 1314.6", \ + " 94.6, 128.7, 201.1, 359.0, 656.8, 1316.3", \ + " 122.9, 160.1, 241.6, 396.0, 684.0, 1328.8", \ + " 162.5, 204.0, 293.0, 454.7, 733.4, 1360.4", \ + " 219.0, 266.4, 364.4, 543.1, 817.8, 1424.0", \ + " 301.6, 355.5, 466.1, 662.6, 952.5, 1540.9" ); }} +} +} +cell(nd2av0x05) { /* 2008-01-06:07h41 characteristic delay 12.2 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 474 ; /* nd2av0x05 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x05 FO4 effort 1.93 */ +direction : input ; +capacitance : 2.29 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.24 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x05 */ +internal_power(a) { /* nd2av0x05 5.80 nW/MHz */ +power(pwr_intran_x10) { +values( " 2.90, 2.81, 2.83, 2.90, 3.10, 3.46, 4.09, 5.12, 6.77, 9.38" ); }} +} +pin(b) { /* nd2av0x05 FO4 effort 1.28 logical effort 1.42 */ +direction : input ; +capacitance : 1.91 ; +rise_capacitance : 1.90 ; +fall_capacitance : 1.93 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x05 10.98 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 2.54, 2.59, 2.63, 2.65, 2.65", \ + " 2.51, 2.56, 2.61, 2.64, 2.64", \ + " 2.50, 2.55, 2.60, 2.63, 2.64", \ + " 2.49, 2.53, 2.59, 2.63, 2.64", \ + " 2.49, 2.52, 2.58, 2.62, 2.63", \ + " 2.50, 2.53, 2.57, 2.61, 2.63", \ + " 2.53, 2.54, 2.57, 2.61, 2.63", \ + " 2.59, 2.57, 2.58, 2.60, 2.62", \ + " 2.68, 2.64, 2.61, 2.61, 2.63", \ + " 2.85, 2.76, 2.68, 2.65, 2.64" ); }} +internal_power(b_z_n) { /* nd2av0x05 3.44 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 1.68, 1.74, 1.80, 1.82, 1.83", \ + " 1.60, 1.66, 1.73, 1.79, 1.81", \ + " 1.63, 1.65, 1.71, 1.77, 1.80", \ + " 1.70, 1.69, 1.72, 1.76, 1.79", \ + " 1.88, 1.82, 1.78, 1.79, 1.80", \ + " 2.21, 2.09, 1.95, 1.87, 1.84", \ + " 2.78, 2.57, 2.30, 2.09, 1.97", \ + " 3.70, 3.38, 2.93, 2.52, 2.25", \ + " 5.16, 4.72, 4.04, 3.34, 2.84", \ + " 7.45, 6.90, 5.93, 4.83, 3.95" ); }} +timing() { /* ring osc delay nd2av0x05, path a to z 79.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.8 ; */ +/* intrinsic_fall : 80.4 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.27 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.6, 75.2, 112.8, 196.3, 344.2, 658.2", \ + " 67.4, 81.9, 119.6, 203.2, 351.2, 665.2", \ + " 71.9, 86.4, 124.1, 207.7, 355.7, 669.8", \ + " 76.6, 91.0, 128.7, 212.3, 360.4, 674.5", \ + " 81.9, 96.5, 134.2, 217.8, 365.8, 679.9", \ + " 86.8, 101.4, 139.1, 222.7, 370.7, 684.9", \ + " 91.2, 105.8, 143.3, 227.1, 375.1, 689.2", \ + " 93.9, 108.8, 146.5, 230.0, 378.2, 692.2", \ + " 93.3, 108.8, 147.0, 231.0, 379.1, 693.2", \ + " 86.5, 102.9, 142.3, 227.6, 376.5, 690.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.6, 108.0, 208.9, 437.3, 843.7, 1707.2", \ + " 70.8, 108.1, 208.9, 437.3, 843.7, 1707.2", \ + " 71.3, 108.4, 209.0, 437.3, 843.7, 1707.2", \ + " 72.8, 109.4, 209.4, 437.3, 843.7, 1707.2", \ + " 75.2, 111.2, 210.5, 437.8, 843.7, 1707.2", \ + " 77.9, 113.7, 211.9, 438.5, 844.2, 1707.2", \ + " 82.3, 117.1, 214.3, 439.6, 844.8, 1707.6", \ + " 88.7, 122.9, 218.2, 441.8, 845.9, 1708.2", \ + " 97.9, 131.7, 225.7, 446.5, 848.7, 1709.4", \ + " 110.8, 144.5, 237.8, 456.0, 855.3, 1713.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.0, 71.2, 98.5, 157.7, 262.4, 484.8", \ + " 67.7, 78.9, 106.2, 165.4, 270.2, 492.6", \ + " 73.9, 85.1, 112.5, 171.8, 276.5, 498.9", \ + " 81.7, 93.0, 120.4, 179.7, 284.5, 506.9", \ + " 92.9, 104.6, 132.3, 191.7, 296.5, 518.9", \ + " 106.5, 118.5, 146.6, 206.2, 311.0, 533.4", \ + " 124.2, 136.6, 165.2, 225.1, 330.0, 552.4", \ + " 147.1, 160.2, 189.5, 249.6, 354.7, 577.1", \ + " 177.6, 191.7, 222.2, 283.0, 388.0, 610.6", \ + " 218.7, 234.3, 266.7, 328.7, 434.1, 656.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.2, 67.4, 133.8, 285.7, 557.8, 1137.7", \ + " 43.3, 67.5, 133.8, 285.7, 557.8, 1137.7", \ + " 43.6, 67.8, 133.9, 285.7, 557.8, 1137.7", \ + " 44.8, 68.5, 134.3, 285.8, 557.9, 1137.7", \ + " 47.8, 70.9, 135.6, 286.4, 558.0, 1137.7", \ + " 51.0, 73.8, 137.6, 287.4, 558.4, 1137.7", \ + " 55.4, 77.7, 140.4, 288.9, 559.1, 1138.0", \ + " 61.5, 83.3, 144.3, 291.2, 560.2, 1138.4", \ + " 70.2, 91.7, 151.0, 294.9, 562.1, 1139.0", \ + " 82.6, 103.9, 161.7, 302.0, 566.1, 1140.7" ); }} +timing() { /* ring osc delay nd2av0x05, path b to z 42.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 34.7 ; */ +/* rise_resistance : 7.41 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.9, 42.6, 80.4, 164.0, 311.9, 626.0", \ + " 35.6, 49.9, 87.7, 171.6, 319.7, 633.9", \ + " 41.8, 56.1, 93.6, 177.4, 325.6, 639.9", \ + " 48.5, 64.9, 101.8, 185.4, 333.6, 647.8", \ + " 58.6, 77.9, 117.0, 199.9, 347.7, 661.9", \ + " 71.3, 93.5, 139.0, 221.3, 368.5, 682.2", \ + " 88.3, 113.7, 166.7, 254.6, 400.4, 713.2", \ + " 110.9, 140.2, 200.9, 303.1, 448.6, 759.6", \ + " 141.6, 175.7, 245.5, 364.3, 523.0, 830.9", \ + " 184.3, 224.4, 305.5, 442.3, 629.2, 941.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.1, 93.6, 198.9, 435.8, 857.0, 1752.1", \ + " 59.8, 96.5, 199.1, 435.8, 857.0, 1752.1", \ + " 66.7, 102.0, 201.9, 435.8, 857.0, 1752.1", \ + " 77.0, 110.7, 207.8, 437.4, 857.0, 1752.1", \ + " 97.0, 128.1, 221.1, 444.8, 857.8, 1752.1", \ + " 118.9, 155.3, 243.6, 460.5, 865.3, 1752.1", \ + " 149.0, 191.7, 281.4, 489.9, 884.8, 1756.6", \ + " 190.4, 238.2, 340.5, 540.2, 922.7, 1776.9", \ + " 249.9, 302.5, 418.9, 623.3, 991.2, 1823.7", \ + " 336.4, 394.7, 524.8, 755.2, 1109.8, 1914.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.3, 31.4, 57.9, 117.0, 221.7, 444.0", \ + " 27.1, 37.6, 64.2, 123.3, 228.1, 450.5", \ + " 29.6, 42.3, 69.0, 128.2, 232.9, 455.3", \ + " 31.5, 46.5, 75.6, 134.7, 239.4, 461.8", \ + " 33.1, 51.0, 86.2, 146.2, 250.9, 473.2", \ + " 33.2, 54.4, 96.5, 163.0, 267.4, 489.6", \ + " 31.1, 56.1, 105.9, 185.5, 292.6, 514.4", \ + " 25.1, 54.5, 113.1, 207.9, 329.9, 551.1", \ + " 12.7, 47.3, 116.5, 228.6, 374.5, 607.0", \ + " -10.1, 30.7, 112.6, 245.1, 419.0, 690.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.4, 65.1, 136.1, 295.7, 579.3, 1181.9", \ + " 46.3, 70.4, 138.0, 295.7, 579.3, 1181.9", \ + " 54.5, 77.3, 142.6, 296.9, 579.3, 1181.9", \ + " 65.8, 87.9, 150.8, 301.4, 579.6, 1181.9", \ + " 79.8, 107.7, 167.9, 313.2, 585.0, 1181.9", \ + " 99.7, 129.8, 194.9, 335.0, 599.1, 1185.0", \ + " 128.5, 161.3, 234.7, 372.9, 627.9, 1200.0", \ + " 168.9, 205.3, 285.5, 432.3, 679.4, 1234.6", \ + " 226.2, 267.8, 356.3, 519.1, 765.6, 1302.1", \ + " 309.4, 357.1, 457.2, 636.2, 901.6, 1423.7" ); }} +} +} +cell(nd2av0x1) { /* 2008-01-06:07h41 characteristic delay 11.7 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 705 ; /* nd2av0x1 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x1 FO4 effort 1.70 */ +direction : input ; +capacitance : 2.79 ; +rise_capacitance : 2.85 ; +fall_capacitance : 2.74 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x1 */ +internal_power(a) { /* nd2av0x1 8.10 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.06, 3.94, 3.96, 4.05, 4.29, 4.74, 5.53, 6.82, 8.92, 12.25" ); }} +} +pin(b) { /* nd2av0x1 FO4 effort 1.23 logical effort 1.37 */ +direction : input ; +capacitance : 3.20 ; +rise_capacitance : 3.17 ; +fall_capacitance : 3.23 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 104 ; +max_fanout : 4 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x1 16.29 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 4.00, 4.08, 4.16, 4.20, 4.21", \ + " 3.96, 4.04, 4.13, 4.18, 4.19", \ + " 3.95, 4.02, 4.11, 4.17, 4.19", \ + " 3.94, 4.00, 4.09, 4.16, 4.18", \ + " 3.96, 4.00, 4.08, 4.15, 4.18", \ + " 3.99, 4.02, 4.07, 4.14, 4.18", \ + " 4.06, 4.06, 4.08, 4.14, 4.17", \ + " 4.19, 4.13, 4.12, 4.14, 4.17", \ + " 4.39, 4.28, 4.19, 4.17, 4.18", \ + " 4.74, 4.54, 4.35, 4.25, 4.22" ); }} +internal_power(b_z_n) { /* nd2av0x1 5.48 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 2.65, 2.77, 2.88, 2.93, 2.94", \ + " 2.53, 2.62, 2.76, 2.86, 2.90", \ + " 2.57, 2.62, 2.73, 2.83, 2.88", \ + " 2.71, 2.69, 2.74, 2.82, 2.87", \ + " 3.04, 2.93, 2.86, 2.86, 2.89", \ + " 3.63, 3.41, 3.17, 3.02, 2.97", \ + " 4.65, 4.27, 3.79, 3.42, 3.20", \ + " 6.26, 5.71, 4.92, 4.20, 3.72", \ + " 8.82, 8.08, 6.89, 5.67, 4.77", \ + " 12.82, 11.89, 10.24, 8.32, 6.78" ); }} +timing() { /* ring osc delay nd2av0x1, path a to z 78.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.3 ; */ +/* intrinsic_fall : 78.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.08 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.1, 75.6, 110.1, 186.5, 321.8, 608.9", \ + " 69.0, 82.4, 117.0, 193.4, 328.7, 615.9", \ + " 74.0, 87.4, 121.9, 198.4, 333.8, 620.9", \ + " 79.6, 92.9, 127.4, 203.9, 339.2, 626.4", \ + " 86.5, 100.0, 134.4, 210.8, 346.2, 633.4", \ + " 93.3, 106.7, 141.2, 217.6, 352.9, 640.1", \ + " 100.1, 113.7, 148.0, 224.5, 359.7, 646.8", \ + " 106.2, 120.1, 154.6, 230.8, 366.3, 653.2", \ + " 110.5, 125.1, 160.2, 236.7, 372.0, 659.1", \ + " 110.8, 126.4, 162.8, 240.5, 376.5, 663.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.5, 100.3, 191.9, 400.3, 771.8, 1561.3", \ + " 66.6, 100.4, 192.0, 400.3, 771.8, 1561.3", \ + " 67.1, 100.6, 192.0, 400.4, 771.8, 1561.3", \ + " 68.6, 101.7, 192.5, 400.4, 771.8, 1561.3", \ + " 71.5, 103.9, 193.8, 400.9, 771.9, 1561.3", \ + " 74.7, 106.8, 195.6, 401.7, 772.3, 1561.3", \ + " 79.4, 110.7, 198.4, 403.1, 773.0, 1561.7", \ + " 86.2, 116.9, 202.8, 405.6, 774.1, 1562.3", \ + " 95.9, 126.3, 210.8, 410.7, 777.1, 1563.6", \ + " 109.4, 139.9, 223.6, 420.9, 784.1, 1567.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 68.7, 94.3, 149.6, 247.4, 454.9", \ + " 65.8, 76.4, 102.1, 157.4, 255.2, 462.8", \ + " 71.9, 82.6, 108.3, 163.7, 261.5, 469.0", \ + " 79.6, 90.3, 116.0, 171.5, 269.3, 476.9", \ + " 90.6, 101.7, 127.7, 183.2, 281.1, 488.7", \ + " 103.6, 115.0, 141.5, 197.3, 295.1, 502.7", \ + " 120.2, 132.2, 159.2, 215.2, 313.1, 520.7", \ + " 141.3, 154.0, 181.8, 238.1, 336.2, 543.8", \ + " 168.8, 182.5, 211.6, 268.6, 366.7, 574.4", \ + " 205.0, 220.1, 251.3, 309.7, 408.1, 615.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.8, 63.3, 124.9, 266.4, 520.2, 1061.4", \ + " 40.9, 63.3, 124.9, 266.4, 520.2, 1061.4", \ + " 41.2, 63.5, 125.0, 266.4, 520.2, 1061.4", \ + " 42.5, 64.4, 125.4, 266.6, 520.3, 1061.4", \ + " 45.6, 67.0, 126.9, 267.2, 520.4, 1061.4", \ + " 49.2, 70.2, 129.1, 268.3, 520.9, 1061.4", \ + " 54.0, 74.6, 132.2, 270.0, 521.7, 1061.7", \ + " 60.7, 80.8, 136.8, 272.6, 522.9, 1062.1", \ + " 70.2, 89.9, 144.2, 276.9, 525.2, 1062.9", \ + " 83.5, 103.0, 156.0, 284.9, 529.7, 1064.8" ); }} +timing() { /* ring osc delay nd2av0x1, path b to z 40.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.7 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.8, 39.4, 74.1, 150.6, 285.9, 573.0", \ + " 33.6, 46.7, 81.3, 158.1, 293.7, 581.0", \ + " 39.5, 53.0, 87.3, 164.0, 299.6, 586.9", \ + " 45.9, 61.6, 95.6, 172.0, 307.5, 594.9", \ + " 55.4, 73.9, 110.8, 186.5, 321.7, 608.9", \ + " 67.6, 88.7, 132.1, 208.0, 342.4, 629.3", \ + " 83.9, 108.2, 158.5, 241.4, 374.5, 660.4", \ + " 105.5, 133.6, 191.3, 288.4, 422.9, 706.9", \ + " 135.1, 167.8, 234.2, 346.8, 497.6, 778.5", \ + " 176.1, 214.7, 292.0, 421.6, 599.0, 889.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.7, 84.8, 181.0, 397.6, 782.7, 1601.1", \ + " 54.9, 88.1, 181.4, 397.6, 782.7, 1601.1", \ + " 62.0, 93.9, 184.6, 397.6, 782.7, 1601.1", \ + " 72.7, 103.0, 190.9, 399.8, 782.7, 1601.1", \ + " 92.1, 120.9, 204.8, 408.1, 784.2, 1601.1", \ + " 113.4, 148.9, 228.2, 424.7, 792.9, 1601.1", \ + " 142.8, 183.3, 266.8, 455.4, 814.0, 1607.7", \ + " 183.9, 228.8, 325.6, 507.3, 853.9, 1630.7", \ + " 243.0, 292.4, 402.0, 592.1, 925.0, 1680.7", \ + " 329.2, 383.8, 505.9, 723.4, 1046.8, 1775.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.3, 29.8, 54.6, 109.7, 207.5, 415.0", \ + " 25.9, 36.0, 60.8, 116.1, 213.9, 421.5", \ + " 28.0, 40.4, 65.7, 120.9, 218.7, 426.3", \ + " 29.7, 44.2, 72.3, 127.4, 225.2, 432.8", \ + " 30.9, 48.3, 82.3, 139.0, 236.7, 444.2", \ + " 30.7, 51.2, 91.8, 155.8, 253.3, 460.6", \ + " 28.2, 52.3, 100.3, 177.0, 278.5, 485.4", \ + " 21.8, 50.2, 106.6, 197.8, 315.3, 522.2", \ + " 9.1, 42.5, 109.1, 216.7, 357.1, 578.2", \ + " -13.8, 25.5, 104.1, 231.3, 398.3, 659.4" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.2, 60.2, 126.5, 275.5, 540.2, 1102.6", \ + " 43.4, 65.8, 128.6, 275.4, 540.2, 1102.6", \ + " 52.0, 72.9, 133.5, 277.0, 540.1, 1102.6", \ + " 62.5, 83.7, 141.9, 281.9, 540.8, 1102.6", \ + " 76.1, 102.8, 159.4, 294.2, 546.9, 1102.6", \ + " 95.7, 124.5, 186.7, 316.6, 561.9, 1106.8", \ + " 124.1, 155.5, 225.4, 355.1, 591.8, 1123.3", \ + " 163.9, 198.9, 275.2, 414.9, 644.5, 1159.8", \ + " 220.6, 260.5, 345.0, 499.7, 731.5, 1229.6", \ + " 303.2, 348.7, 444.6, 614.7, 867.4, 1353.6" ); }} +} +} +cell(nd2av0x2) { /* 2008-01-06:07h41 characteristic delay 10.6 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 1086 ; /* nd2av0x2 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x2 FO4 effort 1.56 */ +direction : input ; +capacitance : 3.43 ; +rise_capacitance : 3.50 ; +fall_capacitance : 3.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x2 */ +internal_power(a) { /* nd2av0x2 11.21 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.62, 5.48, 5.50, 5.61, 5.91, 6.47, 7.46, 9.09, 11.76, 16.01" ); }} +} +pin(b) { /* nd2av0x2 FO4 effort 1.16 logical effort 1.23 */ +direction : input ; +capacitance : 4.79 ; +rise_capacitance : 4.78 ; +fall_capacitance : 4.80 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 178 ; +max_fanout : 6 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x2 23.91 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 6.18, 6.32, 6.44, 6.49, 6.48", \ + " 6.14, 6.26, 6.40, 6.47, 6.47", \ + " 6.11, 6.23, 6.37, 6.45, 6.46", \ + " 6.11, 6.21, 6.35, 6.44, 6.46", \ + " 6.15, 6.21, 6.33, 6.42, 6.45", \ + " 6.23, 6.25, 6.33, 6.42, 6.45", \ + " 6.36, 6.32, 6.35, 6.41, 6.45", \ + " 6.59, 6.46, 6.41, 6.43, 6.45", \ + " 6.96, 6.72, 6.54, 6.48, 6.47", \ + " 7.59, 7.16, 6.80, 6.61, 6.54" ); }} +internal_power(b_z_n) { /* nd2av0x2 8.61 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 4.15, 4.34, 4.50, 4.56, 4.57", \ + " 3.96, 4.12, 4.33, 4.47, 4.52", \ + " 4.03, 4.12, 4.29, 4.43, 4.49", \ + " 4.26, 4.23, 4.31, 4.42, 4.48", \ + " 4.81, 4.61, 4.48, 4.48, 4.50", \ + " 5.79, 5.36, 4.95, 4.72, 4.63", \ + " 7.46, 6.74, 5.91, 5.30, 4.97", \ + " 10.12, 9.06, 7.67, 6.48, 5.73", \ + " 14.34, 12.91, 10.77, 8.74, 7.29", \ + " 20.96, 19.14, 16.11, 12.84, 10.34" ); }} +timing() { /* ring osc delay nd2av0x2, path a to z 77.4 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.4 ; */ +/* intrinsic_fall : 81.3 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.94 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 78.0, 118.1, 207.1, 364.8, 699.7", \ + " 69.3, 84.9, 125.0, 214.1, 371.8, 706.8", \ + " 74.5, 90.0, 130.1, 219.2, 377.0, 712.0", \ + " 80.4, 95.9, 135.9, 225.0, 382.8, 717.8", \ + " 87.9, 103.6, 143.5, 232.5, 390.3, 725.2", \ + " 95.3, 111.0, 151.0, 239.9, 397.6, 732.5", \ + " 102.7, 118.6, 158.5, 247.4, 405.0, 739.9", \ + " 109.6, 125.9, 165.9, 254.6, 412.2, 747.0", \ + " 114.7, 131.8, 172.5, 261.3, 418.9, 753.6", \ + " 116.1, 134.3, 176.3, 266.4, 424.3, 759.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.5, 104.7, 211.5, 454.6, 888.0, 1808.9", \ + " 65.7, 104.8, 211.5, 454.6, 888.0, 1808.9", \ + " 66.1, 105.0, 211.6, 454.6, 888.0, 1808.9", \ + " 67.6, 106.0, 211.9, 454.6, 888.0, 1808.9", \ + " 70.6, 108.3, 213.1, 455.0, 888.0, 1808.9", \ + " 74.2, 111.4, 214.9, 455.8, 888.3, 1808.9", \ + " 79.3, 115.5, 217.7, 456.9, 888.9, 1809.2", \ + " 86.5, 121.9, 222.1, 459.2, 889.8, 1809.7", \ + " 96.7, 131.8, 230.0, 464.0, 892.3, 1810.7", \ + " 110.9, 146.0, 243.0, 473.5, 898.5, 1813.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.9, 74.2, 106.3, 176.0, 299.5, 561.6", \ + " 68.7, 82.0, 114.1, 183.8, 307.3, 569.4", \ + " 74.9, 88.2, 120.3, 190.1, 313.6, 575.8", \ + " 82.8, 96.1, 128.3, 198.2, 321.6, 583.8", \ + " 94.5, 108.2, 140.6, 210.4, 333.9, 596.1", \ + " 108.1, 122.3, 155.1, 225.1, 348.6, 610.8", \ + " 125.5, 140.3, 173.6, 243.8, 367.3, 629.5", \ + " 147.5, 163.1, 197.2, 267.6, 391.2, 653.3", \ + " 176.1, 192.8, 228.2, 299.1, 422.7, 684.9", \ + " 213.7, 232.1, 269.5, 341.6, 465.3, 727.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.3, 71.7, 149.6, 328.7, 649.7, 1333.4", \ + " 43.4, 71.7, 149.7, 328.7, 649.7, 1333.4", \ + " 43.7, 71.9, 149.7, 328.8, 649.7, 1333.4", \ + " 44.8, 72.6, 150.0, 328.8, 649.7, 1333.4", \ + " 48.0, 75.0, 151.3, 329.2, 649.8, 1333.4", \ + " 51.8, 78.4, 153.3, 330.2, 650.1, 1333.4", \ + " 56.9, 82.8, 156.3, 331.7, 650.7, 1333.6", \ + " 63.8, 89.1, 160.6, 333.9, 651.6, 1333.9", \ + " 73.6, 98.4, 167.6, 337.6, 653.4, 1334.4", \ + " 87.3, 111.6, 178.9, 344.6, 657.1, 1335.7" ); }} +timing() { /* ring osc delay nd2av0x2, path b to z 36.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.1 ; */ +/* intrinsic_fall : 33.9 ; */ +/* rise_resistance : 2.47 ; */ +/* fall_resistance : 1.95 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 40.5, 80.7, 169.7, 327.5, 662.4", \ + " 32.7, 47.9, 88.1, 177.4, 335.3, 670.4", \ + " 38.5, 54.2, 94.0, 183.3, 341.3, 676.4", \ + " 44.6, 62.9, 102.3, 191.3, 349.2, 684.3", \ + " 54.0, 75.4, 117.4, 205.8, 363.4, 698.4", \ + " 65.6, 90.3, 139.3, 227.1, 384.1, 718.7", \ + " 81.3, 109.6, 166.8, 260.1, 415.8, 749.6", \ + " 101.8, 134.6, 200.4, 308.7, 463.6, 795.8", \ + " 129.6, 168.0, 243.8, 370.2, 537.3, 866.5", \ + " 168.0, 213.2, 301.5, 447.6, 644.6, 976.4" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.6, 89.7, 202.0, 454.7, 904.0, 1858.8", \ + " 54.1, 93.0, 202.3, 454.7, 904.0, 1858.8", \ + " 61.4, 98.6, 205.0, 454.7, 904.0, 1858.8", \ + " 72.3, 107.7, 210.9, 456.1, 904.0, 1858.8", \ + " 91.6, 125.6, 224.3, 463.3, 904.5, 1858.8", \ + " 113.3, 153.6, 247.2, 478.7, 911.3, 1858.8", \ + " 143.3, 189.9, 285.6, 508.0, 930.1, 1862.1", \ + " 185.2, 237.1, 345.8, 558.5, 967.5, 1880.9", \ + " 245.3, 302.7, 426.6, 642.7, 1035.7, 1926.1", \ + " 332.9, 396.8, 535.7, 777.5, 1154.8, 2015.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.8, 32.8, 63.9, 133.5, 256.9, 519.1", \ + " 26.6, 39.0, 70.2, 139.9, 263.4, 525.6", \ + " 28.9, 43.8, 75.0, 144.8, 268.3, 530.4", \ + " 30.9, 48.3, 81.6, 151.3, 274.8, 536.9", \ + " 32.5, 53.3, 93.0, 162.8, 286.2, 548.3", \ + " 32.9, 57.4, 104.7, 179.6, 302.7, 564.7", \ + " 31.3, 60.1, 116.0, 204.1, 327.8, 589.4", \ + " 26.2, 60.0, 125.6, 230.4, 365.2, 626.1", \ + " 15.4, 55.1, 132.3, 256.1, 416.4, 681.9", \ + " -4.7, 42.0, 133.0, 279.0, 470.0, 767.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.9, 68.4, 152.2, 340.4, 674.8, 1385.2", \ + " 44.9, 73.4, 153.6, 340.3, 674.7, 1385.2", \ + " 53.2, 80.2, 157.8, 340.9, 674.7, 1385.2", \ + " 64.1, 90.6, 165.5, 344.6, 674.7, 1385.2", \ + " 77.9, 110.6, 181.9, 355.1, 678.3, 1385.2", \ + " 97.6, 132.9, 208.2, 375.4, 690.2, 1386.2", \ + " 126.1, 164.6, 249.1, 411.6, 716.3, 1397.4", \ + " 166.2, 208.7, 301.0, 469.7, 764.5, 1427.3", \ + " 223.4, 271.6, 373.0, 558.7, 847.6, 1488.8", \ + " 306.8, 361.6, 475.3, 679.3, 981.2, 1603.0" ); }} +} +} +cell(nd2av0x3) { /* 2008-01-06:07h41 characteristic delay 10.4 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1559 ; /* nd2av0x3 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x3 FO4 effort 1.55 */ +direction : input ; +capacitance : 4.20 ; +rise_capacitance : 4.29 ; +fall_capacitance : 4.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x3 */ +internal_power(a) { /* nd2av0x3 15.70 nW/MHz */ +power(pwr_intran_x10) { +values( " 7.89, 7.72, 7.74, 7.85, 8.18, 8.82, 9.98, 11.91, 15.09, 20.20" ); }} +} +pin(b) { /* nd2av0x3 FO4 effort 1.14 logical effort 1.21 */ +direction : input ; +capacitance : 7.23 ; +rise_capacitance : 7.21 ; +fall_capacitance : 7.26 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 268 ; +max_fanout : 8 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x3 35.08 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 9.41, 9.61, 9.82, 9.92, 9.92", \ + " 9.35, 9.55, 9.77, 9.89, 9.90", \ + " 9.33, 9.50, 9.73, 9.86, 9.89", \ + " 9.34, 9.48, 9.69, 9.84, 9.88", \ + " 9.42, 9.49, 9.67, 9.82, 9.87", \ + " 9.57, 9.57, 9.68, 9.81, 9.87", \ + " 9.83, 9.72, 9.72, 9.81, 9.87", \ + " 10.26, 9.98, 9.84, 9.85, 9.88", \ + " 10.93, 10.44, 10.08, 9.95, 9.92", \ + " 12.02, 11.22, 10.55, 10.19, 10.05" ); }} +internal_power(b_z_n) { /* nd2av0x3 12.71 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 6.09, 6.40, 6.64, 6.74, 6.75", \ + " 5.82, 6.06, 6.38, 6.60, 6.67", \ + " 5.95, 6.07, 6.32, 6.54, 6.64", \ + " 6.31, 6.25, 6.35, 6.52, 6.62", \ + " 7.18, 6.85, 6.64, 6.63, 6.66", \ + " 8.71, 8.03, 7.38, 7.01, 6.86", \ + " 11.30, 10.18, 8.88, 7.92, 7.39", \ + " 15.42, 13.78, 11.62, 9.77, 8.59", \ + " 21.92, 19.73, 16.44, 13.29, 11.04", \ + " 32.11, 29.34, 24.71, 19.67, 15.79" ); }} +timing() { /* ring osc delay nd2av0x3, path a to z 82.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 86.2 ; */ +/* rise_resistance : 1.65 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.1, 82.9, 123.1, 212.2, 370.0, 705.0", \ + " 74.1, 89.9, 130.1, 219.3, 377.1, 712.1", \ + " 79.5, 95.2, 135.4, 224.6, 382.4, 717.4", \ + " 86.0, 101.6, 141.8, 230.9, 388.7, 723.7", \ + " 94.7, 110.4, 150.4, 239.4, 397.2, 732.2", \ + " 103.3, 119.1, 159.1, 247.9, 405.7, 740.7", \ + " 112.1, 128.1, 168.0, 256.8, 414.4, 749.3", \ + " 120.5, 137.0, 176.9, 265.4, 423.0, 757.7", \ + " 127.6, 144.8, 185.4, 273.9, 431.2, 765.8", \ + " 131.4, 149.7, 191.6, 281.0, 438.5, 773.0" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.7, 106.6, 212.9, 455.6, 888.9, 1809.9", \ + " 67.8, 106.6, 212.9, 455.6, 888.9, 1809.9", \ + " 68.1, 106.8, 213.0, 455.6, 888.9, 1809.9", \ + " 69.3, 107.6, 213.2, 455.7, 888.9, 1809.9", \ + " 72.5, 110.0, 214.4, 455.9, 888.9, 1809.9", \ + " 76.6, 113.5, 216.5, 456.8, 889.2, 1809.9", \ + " 82.0, 118.1, 219.6, 458.1, 889.8, 1810.1", \ + " 89.6, 124.9, 224.3, 460.5, 890.7, 1810.6", \ + " 100.3, 135.1, 232.5, 465.4, 893.1, 1811.5", \ + " 115.1, 150.0, 245.9, 475.0, 899.1, 1814.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.8, 77.9, 108.9, 175.3, 292.6, 541.6", \ + " 72.5, 85.6, 116.6, 183.0, 300.3, 549.4", \ + " 78.8, 91.9, 122.9, 189.3, 306.7, 555.7", \ + " 87.0, 100.1, 131.1, 197.6, 315.0, 564.0", \ + " 99.6, 113.0, 144.2, 210.7, 328.1, 577.1", \ + " 114.2, 128.2, 159.9, 226.5, 343.8, 592.9", \ + " 132.7, 147.3, 179.6, 246.5, 363.8, 612.9", \ + " 155.8, 171.4, 204.5, 271.7, 389.1, 638.1", \ + " 185.9, 202.6, 237.2, 305.0, 422.3, 671.3", \ + " 225.4, 243.7, 280.5, 349.6, 467.1, 716.0" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.7, 70.3, 143.7, 313.0, 617.5, 1266.7", \ + " 43.8, 70.4, 143.7, 313.1, 617.5, 1266.7", \ + " 44.0, 70.5, 143.7, 313.1, 617.5, 1266.7", \ + " 44.9, 71.1, 144.0, 313.1, 617.5, 1266.7", \ + " 48.3, 73.6, 145.2, 313.5, 617.6, 1266.7", \ + " 52.8, 77.6, 147.7, 314.7, 617.9, 1266.8", \ + " 58.5, 82.7, 151.4, 316.5, 618.7, 1266.9", \ + " 66.1, 89.8, 156.4, 319.2, 619.9, 1267.3", \ + " 76.8, 99.8, 164.3, 323.6, 622.0, 1267.9", \ + " 91.6, 114.1, 176.8, 331.4, 626.2, 1269.4" ); }} +timing() { /* ring osc delay nd2av0x3, path b to z 35.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.8 ; */ +/* intrinsic_fall : 32.8 ; */ +/* rise_resistance : 1.65 ; */ +/* fall_resistance : 1.23 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.4, 40.1, 80.4, 169.4, 327.2, 662.2", \ + " 32.4, 47.5, 87.8, 177.1, 335.1, 670.1", \ + " 38.1, 53.8, 93.7, 183.0, 341.0, 676.1", \ + " 44.3, 62.5, 102.0, 191.0, 349.0, 684.1", \ + " 53.7, 75.1, 117.2, 205.5, 363.1, 698.1", \ + " 65.5, 90.2, 139.1, 226.8, 383.8, 718.5", \ + " 81.5, 109.8, 166.7, 259.9, 415.6, 749.4", \ + " 102.5, 135.2, 200.7, 308.8, 463.6, 795.7", \ + " 131.2, 169.4, 244.8, 370.7, 537.6, 866.6", \ + " 171.1, 216.1, 303.8, 449.0, 645.4, 976.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.9, 89.0, 201.3, 454.0, 903.3, 1858.1", \ + " 53.5, 92.4, 201.6, 454.0, 903.3, 1858.1", \ + " 60.8, 98.0, 204.4, 454.0, 903.3, 1858.1", \ + " 71.6, 107.0, 210.3, 455.4, 903.3, 1858.1", \ + " 90.6, 124.7, 223.6, 462.6, 903.8, 1858.1", \ + " 111.9, 152.5, 246.3, 478.0, 910.6, 1858.1", \ + " 141.5, 188.3, 284.4, 507.1, 929.3, 1861.4", \ + " 182.8, 234.8, 343.9, 557.2, 966.4, 1880.1", \ + " 242.1, 299.5, 423.7, 640.5, 1034.1, 1925.1", \ + " 328.7, 392.3, 531.3, 773.8, 1152.1, 2013.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 31.1, 60.7, 126.8, 244.1, 493.1", \ + " 25.3, 37.3, 67.0, 133.2, 250.6, 499.6", \ + " 27.5, 42.0, 71.9, 138.1, 255.4, 504.5", \ + " 29.2, 46.2, 78.5, 144.6, 261.9, 511.0", \ + " 30.5, 50.9, 89.5, 156.2, 273.4, 522.4", \ + " 30.4, 54.4, 100.6, 173.0, 289.9, 538.8", \ + " 28.0, 56.3, 111.0, 196.8, 315.1, 563.6", \ + " 22.0, 55.2, 119.5, 221.7, 352.4, 600.2", \ + " 9.7, 48.9, 124.6, 245.4, 401.5, 656.0", \ + " -12.5, 33.6, 123.0, 265.7, 451.9, 741.2" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.7, 64.7, 144.4, 323.3, 641.0, 1315.9", \ + " 43.1, 70.1, 146.1, 323.2, 641.0, 1315.9", \ + " 51.6, 77.1, 150.5, 324.1, 640.9, 1315.9", \ + " 61.7, 87.6, 158.5, 328.0, 640.9, 1315.9", \ + " 75.5, 107.2, 175.2, 339.1, 645.2, 1315.9", \ + " 95.0, 129.2, 201.8, 359.9, 657.9, 1317.5", \ + " 123.4, 160.6, 242.2, 396.8, 685.0, 1329.9", \ + " 163.0, 204.5, 293.5, 455.4, 734.3, 1361.4", \ + " 219.6, 266.8, 364.9, 543.7, 818.6, 1425.0", \ + " 302.3, 356.0, 466.6, 663.1, 953.1, 1541.8" ); }} +} +} +cell(nd2av0x4) { /* 2008-01-06:07h42 characteristic delay 10.3 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 2044 ; /* nd2av0x4 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x4 FO4 effort 1.53 */ +direction : input ; +capacitance : 5.09 ; +rise_capacitance : 5.22 ; +fall_capacitance : 4.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x4 */ +internal_power(a) { /* nd2av0x4 20.25 nW/MHz */ +power(pwr_intran_x10) { +values( " 10.18, 9.97, 9.99, 10.13, 10.54, 11.33, 12.76, 15.15, 19.09, 25.45" ); }} +} +pin(b) { /* nd2av0x4 FO4 effort 1.12 logical effort 1.20 */ +direction : input ; +capacitance : 9.50 ; +rise_capacitance : 9.47 ; +fall_capacitance : 9.54 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 358 ; +max_fanout : 10 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x4 45.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 12.14, 12.40, 12.67, 12.79, 12.79", \ + " 12.08, 12.33, 12.61, 12.76, 12.78", \ + " 12.04, 12.27, 12.56, 12.73, 12.76", \ + " 12.07, 12.24, 12.51, 12.70, 12.75", \ + " 12.18, 12.27, 12.48, 12.67, 12.73", \ + " 12.41, 12.38, 12.50, 12.67, 12.74", \ + " 12.79, 12.59, 12.57, 12.68, 12.74", \ + " 13.38, 12.97, 12.75, 12.73, 12.75", \ + " 14.33, 13.60, 13.08, 12.88, 12.82", \ + " 15.84, 14.69, 13.72, 13.21, 13.00" ); }} +internal_power(b_z_n) { /* nd2av0x4 16.21 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 7.73, 8.15, 8.48, 8.61, 8.62", \ + " 7.39, 7.70, 8.13, 8.42, 8.52", \ + " 7.58, 7.72, 8.05, 8.35, 8.47", \ + " 8.08, 7.98, 8.11, 8.33, 8.45", \ + " 9.26, 8.79, 8.50, 8.47, 8.50", \ + " 11.31, 10.38, 9.48, 8.98, 8.77", \ + " 14.80, 13.26, 11.51, 10.21, 9.49", \ + " 20.32, 18.10, 15.17, 12.68, 11.09", \ + " 29.03, 26.06, 21.62, 17.38, 14.37", \ + " 42.64, 38.90, 32.66, 25.91, 20.71" ); }} +timing() { /* ring osc delay nd2av0x4, path a to z 82.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.9 ; */ +/* intrinsic_fall : 87.7 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.92 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.6, 82.5, 122.7, 211.8, 369.6, 704.5", \ + " 73.7, 89.6, 129.8, 218.9, 376.7, 711.7", \ + " 79.1, 94.9, 135.1, 224.2, 382.0, 717.0", \ + " 85.6, 101.3, 141.5, 230.6, 388.4, 723.4", \ + " 94.4, 110.2, 150.1, 239.2, 397.0, 732.0", \ + " 103.1, 118.9, 159.0, 247.8, 405.5, 740.4", \ + " 111.9, 128.0, 167.8, 256.6, 414.2, 749.0", \ + " 120.2, 136.7, 176.7, 265.1, 422.6, 757.3", \ + " 127.0, 144.3, 184.9, 273.2, 430.5, 765.0", \ + " 130.1, 148.5, 190.5, 279.7, 437.1, 771.5" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.4, 105.2, 211.4, 454.0, 887.3, 1808.3", \ + " 66.5, 105.3, 211.4, 454.0, 887.3, 1808.3", \ + " 66.8, 105.4, 211.5, 454.1, 887.3, 1808.3", \ + " 68.1, 106.2, 211.7, 454.1, 887.3, 1808.3", \ + " 71.3, 108.7, 213.0, 454.4, 887.3, 1808.3", \ + " 75.4, 112.2, 215.0, 455.2, 887.6, 1808.3", \ + " 81.0, 116.9, 218.2, 456.5, 888.1, 1808.4", \ + " 88.8, 123.9, 223.0, 459.0, 889.1, 1809.0", \ + " 99.6, 134.3, 231.3, 463.9, 891.5, 1809.8", \ + " 114.5, 149.4, 244.9, 473.6, 897.4, 1812.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.9, 79.3, 110.4, 176.9, 294.1, 543.2", \ + " 73.7, 87.0, 118.1, 184.6, 301.9, 550.9", \ + " 80.0, 93.3, 124.4, 190.9, 308.2, 557.3", \ + " 88.3, 101.6, 132.8, 199.3, 316.6, 565.7", \ + " 101.3, 114.9, 146.2, 212.8, 330.1, 579.2", \ + " 116.4, 130.6, 162.4, 229.1, 346.4, 595.5", \ + " 135.5, 150.4, 182.8, 249.8, 367.1, 616.1", \ + " 159.4, 175.3, 208.7, 275.9, 393.3, 642.3", \ + " 190.6, 207.7, 242.5, 310.4, 427.7, 676.7", \ + " 231.8, 250.4, 287.5, 356.8, 474.2, 723.1" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 70.0, 143.1, 312.2, 616.5, 1265.7", \ + " 43.6, 70.1, 143.1, 312.2, 616.5, 1265.7", \ + " 43.8, 70.2, 143.2, 312.3, 616.5, 1265.7", \ + " 44.5, 70.7, 143.4, 312.3, 616.5, 1265.7", \ + " 47.9, 73.2, 144.6, 312.7, 616.6, 1265.7", \ + " 52.6, 77.4, 147.1, 313.9, 616.9, 1265.7", \ + " 58.5, 82.7, 151.0, 315.7, 617.8, 1265.9", \ + " 66.3, 89.8, 156.2, 318.5, 619.0, 1266.2", \ + " 77.1, 100.0, 164.3, 323.0, 621.1, 1266.9", \ + " 92.1, 114.4, 177.0, 331.0, 625.4, 1268.3" ); }} +timing() { /* ring osc delay nd2av0x4, path b to z 34.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.1 ; */ +/* intrinsic_fall : 32.2 ; */ +/* rise_resistance : 1.23 ; */ +/* fall_resistance : 0.93 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 39.4, 79.7, 168.7, 326.5, 661.5", \ + " 31.7, 46.8, 87.1, 176.4, 334.4, 669.4", \ + " 37.2, 53.2, 93.0, 182.3, 340.3, 675.4", \ + " 43.3, 61.8, 101.3, 190.3, 348.3, 683.4", \ + " 52.6, 74.3, 116.5, 204.8, 362.4, 697.5", \ + " 64.3, 89.2, 138.4, 226.1, 383.1, 717.8", \ + " 80.1, 108.7, 165.9, 259.3, 415.0, 748.7", \ + " 100.9, 134.0, 199.8, 308.1, 462.9, 795.0", \ + " 129.4, 168.0, 243.8, 369.9, 536.9, 865.9", \ + " 168.9, 214.4, 302.6, 448.1, 644.6, 976.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.2, 87.3, 199.7, 452.4, 901.7, 1856.4", \ + " 52.0, 90.8, 199.9, 452.4, 901.7, 1856.4", \ + " 59.4, 96.5, 202.8, 452.4, 901.7, 1856.4", \ + " 70.3, 105.5, 208.7, 453.8, 901.6, 1856.4", \ + " 89.0, 123.4, 222.1, 461.0, 902.2, 1856.4", \ + " 110.0, 151.3, 244.8, 476.4, 909.0, 1856.4", \ + " 139.4, 186.6, 283.0, 505.6, 927.7, 1859.8", \ + " 180.5, 232.8, 342.5, 555.7, 964.9, 1878.5", \ + " 239.7, 297.4, 422.1, 639.1, 1032.6, 1923.5", \ + " 326.1, 390.0, 529.5, 772.4, 1150.7, 2012.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 30.5, 60.1, 126.3, 243.5, 492.6", \ + " 24.7, 36.8, 66.5, 132.7, 250.0, 499.1", \ + " 26.7, 41.4, 71.3, 137.6, 254.9, 504.0", \ + " 28.3, 45.5, 77.9, 144.1, 261.4, 510.5", \ + " 29.4, 50.1, 89.0, 155.7, 272.9, 521.9", \ + " 29.2, 53.5, 99.9, 172.5, 289.4, 538.3", \ + " 26.7, 55.3, 110.2, 196.3, 314.6, 563.0", \ + " 20.4, 54.0, 118.6, 221.0, 351.9, 599.7", \ + " 7.9, 47.5, 123.6, 244.7, 400.9, 655.5", \ + " -14.6, 31.9, 121.8, 264.8, 451.2, 740.7" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.4, 63.5, 143.2, 322.1, 639.8, 1314.8", \ + " 42.1, 69.0, 145.0, 322.0, 639.8, 1314.8", \ + " 50.8, 76.0, 149.5, 322.9, 639.8, 1314.8", \ + " 60.4, 86.6, 157.4, 326.9, 639.8, 1314.8", \ + " 74.2, 106.0, 174.2, 338.1, 644.1, 1314.7", \ + " 93.5, 128.0, 200.8, 358.9, 656.8, 1316.3", \ + " 121.8, 159.3, 241.1, 395.8, 683.9, 1328.8", \ + " 161.2, 203.0, 292.4, 454.4, 733.3, 1360.4", \ + " 217.5, 265.2, 363.6, 542.7, 817.6, 1423.9", \ + " 300.0, 354.1, 465.2, 662.0, 952.1, 1540.8" ); }} +} +} +cell(nd2av0x6) { /* 2008-01-06:07h42 characteristic delay 10.5 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 3049 ; /* nd2av0x6 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x6 FO4 effort 1.49 */ +direction : input ; +capacitance : 7.09 ; +rise_capacitance : 7.26 ; +fall_capacitance : 6.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x6 */ +internal_power(a) { /* nd2av0x6 28.64 nW/MHz */ +power(pwr_intran_x10) { +values( " 14.33, 14.06, 14.11, 14.32, 14.94, 16.11, 18.22, 21.74, 27.51, 36.80" ); }} +} +pin(b) { /* nd2av0x6 FO4 effort 1.14 logical effort 1.22 */ +direction : input ; +capacitance : 14.55 ; +rise_capacitance : 14.49 ; +fall_capacitance : 14.61 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 537 ; +max_fanout : 15 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x6 66.37 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 18.30, 18.70, 19.10, 19.30, 19.31", \ + " 18.20, 18.58, 19.01, 19.24, 19.28", \ + " 18.16, 18.49, 18.93, 19.19, 19.25", \ + " 18.19, 18.46, 18.87, 19.16, 19.23", \ + " 18.37, 18.50, 18.83, 19.11, 19.21", \ + " 18.70, 18.66, 18.85, 19.11, 19.22", \ + " 19.26, 18.98, 18.96, 19.12, 19.21", \ + " 20.14, 19.54, 19.21, 19.19, 19.23", \ + " 21.55, 20.48, 19.72, 19.41, 19.33", \ + " 23.81, 22.11, 20.67, 19.90, 19.59" ); }} +internal_power(b_z_n) { /* nd2av0x6 24.83 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 11.87, 12.51, 13.01, 13.22, 13.24", \ + " 11.32, 11.81, 12.48, 12.92, 13.08", \ + " 11.60, 11.83, 12.35, 12.80, 13.00", \ + " 12.33, 12.21, 12.42, 12.77, 12.96", \ + " 14.08, 13.41, 12.99, 12.98, 13.04", \ + " 17.15, 15.77, 14.46, 13.73, 13.43", \ + " 22.35, 20.08, 17.48, 15.55, 14.50", \ + " 30.62, 27.32, 22.96, 19.26, 16.89", \ + " 43.66, 39.24, 32.62, 26.29, 21.79", \ + " 64.06, 58.48, 49.16, 39.07, 31.29" ); }} +timing() { /* ring osc delay nd2av0x6, path a to z 81.4 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.4 ; */ +/* intrinsic_fall : 85.8 ; */ +/* rise_resistance : 0.82 ; */ +/* fall_resistance : 0.62 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.2, 82.1, 122.3, 211.4, 369.1, 704.1", \ + " 73.3, 89.1, 129.3, 218.5, 376.3, 711.2", \ + " 78.7, 94.4, 134.6, 223.8, 381.6, 716.6", \ + " 85.2, 100.9, 141.0, 230.1, 387.9, 722.9", \ + " 94.0, 109.7, 149.6, 238.6, 396.4, 731.4", \ + " 102.6, 118.5, 158.4, 247.2, 404.9, 739.9", \ + " 111.6, 127.6, 167.4, 256.2, 413.7, 748.6", \ + " 120.2, 136.6, 176.5, 264.9, 422.4, 757.1", \ + " 127.5, 144.6, 185.1, 273.5, 430.8, 765.3", \ + " 131.5, 149.8, 191.6, 280.8, 438.2, 772.6" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.9, 105.7, 212.0, 454.7, 888.0, 1808.9", \ + " 67.0, 105.8, 212.0, 454.7, 888.0, 1808.9", \ + " 67.3, 106.0, 212.1, 454.7, 888.0, 1808.9", \ + " 68.6, 106.8, 212.3, 454.7, 888.0, 1808.9", \ + " 71.8, 109.2, 213.6, 455.0, 888.0, 1808.9", \ + " 75.9, 112.8, 215.6, 455.8, 888.2, 1808.9", \ + " 81.5, 117.4, 218.7, 457.2, 888.8, 1809.1", \ + " 89.2, 124.4, 223.6, 459.6, 889.7, 1809.6", \ + " 100.1, 134.8, 231.9, 464.6, 892.1, 1810.5", \ + " 115.0, 149.8, 245.4, 474.3, 898.1, 1813.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.2, 77.4, 108.3, 174.8, 292.1, 541.1", \ + " 72.0, 85.2, 116.1, 182.6, 299.9, 548.9", \ + " 78.3, 91.4, 122.4, 188.9, 306.2, 555.3", \ + " 86.5, 99.7, 130.7, 197.2, 314.6, 563.6", \ + " 99.2, 112.7, 143.9, 210.4, 327.8, 576.8", \ + " 114.0, 128.1, 159.8, 226.3, 343.6, 592.7", \ + " 132.6, 147.4, 179.6, 246.5, 363.8, 612.8", \ + " 156.0, 171.6, 204.8, 271.9, 389.3, 638.2", \ + " 186.3, 203.1, 237.7, 305.4, 422.7, 671.6", \ + " 226.0, 244.4, 281.2, 350.2, 467.6, 716.6" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.4, 69.9, 143.1, 312.4, 616.8, 1266.1", \ + " 43.5, 69.9, 143.1, 312.4, 616.8, 1266.1", \ + " 43.7, 70.1, 143.2, 312.4, 616.8, 1266.1", \ + " 44.5, 70.6, 143.4, 312.5, 616.8, 1266.1", \ + " 48.0, 73.1, 144.6, 312.9, 616.9, 1266.1", \ + " 52.6, 77.3, 147.1, 314.0, 617.3, 1266.1", \ + " 58.5, 82.5, 150.8, 315.8, 618.0, 1266.3", \ + " 66.2, 89.7, 155.9, 318.6, 619.2, 1266.6", \ + " 77.1, 99.9, 164.0, 323.0, 621.3, 1267.2", \ + " 92.2, 114.3, 176.6, 330.9, 625.5, 1268.7" ); }} +timing() { /* ring osc delay nd2av0x6, path b to z 35.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.5 ; */ +/* intrinsic_fall : 32.5 ; */ +/* rise_resistance : 0.82 ; */ +/* fall_resistance : 0.62 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 39.9, 80.1, 169.2, 327.0, 661.9", \ + " 32.1, 47.3, 87.5, 176.9, 334.8, 669.9", \ + " 37.7, 53.6, 93.4, 182.7, 340.7, 675.9", \ + " 43.8, 62.2, 101.7, 190.8, 348.7, 683.8", \ + " 53.1, 74.7, 116.9, 205.2, 362.9, 697.9", \ + " 64.9, 89.7, 138.8, 226.6, 383.6, 718.2", \ + " 80.8, 109.2, 166.4, 259.7, 415.4, 749.2", \ + " 101.7, 134.6, 200.3, 308.5, 463.3, 795.4", \ + " 130.2, 168.7, 244.3, 370.3, 537.3, 866.3", \ + " 169.9, 215.2, 303.1, 448.6, 645.1, 976.5" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.8, 88.0, 200.3, 453.0, 902.3, 1857.0", \ + " 52.5, 91.3, 200.5, 453.0, 902.3, 1857.0", \ + " 59.8, 97.0, 203.3, 453.0, 902.3, 1857.0", \ + " 70.8, 106.0, 209.2, 454.4, 902.3, 1857.0", \ + " 89.6, 123.8, 222.6, 461.5, 902.8, 1857.0", \ + " 110.7, 151.7, 245.3, 477.0, 909.6, 1857.0", \ + " 140.1, 187.1, 283.4, 506.1, 928.3, 1860.4", \ + " 181.3, 233.5, 343.0, 556.2, 965.4, 1879.1", \ + " 240.5, 298.1, 422.7, 639.6, 1033.1, 1924.0", \ + " 326.9, 390.8, 530.1, 772.9, 1151.2, 2012.8" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.5, 30.8, 60.4, 126.6, 243.8, 492.9", \ + " 25.0, 37.1, 66.8, 133.0, 250.3, 499.4", \ + " 27.1, 41.7, 71.6, 137.9, 255.2, 504.3", \ + " 28.7, 45.9, 78.2, 144.4, 261.7, 510.8", \ + " 29.9, 50.5, 89.3, 155.9, 273.2, 522.2", \ + " 29.7, 53.9, 100.2, 172.7, 289.7, 538.6", \ + " 27.2, 55.7, 110.6, 196.6, 314.8, 563.3", \ + " 21.0, 54.5, 119.0, 221.3, 352.2, 600.0", \ + " 8.6, 48.0, 124.0, 245.0, 401.2, 655.8", \ + " -13.8, 32.6, 122.3, 265.2, 451.5, 741.0" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.9, 64.0, 143.7, 322.6, 640.3, 1315.3", \ + " 42.5, 69.4, 145.4, 322.5, 640.3, 1315.3", \ + " 51.1, 76.4, 149.9, 323.4, 640.2, 1315.3", \ + " 61.0, 86.9, 157.8, 327.4, 640.2, 1315.2", \ + " 74.7, 106.5, 174.6, 338.4, 644.5, 1315.2", \ + " 94.2, 128.5, 201.2, 359.3, 657.2, 1316.8", \ + " 122.4, 159.8, 241.5, 396.2, 684.3, 1329.3", \ + " 162.0, 203.6, 292.8, 454.8, 733.7, 1360.8", \ + " 218.5, 265.9, 364.2, 543.1, 818.0, 1424.3", \ + " 301.0, 354.9, 465.7, 662.4, 952.5, 1541.2" ); }} +} +} +cell(nd2av0x8) { /* 2008-01-06:07h42 characteristic delay 10.3 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 3904 ; /* nd2av0x8 */ +cell_footprint : nd2a ; +pin(a) { /* nd2av0x8 FO4 effort 1.50 */ +direction : input ; +capacitance : 7.61 ; +rise_capacitance : 7.80 ; +fall_capacitance : 7.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2av0x8 */ +internal_power(a) { /* nd2av0x8 35.38 nW/MHz */ +power(pwr_intran_x10) { +values( " 17.73, 17.44, 17.48, 17.69, 18.32, 19.54, 21.77, 25.51, 31.72, 41.78" ); }} +} +pin(b) { /* nd2av0x8 FO4 effort 1.12 logical effort 1.21 */ +direction : input ; +capacitance : 19.15 ; +rise_capacitance : 19.08 ; +fall_capacitance : 19.23 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd2av0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 716 ; +max_fanout : 20 ; +function : "(a+b')" ; +internal_power(a_z_p) { /* nd2av0x8 85.21 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 24.13, 24.66, 25.22, 25.52, 25.56", \ + " 24.04, 24.53, 25.12, 25.46, 25.52", \ + " 24.00, 24.42, 25.01, 25.39, 25.48", \ + " 24.06, 24.37, 24.92, 25.32, 25.44", \ + " 24.36, 24.47, 24.88, 25.27, 25.42", \ + " 24.92, 24.75, 24.94, 25.27, 25.43", \ + " 25.81, 25.26, 25.13, 25.30, 25.43", \ + " 27.19, 26.13, 25.54, 25.44, 25.47", \ + " 29.33, 27.57, 26.30, 25.77, 25.62", \ + " 32.70, 30.00, 27.72, 26.51, 26.02" ); }} +internal_power(b_z_n) { /* nd2av0x8 32.22 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 15.34, 16.21, 16.88, 17.16, 17.19", \ + " 14.65, 15.30, 16.18, 16.77, 16.97", \ + " 15.04, 15.33, 16.01, 16.61, 16.87", \ + " 16.03, 15.85, 16.11, 16.57, 16.82", \ + " 18.40, 17.47, 16.89, 16.85, 16.93", \ + " 22.52, 20.65, 18.86, 17.87, 17.46", \ + " 29.50, 26.42, 22.90, 20.31, 18.89", \ + " 40.56, 36.09, 30.24, 25.26, 22.08", \ + " 57.98, 52.02, 43.14, 34.65, 28.63", \ + " 85.22, 77.71, 65.22, 51.71, 41.31" ); }} +timing() { /* ring osc delay nd2av0x8, path a to z 85.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.1 ; */ +/* intrinsic_fall : 90.8 ; */ +/* rise_resistance : 0.62 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 86.2, 126.5, 215.6, 373.4, 708.4", \ + " 77.2, 93.3, 133.6, 222.8, 380.6, 715.6", \ + " 82.7, 98.7, 139.0, 228.2, 386.0, 721.1", \ + " 89.7, 105.5, 145.7, 234.9, 392.7, 727.8", \ + " 99.4, 115.3, 155.3, 244.3, 402.1, 737.1", \ + " 109.2, 125.2, 165.2, 253.9, 411.7, 746.6", \ + " 119.5, 135.7, 175.5, 264.1, 421.6, 756.5", \ + " 129.5, 146.1, 186.0, 274.3, 431.6, 766.2", \ + " 138.5, 155.9, 196.4, 284.4, 441.5, 775.9", \ + " 144.7, 163.1, 205.0, 293.7, 450.7, 784.9" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.4, 106.9, 212.6, 454.9, 888.0, 1808.9", \ + " 68.5, 106.9, 212.6, 454.9, 888.0, 1808.9", \ + " 68.7, 107.1, 212.7, 454.9, 888.0, 1808.9", \ + " 69.7, 107.8, 212.9, 454.9, 888.0, 1808.9", \ + " 73.0, 110.2, 214.1, 455.2, 888.0, 1808.9", \ + " 77.6, 114.1, 216.3, 456.1, 888.2, 1808.9", \ + " 83.6, 119.3, 219.9, 457.5, 888.8, 1809.1", \ + " 91.8, 126.7, 225.1, 460.1, 889.8, 1809.6", \ + " 103.3, 137.7, 233.8, 465.3, 892.2, 1810.4", \ + " 119.1, 153.6, 247.9, 475.2, 898.1, 1813.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.6, 82.1, 113.5, 180.1, 297.4, 546.4", \ + " 76.4, 89.9, 121.3, 187.9, 305.2, 554.3", \ + " 82.7, 96.2, 127.6, 194.2, 311.5, 560.6", \ + " 91.1, 104.6, 136.0, 202.7, 320.0, 569.1", \ + " 104.6, 118.3, 149.8, 216.5, 333.8, 582.9", \ + " 120.3, 134.7, 166.7, 233.4, 350.7, 599.8", \ + " 140.0, 155.2, 187.8, 254.8, 372.1, 621.1", \ + " 164.6, 180.7, 214.3, 281.6, 398.9, 647.8", \ + " 196.4, 213.7, 248.8, 316.7, 433.9, 682.8", \ + " 238.1, 256.9, 294.3, 363.6, 480.8, 729.6" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 71.5, 144.1, 312.8, 616.7, 1265.8", \ + " 45.4, 71.6, 144.1, 312.8, 616.7, 1265.8", \ + " 45.5, 71.7, 144.1, 312.8, 616.7, 1265.8", \ + " 46.2, 72.1, 144.3, 312.8, 616.7, 1265.8", \ + " 49.5, 74.5, 145.4, 313.2, 616.8, 1265.8", \ + " 54.6, 79.0, 148.2, 314.4, 617.2, 1265.8", \ + " 61.3, 85.0, 152.4, 316.4, 618.1, 1265.9", \ + " 69.7, 92.8, 158.2, 319.5, 619.4, 1266.4", \ + " 81.4, 103.7, 167.0, 324.5, 621.7, 1267.0", \ + " 97.6, 119.1, 180.5, 333.0, 626.2, 1268.6" ); }} +timing() { /* ring osc delay nd2av0x8, path b to z 34.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.0 ; */ +/* intrinsic_fall : 32.2 ; */ +/* rise_resistance : 0.62 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 39.4, 79.6, 168.7, 326.5, 661.5", \ + " 31.6, 46.8, 87.0, 176.4, 334.4, 669.4", \ + " 37.1, 53.1, 93.0, 182.3, 340.3, 675.4", \ + " 43.2, 61.7, 101.3, 190.3, 348.2, 683.4", \ + " 52.4, 74.2, 116.4, 204.8, 362.4, 697.4", \ + " 64.1, 89.1, 138.3, 226.1, 383.1, 717.8", \ + " 79.9, 108.5, 165.8, 259.2, 414.9, 748.7", \ + " 100.7, 133.8, 199.7, 308.0, 462.9, 795.0", \ + " 129.0, 167.8, 243.6, 369.8, 536.9, 865.9", \ + " 168.5, 214.2, 302.4, 448.0, 644.6, 976.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 44.8, 87.0, 199.3, 452.0, 901.3, 1856.1", \ + " 51.7, 90.5, 199.6, 452.0, 901.3, 1856.1", \ + " 59.1, 96.2, 202.4, 452.0, 901.3, 1856.1", \ + " 70.1, 105.2, 208.4, 453.4, 901.3, 1856.1", \ + " 88.7, 123.1, 221.8, 460.6, 901.8, 1856.1", \ + " 109.7, 151.1, 244.5, 476.1, 908.7, 1856.1", \ + " 139.0, 186.2, 282.7, 505.3, 927.4, 1859.4", \ + " 180.1, 232.5, 342.3, 555.4, 964.6, 1878.2", \ + " 239.2, 297.0, 421.8, 638.8, 1032.3, 1923.2", \ + " 325.6, 389.5, 529.1, 772.2, 1150.4, 2011.9" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 30.5, 60.1, 126.3, 243.6, 492.6", \ + " 24.6, 36.8, 66.4, 132.7, 250.1, 499.1", \ + " 26.6, 41.4, 71.3, 137.6, 254.9, 504.0", \ + " 28.2, 45.5, 77.9, 144.1, 261.5, 510.5", \ + " 29.3, 50.0, 89.0, 155.7, 272.9, 522.0", \ + " 29.0, 53.4, 99.9, 172.5, 289.5, 538.3", \ + " 26.4, 55.1, 110.1, 196.3, 314.6, 563.1", \ + " 20.1, 53.8, 118.5, 221.0, 351.9, 599.8", \ + " 7.5, 47.2, 123.4, 244.6, 400.9, 655.5", \ + " -15.0, 31.7, 121.7, 264.8, 451.2, 740.7" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.1, 63.4, 143.2, 322.1, 639.9, 1314.9", \ + " 41.9, 68.9, 144.9, 322.0, 639.8, 1314.9", \ + " 50.6, 75.9, 149.4, 322.9, 639.8, 1314.9", \ + " 60.3, 86.4, 157.4, 326.9, 639.8, 1314.8", \ + " 73.9, 105.9, 174.2, 338.0, 644.1, 1314.8", \ + " 93.3, 127.8, 200.7, 358.9, 656.8, 1316.4", \ + " 121.5, 159.1, 241.0, 395.8, 683.9, 1328.9", \ + " 160.8, 202.8, 292.2, 454.4, 733.3, 1360.4", \ + " 217.2, 264.9, 363.4, 542.6, 817.5, 1423.9", \ + " 299.6, 353.8, 464.9, 661.8, 952.1, 1540.8" ); }} +} +} +cell(nd2abv0x05) { /* 2008-01-06:07h41 */ +area : 7 ; /* tracks */ +cell_leakage_power : 601 ; /* nd2abv0x05 */ +cell_footprint : or2 ; +pin(a) { /* nd2abv0x05 FO4 effort 1.71 */ +direction : input ; +capacitance : 2.46 ; +rise_capacitance : 2.50 ; +fall_capacitance : 2.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2abv0x05 */ +internal_power(a) { /* nd2abv0x05 6.78 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.39, 3.29, 3.31, 3.39, 3.60, 3.99, 4.67, 5.79, 7.59, 10.45" ); }} +} +pin(b) { /* nd2abv0x05 FO4 effort 1.69 */ +direction : input ; +capacitance : 2.56 ; +rise_capacitance : 2.61 ; +fall_capacitance : 2.52 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2abv0x05 */ +internal_power(b) { /* nd2abv0x05 6.82 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.42, 3.31, 3.33, 3.41, 3.63, 4.03, 4.73, 5.86, 7.68, 10.57" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 106 ; +max_fanout : 2 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* nd2abv0x05 13.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 3.43, 3.48, 3.52, 3.53, 3.52", \ + " 3.40, 3.45, 3.50, 3.52, 3.52", \ + " 3.39, 3.44, 3.49, 3.52, 3.52", \ + " 3.38, 3.42, 3.48, 3.51, 3.52", \ + " 3.39, 3.42, 3.47, 3.51, 3.52", \ + " 3.42, 3.43, 3.47, 3.50, 3.52", \ + " 3.48, 3.47, 3.48, 3.51, 3.52", \ + " 3.58, 3.53, 3.51, 3.51, 3.52", \ + " 3.74, 3.65, 3.57, 3.54, 3.53", \ + " 4.02, 3.86, 3.70, 3.61, 3.57" ); }} +internal_power(b_z_p) { /* nd2abv0x05 12.45 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 2.73, 2.82, 2.90, 2.94, 2.94", \ + " 2.69, 2.77, 2.87, 2.92, 2.93", \ + " 2.67, 2.74, 2.84, 2.91, 2.92", \ + " 2.66, 2.72, 2.81, 2.89, 2.91", \ + " 2.67, 2.70, 2.78, 2.86, 2.89", \ + " 2.69, 2.70, 2.76, 2.83, 2.87", \ + " 2.75, 2.72, 2.74, 2.80, 2.84", \ + " 2.85, 2.77, 2.74, 2.77, 2.81", \ + " 3.02, 2.88, 2.79, 2.77, 2.79", \ + " 3.31, 3.09, 2.90, 2.80, 2.79" ); }} +timing() { /* ring osc delay nd2abv0x05, path a to z 74.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.5 ; */ +/* intrinsic_fall : 79.3 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 3.70 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.5, 74.2, 109.5, 187.5, 325.5, 618.6", \ + " 67.4, 81.1, 116.4, 194.4, 332.5, 625.6", \ + " 72.3, 86.0, 121.3, 199.4, 337.5, 630.7", \ + " 77.9, 91.5, 126.7, 204.8, 342.9, 636.1", \ + " 84.7, 98.4, 133.6, 211.6, 349.7, 642.9", \ + " 91.3, 105.0, 140.2, 218.3, 356.3, 649.5", \ + " 98.0, 111.9, 146.9, 225.0, 363.0, 656.0", \ + " 103.8, 118.1, 153.4, 231.2, 369.4, 662.3", \ + " 107.8, 122.8, 158.7, 236.9, 374.9, 667.9", \ + " 107.8, 123.7, 161.0, 240.5, 379.2, 672.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.6, 102.8, 196.0, 408.6, 787.7, 1593.5", \ + " 68.8, 102.9, 196.1, 408.6, 787.7, 1593.5", \ + " 69.3, 103.2, 196.2, 408.6, 787.7, 1593.5", \ + " 70.8, 104.2, 196.6, 408.7, 787.7, 1593.5", \ + " 73.4, 106.3, 197.8, 409.1, 787.7, 1593.5", \ + " 76.4, 109.0, 199.4, 409.8, 788.1, 1593.5", \ + " 81.1, 112.8, 202.1, 411.0, 788.7, 1593.9", \ + " 87.8, 118.9, 206.4, 413.4, 789.7, 1594.4", \ + " 97.4, 128.2, 214.3, 418.3, 792.6, 1595.6", \ + " 110.6, 141.6, 227.0, 428.4, 799.3, 1599.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 70.0, 97.1, 155.3, 258.1, 476.0", \ + " 66.4, 77.8, 104.9, 163.2, 265.9, 483.9", \ + " 72.5, 83.9, 111.1, 169.4, 272.2, 490.1", \ + " 80.0, 91.5, 118.8, 177.1, 279.9, 497.9", \ + " 90.8, 102.6, 130.2, 188.6, 291.4, 509.4", \ + " 103.6, 115.8, 143.8, 202.5, 305.3, 523.3", \ + " 120.1, 132.7, 161.2, 220.1, 323.0, 541.1", \ + " 140.9, 154.3, 183.6, 242.8, 345.8, 563.9", \ + " 168.1, 182.4, 213.0, 273.0, 376.1, 594.2", \ + " 204.0, 219.7, 252.2, 313.7, 417.2, 635.4" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.9, 68.3, 133.7, 282.4, 549.0, 1117.2", \ + " 44.0, 68.4, 133.7, 282.4, 549.0, 1117.2", \ + " 44.3, 68.6, 133.8, 282.4, 549.0, 1117.2", \ + " 45.4, 69.3, 134.1, 282.6, 549.0, 1117.2", \ + " 48.1, 71.4, 135.4, 283.1, 549.1, 1117.2", \ + " 51.1, 74.1, 137.3, 284.1, 549.6, 1117.2", \ + " 55.5, 78.0, 139.9, 285.5, 550.2, 1117.4", \ + " 61.7, 83.7, 144.0, 287.8, 551.2, 1117.7", \ + " 70.6, 92.2, 150.8, 291.7, 553.3, 1118.4", \ + " 83.1, 104.5, 161.7, 299.1, 557.3, 1120.1" ); }} +timing() { /* ring osc delay nd2abv0x05, path b to z 70.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 77.3 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 3.70 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.7, 69.8, 105.3, 183.4, 321.4, 614.6", \ + " 62.5, 76.5, 112.1, 190.3, 328.4, 621.6", \ + " 67.5, 81.5, 117.0, 195.2, 333.4, 626.6", \ + " 73.1, 87.0, 122.4, 200.7, 338.9, 632.2", \ + " 79.8, 93.9, 129.4, 207.6, 345.9, 639.1", \ + " 86.5, 100.7, 136.1, 214.4, 352.6, 645.9", \ + " 93.0, 107.6, 142.9, 221.2, 359.4, 652.7", \ + " 98.7, 113.8, 149.5, 227.5, 365.9, 659.1", \ + " 102.3, 118.4, 155.0, 233.4, 371.6, 664.9", \ + " 101.7, 119.1, 157.3, 237.1, 376.1, 669.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.4, 88.3, 181.5, 393.9, 772.9, 1578.5", \ + " 54.6, 88.4, 181.5, 393.9, 772.9, 1578.5", \ + " 55.2, 88.7, 181.6, 393.9, 772.9, 1578.5", \ + " 56.9, 89.9, 182.0, 394.0, 772.9, 1578.5", \ + " 59.9, 92.3, 183.3, 394.4, 772.9, 1578.5", \ + " 63.3, 95.1, 185.1, 395.2, 773.3, 1578.5", \ + " 68.3, 99.4, 187.9, 396.5, 773.9, 1578.8", \ + " 75.3, 105.9, 192.5, 398.9, 775.0, 1579.4", \ + " 85.0, 115.5, 200.6, 403.9, 777.8, 1580.6", \ + " 98.4, 129.1, 213.5, 414.2, 784.5, 1584.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.1, 67.8, 95.0, 153.1, 255.8, 473.7", \ + " 63.8, 75.4, 102.7, 160.9, 263.6, 481.5", \ + " 69.9, 81.6, 108.8, 167.1, 269.8, 487.7", \ + " 77.6, 89.4, 116.7, 175.0, 277.7, 495.6", \ + " 88.7, 101.1, 128.8, 187.1, 289.8, 507.8", \ + " 101.8, 114.7, 143.2, 201.8, 304.4, 522.3", \ + " 118.6, 132.2, 161.4, 220.4, 323.1, 541.0", \ + " 139.8, 154.4, 184.7, 244.1, 347.2, 564.9", \ + " 167.4, 183.3, 215.6, 276.0, 379.0, 597.1", \ + " 203.9, 221.3, 256.6, 319.4, 423.2, 641.4" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.8, 70.0, 134.5, 282.6, 548.9, 1117.2", \ + " 46.1, 70.1, 134.6, 282.6, 548.9, 1117.2", \ + " 46.6, 70.5, 134.8, 282.6, 549.0, 1117.2", \ + " 48.6, 71.9, 135.4, 282.8, 549.0, 1117.2", \ + " 52.5, 75.3, 137.7, 283.7, 549.1, 1117.2", \ + " 56.4, 79.4, 141.0, 285.6, 550.0, 1117.2", \ + " 61.9, 84.7, 145.2, 288.2, 551.3, 1117.8", \ + " 69.2, 92.1, 151.3, 292.1, 553.2, 1118.6", \ + " 79.2, 102.6, 161.0, 298.5, 556.8, 1120.0", \ + " 93.1, 117.0, 175.6, 310.3, 564.2, 1123.5" ); }} +} +} +cell(nd2abv0x1) { /* 2008-01-06:07h41 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1120 ; /* nd2abv0x1 */ +cell_footprint : or2 ; +pin(a) { /* nd2abv0x1 FO4 effort 1.59 */ +direction : input ; +capacitance : 2.97 ; +rise_capacitance : 3.03 ; +fall_capacitance : 2.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2abv0x1 */ +internal_power(a) { /* nd2abv0x1 8.98 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.47, 4.36, 4.39, 4.49, 4.76, 5.26, 6.13, 7.56, 9.87, 13.55" ); }} +} +pin(b) { /* nd2abv0x1 FO4 effort 1.55 */ +direction : input ; +capacitance : 3.02 ; +rise_capacitance : 3.08 ; +fall_capacitance : 2.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2abv0x1 */ +internal_power(b) { /* nd2abv0x1 8.93 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.45, 4.32, 4.36, 4.46, 4.75, 5.27, 6.17, 7.63, 9.97, 13.69" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 159 ; +max_fanout : 4 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* nd2abv0x1 18.82 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 4.82, 4.91, 4.98, 5.00, 4.99", \ + " 4.78, 4.87, 4.95, 4.99, 4.98", \ + " 4.77, 4.85, 4.94, 4.98, 4.98", \ + " 4.76, 4.83, 4.92, 4.97, 4.98", \ + " 4.78, 4.83, 4.91, 4.97, 4.98", \ + " 4.84, 4.85, 4.91, 4.96, 4.98", \ + " 4.93, 4.90, 4.92, 4.96, 4.98", \ + " 5.09, 5.00, 4.96, 4.98, 4.98", \ + " 5.36, 5.19, 5.06, 5.01, 5.00", \ + " 5.81, 5.51, 5.25, 5.11, 5.06" ); }} +internal_power(b_z_p) { /* nd2abv0x1 16.88 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 3.80, 3.96, 4.10, 4.16, 4.17", \ + " 3.75, 3.89, 4.06, 4.14, 4.16", \ + " 3.73, 3.85, 4.02, 4.12, 4.15", \ + " 3.72, 3.82, 3.98, 4.09, 4.13", \ + " 3.74, 3.80, 3.93, 4.05, 4.10", \ + " 3.79, 3.79, 3.89, 4.00, 4.06", \ + " 3.89, 3.83, 3.86, 3.96, 4.02", \ + " 4.05, 3.91, 3.87, 3.92, 3.97", \ + " 4.34, 4.08, 3.93, 3.91, 3.94", \ + " 4.80, 4.39, 4.08, 3.95, 3.93" ); }} +timing() { /* ring osc delay nd2abv0x1, path a to z 70.4 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.7 ; */ +/* intrinsic_fall : 80.8 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.47 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.0, 74.8, 115.0, 204.2, 361.9, 696.9", \ + " 65.9, 81.7, 122.0, 211.2, 369.0, 704.0", \ + " 70.9, 86.6, 126.9, 216.1, 374.0, 709.0", \ + " 76.5, 92.1, 132.3, 221.5, 379.3, 714.3", \ + " 83.2, 98.9, 139.1, 228.2, 386.0, 721.0", \ + " 89.7, 105.4, 145.6, 234.6, 392.4, 727.4", \ + " 96.0, 111.9, 151.9, 241.0, 398.6, 733.6", \ + " 101.4, 117.6, 157.7, 246.6, 404.4, 739.1", \ + " 104.4, 121.4, 162.2, 251.3, 408.9, 743.6", \ + " 102.8, 120.9, 162.9, 253.3, 411.4, 746.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.3, 106.2, 212.9, 456.0, 889.3, 1810.2", \ + " 67.4, 106.3, 212.9, 456.0, 889.3, 1810.2", \ + " 67.9, 106.6, 213.0, 456.0, 889.3, 1810.2", \ + " 69.4, 107.6, 213.4, 456.0, 889.3, 1810.2", \ + " 72.2, 109.7, 214.4, 456.4, 889.3, 1810.2", \ + " 75.4, 112.4, 216.0, 457.0, 889.6, 1810.2", \ + " 80.2, 116.3, 218.5, 458.0, 890.1, 1810.4", \ + " 87.1, 122.5, 222.7, 460.2, 891.0, 1810.9", \ + " 96.9, 131.9, 230.4, 464.8, 893.5, 1811.9", \ + " 110.5, 145.5, 243.1, 474.3, 899.7, 1815.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 72.9, 103.9, 170.4, 287.8, 536.9", \ + " 67.4, 80.6, 111.7, 178.3, 295.7, 544.8", \ + " 73.6, 86.9, 118.0, 184.6, 302.0, 551.1", \ + " 81.4, 94.7, 125.9, 192.5, 309.9, 559.1", \ + " 92.7, 106.4, 137.8, 204.5, 322.0, 571.1", \ + " 106.1, 120.2, 152.1, 219.0, 336.5, 585.6", \ + " 123.5, 138.1, 170.5, 237.7, 355.2, 604.3", \ + " 145.6, 160.9, 194.2, 261.6, 379.2, 628.3", \ + " 174.6, 191.1, 225.6, 293.6, 411.2, 660.5", \ + " 213.2, 231.2, 267.7, 337.1, 455.0, 704.2" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.8, 71.8, 146.4, 316.5, 621.2, 1270.6", \ + " 43.9, 71.9, 146.5, 316.5, 621.2, 1270.6", \ + " 44.2, 72.0, 146.5, 316.5, 621.2, 1270.6", \ + " 45.2, 72.6, 146.8, 316.6, 621.2, 1270.6", \ + " 48.0, 74.8, 148.0, 317.0, 621.3, 1270.6", \ + " 51.2, 77.6, 149.8, 317.9, 621.6, 1270.6", \ + " 55.8, 81.5, 152.5, 319.3, 622.2, 1270.8", \ + " 62.1, 87.2, 156.4, 321.4, 623.1, 1271.1", \ + " 71.2, 95.8, 162.9, 325.0, 624.9, 1271.6", \ + " 84.1, 108.3, 173.7, 331.8, 628.5, 1273.0" ); }} +timing() { /* ring osc delay nd2abv0x1, path b to z 65.6 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.2 ; */ +/* intrinsic_fall : 78.3 ; */ +/* rise_resistance : 3.31 ; */ +/* fall_resistance : 2.47 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.2, 70.4, 110.9, 200.1, 357.9, 692.9", \ + " 61.1, 77.2, 117.8, 207.1, 364.9, 700.0", \ + " 66.1, 82.1, 122.6, 212.0, 369.9, 705.0", \ + " 71.7, 87.6, 128.0, 217.4, 375.4, 710.5", \ + " 78.4, 94.5, 134.9, 224.2, 382.2, 717.3", \ + " 84.9, 101.1, 141.4, 230.7, 388.7, 723.8", \ + " 91.1, 107.7, 147.9, 237.2, 395.1, 730.2", \ + " 96.2, 113.4, 153.9, 242.9, 400.9, 735.9", \ + " 98.8, 117.1, 158.4, 247.7, 405.6, 740.6", \ + " 96.7, 116.3, 159.3, 249.8, 408.3, 743.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.0, 91.8, 198.5, 441.5, 874.7, 1795.3", \ + " 53.3, 91.9, 198.5, 441.5, 874.7, 1795.3", \ + " 53.8, 92.3, 198.6, 441.5, 874.7, 1795.3", \ + " 55.6, 93.4, 198.9, 441.6, 874.7, 1795.3", \ + " 58.7, 95.7, 200.1, 441.9, 874.7, 1795.3", \ + " 62.3, 98.7, 201.8, 442.6, 875.0, 1795.3", \ + " 67.5, 102.9, 204.6, 443.7, 875.5, 1795.6", \ + " 74.7, 109.5, 208.9, 445.9, 876.5, 1796.1", \ + " 84.7, 119.2, 216.9, 450.6, 879.0, 1797.1", \ + " 98.3, 133.1, 229.7, 460.2, 885.2, 1800.4" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 70.3, 101.3, 167.8, 285.1, 534.2", \ + " 64.5, 78.0, 109.1, 175.6, 292.9, 542.0", \ + " 70.8, 84.2, 115.3, 181.8, 299.2, 548.3", \ + " 78.7, 92.2, 123.4, 189.9, 307.3, 556.4", \ + " 90.3, 104.5, 135.9, 202.4, 319.8, 568.9", \ + " 104.0, 118.8, 151.0, 217.6, 334.9, 584.0", \ + " 121.6, 137.2, 170.1, 237.2, 354.4, 603.4", \ + " 144.0, 160.7, 194.7, 262.0, 379.6, 628.4", \ + " 173.4, 191.5, 227.5, 295.6, 413.1, 662.2", \ + " 212.6, 232.3, 271.4, 341.7, 459.7, 708.9" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.7, 73.2, 147.0, 316.5, 621.1, 1270.6", \ + " 45.9, 73.4, 147.1, 316.5, 621.1, 1270.6", \ + " 46.3, 73.7, 147.2, 316.5, 621.1, 1270.6", \ + " 48.1, 74.9, 147.7, 316.6, 621.2, 1270.6", \ + " 52.1, 78.3, 149.8, 317.3, 621.3, 1270.6", \ + " 56.4, 82.7, 153.0, 319.0, 621.8, 1270.6", \ + " 62.0, 88.0, 157.3, 321.5, 623.0, 1271.0", \ + " 69.5, 95.6, 163.2, 325.0, 624.7, 1271.8", \ + " 79.7, 106.2, 172.7, 331.0, 627.8, 1272.9", \ + " 94.0, 120.9, 187.2, 342.1, 634.5, 1275.8" ); }} +} +} +cell(nd2abv0x2) { /* 2008-01-06:07h41 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1409 ; /* nd2abv0x2 */ +cell_footprint : or2 ; +pin(a) { /* nd2abv0x2 FO4 effort 1.56 */ +direction : input ; +capacitance : 3.49 ; +rise_capacitance : 3.56 ; +fall_capacitance : 3.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2abv0x2 */ +internal_power(a) { /* nd2abv0x2 11.14 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.58, 5.44, 5.46, 5.57, 5.87, 6.43, 7.42, 9.06, 11.73, 15.98" ); }} +} +pin(b) { /* nd2abv0x2 FO4 effort 1.49 */ +direction : input ; +capacitance : 3.44 ; +rise_capacitance : 3.50 ; +fall_capacitance : 3.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2abv0x2 */ +internal_power(b) { /* nd2abv0x2 10.88 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.41, 5.28, 5.32, 5.44, 5.76, 6.36, 7.39, 9.08, 11.79, 16.10" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 213 ; +max_fanout : 6 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* nd2abv0x2 23.87 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 6.20, 6.33, 6.45, 6.50, 6.49", \ + " 6.15, 6.28, 6.41, 6.48, 6.48", \ + " 6.14, 6.25, 6.39, 6.46, 6.47", \ + " 6.15, 6.24, 6.37, 6.45, 6.47", \ + " 6.19, 6.24, 6.35, 6.44, 6.46", \ + " 6.28, 6.28, 6.35, 6.43, 6.47", \ + " 6.42, 6.36, 6.38, 6.43, 6.46", \ + " 6.67, 6.52, 6.45, 6.45, 6.47", \ + " 7.06, 6.78, 6.58, 6.51, 6.49", \ + " 7.71, 7.25, 6.86, 6.65, 6.57" ); }} +internal_power(b_z_p) { /* nd2abv0x2 21.10 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 4.88, 5.08, 5.26, 5.35, 5.36", \ + " 4.82, 5.00, 5.21, 5.32, 5.35", \ + " 4.79, 4.95, 5.16, 5.29, 5.33", \ + " 4.80, 4.91, 5.11, 5.25, 5.30", \ + " 4.84, 4.89, 5.06, 5.20, 5.26", \ + " 4.91, 4.90, 5.01, 5.15, 5.22", \ + " 5.06, 4.96, 4.98, 5.09, 5.17", \ + " 5.31, 5.09, 5.00, 5.05, 5.11", \ + " 5.73, 5.34, 5.09, 5.05, 5.07", \ + " 6.41, 5.78, 5.32, 5.12, 5.07" ); }} +timing() { /* ring osc delay nd2abv0x2, path a to z 70.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.7 ; */ +/* intrinsic_fall : 81.8 ; */ +/* rise_resistance : 2.48 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.2, 77.1, 117.5, 206.7, 364.5, 699.5", \ + " 68.1, 84.0, 124.5, 213.7, 371.6, 706.6", \ + " 73.4, 89.2, 129.6, 218.9, 376.7, 711.7", \ + " 79.3, 95.1, 135.4, 224.6, 382.5, 717.5", \ + " 86.9, 102.7, 142.9, 232.1, 390.0, 725.0", \ + " 94.3, 110.2, 150.4, 239.4, 397.2, 732.2", \ + " 101.8, 117.8, 157.9, 247.0, 404.7, 739.6", \ + " 108.7, 125.2, 165.3, 254.1, 411.9, 746.6", \ + " 113.9, 131.1, 171.9, 260.9, 418.5, 753.2", \ + " 115.4, 133.7, 175.8, 265.9, 423.9, 758.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.0, 105.6, 211.9, 454.7, 888.0, 1808.8", \ + " 67.1, 105.7, 211.9, 454.7, 888.0, 1808.8", \ + " 67.5, 106.0, 212.0, 454.8, 888.0, 1808.8", \ + " 69.0, 106.9, 212.3, 454.8, 888.0, 1808.8", \ + " 72.0, 109.2, 213.5, 455.1, 888.0, 1808.8", \ + " 75.5, 112.3, 215.3, 455.9, 888.3, 1808.8", \ + " 80.5, 116.3, 218.0, 457.0, 888.9, 1809.1", \ + " 87.6, 122.7, 222.4, 459.3, 889.8, 1809.6", \ + " 97.7, 132.4, 230.2, 464.0, 892.3, 1810.6", \ + " 111.6, 146.3, 243.1, 473.5, 898.4, 1813.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 73.8, 105.1, 171.7, 289.2, 538.3", \ + " 68.1, 81.6, 112.9, 179.6, 297.0, 546.1", \ + " 74.3, 87.8, 119.1, 185.8, 303.3, 552.4", \ + " 82.1, 95.6, 127.1, 193.8, 311.3, 560.4", \ + " 93.5, 107.5, 139.1, 205.9, 323.4, 572.6", \ + " 107.0, 121.3, 153.5, 220.5, 338.0, 587.1", \ + " 124.2, 139.1, 171.8, 239.1, 356.6, 605.8", \ + " 145.9, 161.6, 195.1, 262.7, 380.4, 629.5", \ + " 174.2, 191.0, 225.8, 294.0, 411.7, 661.0", \ + " 211.5, 229.8, 266.7, 336.3, 454.2, 703.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 71.4, 146.0, 315.9, 620.5, 1269.9", \ + " 43.5, 71.5, 146.0, 315.9, 620.5, 1269.9", \ + " 43.8, 71.7, 146.1, 315.9, 620.5, 1269.9", \ + " 44.8, 72.3, 146.3, 316.0, 620.5, 1269.9", \ + " 47.7, 74.4, 147.5, 316.4, 620.6, 1269.9", \ + " 51.2, 77.6, 149.5, 317.4, 621.0, 1269.9", \ + " 56.0, 81.7, 152.4, 318.9, 621.6, 1270.1", \ + " 62.7, 87.7, 156.5, 321.2, 622.6, 1270.4", \ + " 72.1, 96.7, 163.4, 325.0, 624.4, 1270.9", \ + " 85.6, 109.6, 174.6, 332.0, 628.3, 1272.3" ); }} +timing() { /* ring osc delay nd2abv0x2, path b to z 64.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.5 ; */ +/* intrinsic_fall : 78.3 ; */ +/* rise_resistance : 2.48 ; */ +/* fall_resistance : 1.85 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.6, 72.0, 112.5, 201.7, 359.5, 694.5", \ + " 62.6, 78.9, 119.4, 208.7, 366.6, 701.6", \ + " 67.8, 83.9, 124.5, 213.8, 371.8, 706.8", \ + " 73.8, 89.8, 130.2, 219.6, 377.6, 712.7", \ + " 81.3, 97.5, 137.8, 227.1, 385.1, 720.2", \ + " 88.6, 105.0, 145.3, 234.5, 392.5, 727.6", \ + " 96.0, 112.7, 152.9, 242.1, 399.9, 735.0", \ + " 102.5, 120.0, 160.4, 249.3, 407.2, 742.2", \ + " 107.3, 125.8, 167.1, 256.2, 413.9, 748.8", \ + " 108.1, 128.0, 171.0, 261.3, 419.5, 754.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.8, 91.2, 197.5, 440.4, 873.5, 1794.2", \ + " 52.9, 91.4, 197.6, 440.4, 873.5, 1794.1", \ + " 53.4, 91.6, 197.7, 440.4, 873.5, 1794.1", \ + " 55.2, 92.7, 198.0, 440.4, 873.5, 1794.1", \ + " 58.4, 95.1, 199.2, 440.7, 873.5, 1794.1", \ + " 62.2, 98.3, 201.0, 441.4, 873.8, 1794.1", \ + " 67.5, 102.8, 203.9, 442.5, 874.3, 1794.4", \ + " 75.0, 109.6, 208.4, 444.8, 875.2, 1794.8", \ + " 85.2, 119.6, 216.6, 449.5, 877.6, 1795.7", \ + " 99.2, 133.9, 229.7, 459.2, 883.7, 1798.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 70.2, 101.3, 167.8, 285.1, 534.2", \ + " 64.3, 78.0, 109.1, 175.6, 293.0, 542.1", \ + " 70.5, 84.2, 115.4, 181.9, 299.3, 548.4", \ + " 78.5, 92.2, 123.4, 189.9, 307.3, 556.4", \ + " 90.2, 104.5, 135.9, 202.5, 319.8, 568.9", \ + " 103.8, 118.8, 151.0, 217.7, 334.9, 584.0", \ + " 121.2, 137.0, 170.0, 237.1, 354.3, 603.2", \ + " 143.2, 160.1, 194.2, 261.5, 379.0, 627.8", \ + " 171.8, 190.0, 226.3, 294.4, 411.8, 660.7", \ + " 209.5, 229.5, 268.8, 339.1, 457.0, 706.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.1, 72.7, 146.5, 315.8, 620.4, 1269.9", \ + " 45.3, 72.9, 146.5, 315.8, 620.4, 1269.9", \ + " 45.8, 73.2, 146.7, 315.8, 620.4, 1269.9", \ + " 47.5, 74.4, 147.2, 316.0, 620.4, 1269.9", \ + " 51.7, 77.9, 149.2, 316.6, 620.5, 1269.9", \ + " 56.1, 82.3, 152.5, 318.3, 621.1, 1269.9", \ + " 62.0, 88.0, 157.0, 320.8, 622.2, 1270.2", \ + " 69.7, 95.9, 163.2, 324.5, 623.9, 1271.0", \ + " 80.5, 106.9, 173.1, 330.8, 627.1, 1272.1", \ + " 95.4, 122.1, 188.1, 342.2, 633.9, 1275.0" ); }} +} +} +cell(nd2abv0x3) { /* 2008-01-06:07h41 */ +area : 9 ; /* tracks */ +cell_leakage_power : 2333 ; /* nd2abv0x3 */ +cell_footprint : or2 ; +pin(a) { /* nd2abv0x3 FO4 effort 1.53 */ +direction : input ; +capacitance : 4.75 ; +rise_capacitance : 4.87 ; +fall_capacitance : 4.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2abv0x3 */ +internal_power(a) { /* nd2abv0x3 18.75 nW/MHz */ +power(pwr_intran_x10) { +values( " 9.42, 9.22, 9.24, 9.38, 9.77, 10.53, 11.89, 14.16, 17.90, 23.93" ); }} +} +pin(b) { /* nd2abv0x3 FO4 effort 1.45 */ +direction : input ; +capacitance : 4.76 ; +rise_capacitance : 4.86 ; +fall_capacitance : 4.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2abv0x3 */ +internal_power(b) { /* nd2abv0x3 17.69 nW/MHz */ +power(pwr_intran_x10) { +values( " 8.83, 8.64, 8.68, 8.84, 9.29, 10.11, 11.55, 13.92, 17.78, 23.94" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 391 ; +max_fanout : 8 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* nd2abv0x3 41.10 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_487_5x10) { +values( " 10.82, 11.06, 11.30, 11.41, 11.42", \ + " 10.77, 11.00, 11.25, 11.38, 11.40", \ + " 10.75, 10.95, 11.21, 11.36, 11.39", \ + " 10.79, 10.93, 11.17, 11.34, 11.38", \ + " 10.91, 10.97, 11.15, 11.32, 11.37", \ + " 11.12, 11.07, 11.17, 11.31, 11.37", \ + " 11.46, 11.27, 11.24, 11.32, 11.37", \ + " 11.99, 11.60, 11.39, 11.37, 11.39", \ + " 12.84, 12.17, 11.69, 11.50, 11.44", \ + " 14.18, 13.13, 12.26, 11.79, 11.60" ); }} +internal_power(b_z_p) { /* nd2abv0x3 35.57 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_487_5x10) { +values( " 8.42, 8.80, 9.20, 9.41, 9.46", \ + " 8.35, 8.69, 9.12, 9.37, 9.44", \ + " 8.32, 8.61, 9.03, 9.31, 9.40", \ + " 8.36, 8.56, 8.94, 9.24, 9.36", \ + " 8.48, 8.55, 8.85, 9.15, 9.29", \ + " 8.70, 8.60, 8.78, 9.06, 9.21", \ + " 9.06, 8.76, 8.76, 8.96, 9.12", \ + " 9.62, 9.06, 8.83, 8.90, 9.02", \ + " 10.53, 9.59, 9.04, 8.91, 8.96", \ + " 11.95, 10.53, 9.51, 9.07, 8.97" ); }} +timing() { /* ring osc delay nd2abv0x3, path a to z 72.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.5 ; */ +/* intrinsic_fall : 88.0 ; */ +/* rise_resistance : 1.35 ; */ +/* fall_resistance : 1.03 ; */ +cell_rise(x3_487_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.9, 81.4, 122.9, 214.2, 375.7, 718.3", \ + " 71.9, 88.4, 129.9, 221.3, 382.8, 725.4", \ + " 77.4, 93.8, 135.3, 226.6, 388.1, 730.8", \ + " 84.0, 100.3, 141.7, 233.0, 394.5, 737.2", \ + " 92.8, 109.2, 150.4, 241.6, 403.1, 745.8", \ + " 101.6, 118.1, 159.3, 250.3, 411.7, 754.3", \ + " 110.6, 127.3, 168.4, 259.4, 420.6, 763.1", \ + " 119.2, 136.3, 177.5, 268.2, 429.4, 771.7", \ + " 126.4, 144.4, 186.2, 276.8, 437.8, 780.0", \ + " 130.2, 149.4, 192.6, 284.2, 445.3, 787.4" ); } +rise_transition(x3_487_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.9, 106.8, 214.7, 462.5, 905.4, 1847.2", \ + " 67.9, 106.9, 214.7, 462.5, 905.4, 1847.2", \ + " 68.2, 107.1, 214.8, 462.5, 905.4, 1847.2", \ + " 69.5, 107.8, 215.0, 462.6, 905.4, 1847.2", \ + " 72.7, 110.3, 216.3, 462.8, 905.4, 1847.2", \ + " 76.8, 113.8, 218.3, 463.6, 905.7, 1847.2", \ + " 82.3, 118.5, 221.4, 464.9, 906.2, 1847.4", \ + " 89.9, 125.3, 226.2, 467.3, 907.2, 1847.9", \ + " 100.7, 135.6, 234.3, 472.2, 909.5, 1848.7", \ + " 115.4, 150.4, 247.7, 481.6, 915.3, 1851.5" ); } +cell_fall(x3_487_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.4, 80.1, 113.2, 182.9, 305.3, 564.8", \ + " 73.2, 87.8, 121.0, 190.7, 313.1, 572.6", \ + " 79.4, 94.0, 127.2, 197.0, 319.4, 578.9", \ + " 87.6, 102.2, 135.5, 205.2, 327.7, 587.2", \ + " 100.1, 115.0, 148.4, 218.3, 340.7, 600.3", \ + " 114.7, 130.1, 164.1, 234.0, 356.5, 616.1", \ + " 133.1, 149.1, 183.7, 254.0, 376.5, 636.1", \ + " 156.2, 173.1, 208.6, 279.2, 401.8, 661.3", \ + " 186.3, 204.3, 241.1, 312.4, 435.1, 694.6", \ + " 225.7, 245.3, 284.3, 357.0, 479.8, 739.4" ); } +fall_transition(x3_487_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.2, 74.5, 151.9, 328.4, 645.3, 1321.6", \ + " 45.3, 74.5, 151.9, 328.4, 645.3, 1321.6", \ + " 45.4, 74.6, 151.9, 328.4, 645.3, 1321.6", \ + " 46.1, 75.1, 152.1, 328.4, 645.3, 1321.6", \ + " 49.0, 77.2, 153.2, 328.8, 645.4, 1321.6", \ + " 53.1, 80.7, 155.4, 329.9, 645.7, 1321.6", \ + " 58.4, 85.4, 158.7, 331.5, 646.5, 1321.8", \ + " 65.6, 91.9, 163.3, 334.1, 647.6, 1322.1", \ + " 75.9, 101.4, 170.7, 338.2, 649.6, 1322.7", \ + " 90.4, 115.1, 182.5, 345.6, 653.5, 1324.0" ); }} +timing() { /* ring osc delay nd2abv0x3, path b to z 65.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.3 ; */ +/* intrinsic_fall : 83.2 ; */ +/* rise_resistance : 1.35 ; */ +/* fall_resistance : 1.03 ; */ +cell_rise(x3_487_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 75.5, 117.1, 208.5, 370.0, 712.6", \ + " 65.5, 82.4, 124.1, 215.5, 377.1, 719.7", \ + " 70.9, 87.7, 129.3, 220.8, 382.4, 725.1", \ + " 77.4, 94.1, 135.6, 227.1, 388.7, 731.4", \ + " 85.9, 102.8, 144.1, 235.4, 397.1, 739.8", \ + " 94.3, 111.4, 152.7, 243.9, 405.4, 748.2", \ + " 102.8, 120.3, 161.5, 252.7, 414.0, 756.7", \ + " 110.8, 129.0, 170.4, 261.2, 422.6, 765.1", \ + " 117.2, 136.4, 178.8, 269.5, 430.7, 773.1", \ + " 120.1, 140.7, 184.8, 276.5, 437.9, 780.2" ); } +rise_transition(x3_487_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.4, 92.2, 200.4, 448.4, 891.2, 1832.8", \ + " 53.5, 92.3, 200.4, 448.4, 891.2, 1832.8", \ + " 53.9, 92.6, 200.5, 448.4, 891.2, 1832.8", \ + " 55.4, 93.5, 200.8, 448.4, 891.2, 1832.8", \ + " 59.0, 96.2, 202.0, 448.7, 891.3, 1832.8", \ + " 63.3, 99.9, 204.1, 449.5, 891.5, 1832.8", \ + " 69.2, 104.9, 207.4, 450.8, 892.0, 1833.0", \ + " 77.1, 112.1, 212.3, 453.2, 892.9, 1833.5", \ + " 88.0, 122.7, 220.8, 458.1, 895.3, 1834.3", \ + " 103.0, 137.9, 234.4, 467.8, 901.2, 1837.2" ); } +cell_fall(x3_487_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.5, 75.3, 108.1, 177.5, 299.8, 559.3", \ + " 68.3, 83.0, 115.9, 185.3, 307.6, 567.1", \ + " 74.5, 89.2, 122.1, 191.6, 313.9, 573.4", \ + " 82.8, 97.5, 130.3, 199.8, 322.2, 581.7", \ + " 95.3, 110.5, 143.5, 213.0, 335.3, 594.8", \ + " 109.9, 125.8, 159.6, 229.1, 351.3, 610.8", \ + " 128.3, 145.1, 179.7, 249.6, 371.7, 631.0", \ + " 151.5, 169.4, 205.2, 275.3, 397.6, 656.7", \ + " 181.6, 200.8, 238.7, 309.5, 431.7, 690.9", \ + " 221.2, 242.2, 283.2, 356.0, 478.4, 737.7" ); } +fall_transition(x3_487_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.6, 75.5, 152.1, 328.1, 645.1, 1321.6", \ + " 46.8, 75.6, 152.1, 328.1, 645.1, 1321.6", \ + " 47.1, 75.8, 152.2, 328.1, 645.1, 1321.6", \ + " 48.5, 76.7, 152.6, 328.2, 645.1, 1321.6", \ + " 52.8, 80.2, 154.4, 328.7, 645.2, 1321.6", \ + " 57.9, 85.3, 158.0, 330.4, 645.7, 1321.6", \ + " 64.3, 91.5, 163.0, 333.2, 646.9, 1321.8", \ + " 72.7, 99.8, 169.7, 337.2, 648.7, 1322.6", \ + " 84.3, 111.5, 180.0, 343.7, 652.0, 1323.7", \ + " 100.4, 127.6, 195.7, 355.3, 658.8, 1326.4" ); }} +} +} +cell(nd2abv0x4) { /* 2008-01-06:07h41 */ +area : 12 ; /* tracks */ +cell_leakage_power : 2888 ; /* nd2abv0x4 */ +cell_footprint : or2 ; +pin(a) { /* nd2abv0x4 FO4 effort 1.52 */ +direction : input ; +capacitance : 5.42 ; +rise_capacitance : 5.55 ; +fall_capacitance : 5.28 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd2abv0x4 */ +internal_power(a) { /* nd2abv0x4 22.39 nW/MHz */ +power(pwr_intran_x10) { +values( " 11.23, 11.01, 11.04, 11.19, 11.64, 12.50, 14.06, 16.66, 20.95, 27.88" ); }} +} +pin(b) { /* nd2abv0x4 FO4 effort 1.45 */ +direction : input ; +capacitance : 5.39 ; +rise_capacitance : 5.51 ; +fall_capacitance : 5.28 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd2abv0x4 */ +internal_power(b) { /* nd2abv0x4 21.64 nW/MHz */ +power(pwr_intran_x10) { +values( " 10.82, 10.60, 10.64, 10.82, 11.32, 12.25, 13.89, 16.59, 21.00, 28.08" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 497 ; +max_fanout : 10 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* nd2abv0x4 52.26 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 14.50, 14.78, 15.12, 15.31, 15.35", \ + " 14.45, 14.70, 15.04, 15.26, 15.32", \ + " 14.43, 14.65, 14.98, 15.22, 15.30", \ + " 14.48, 14.64, 14.94, 15.19, 15.28", \ + " 14.67, 14.71, 14.92, 15.15, 15.26", \ + " 14.98, 14.88, 14.96, 15.15, 15.26", \ + " 15.47, 15.18, 15.09, 15.17, 15.26", \ + " 16.23, 15.69, 15.34, 15.27, 15.29", \ + " 17.41, 16.53, 15.81, 15.48, 15.38", \ + " 19.28, 17.93, 16.67, 15.95, 15.64" ); }} +internal_power(b_z_p) { /* nd2abv0x4 45.37 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 11.25, 11.66, 12.21, 12.55, 12.66", \ + " 11.18, 11.54, 12.09, 12.48, 12.63", \ + " 11.15, 11.44, 11.97, 12.40, 12.57", \ + " 11.21, 11.40, 11.86, 12.30, 12.51", \ + " 11.42, 11.43, 11.76, 12.18, 12.41", \ + " 11.75, 11.56, 11.70, 12.05, 12.30", \ + " 12.29, 11.83, 11.73, 11.95, 12.17", \ + " 13.13, 12.31, 11.88, 11.90, 12.06", \ + " 14.43, 13.15, 12.27, 11.98, 12.00", \ + " 16.44, 14.57, 13.03, 12.28, 12.06" ); }} +timing() { /* ring osc delay nd2abv0x4, path a to z 73.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.8 ; */ +/* intrinsic_fall : 89.4 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.1, 80.2, 115.3, 192.1, 327.6, 614.8", \ + " 73.3, 87.3, 122.4, 199.2, 334.7, 621.9", \ + " 78.7, 92.7, 127.7, 204.6, 340.1, 627.3", \ + " 85.5, 99.3, 134.2, 211.1, 346.6, 633.8", \ + " 94.7, 108.6, 143.3, 219.9, 355.4, 642.7", \ + " 103.9, 117.8, 152.6, 229.0, 364.3, 651.6", \ + " 113.4, 127.5, 162.1, 238.5, 373.6, 660.7", \ + " 122.5, 137.0, 171.8, 247.7, 382.9, 669.7", \ + " 130.5, 145.7, 181.0, 257.0, 391.8, 678.5", \ + " 135.3, 151.5, 188.2, 264.9, 399.9, 686.4" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.1, 101.5, 191.3, 398.4, 769.3, 1558.6", \ + " 69.2, 101.6, 191.3, 398.4, 769.3, 1558.6", \ + " 69.4, 101.8, 191.4, 398.4, 769.3, 1558.6", \ + " 70.6, 102.5, 191.7, 398.4, 769.3, 1558.6", \ + " 73.8, 105.1, 193.1, 398.8, 769.3, 1558.6", \ + " 78.1, 108.9, 195.5, 399.8, 769.7, 1558.6", \ + " 83.8, 113.8, 199.1, 401.5, 770.4, 1558.9", \ + " 91.6, 121.0, 204.3, 404.4, 771.5, 1559.4", \ + " 102.5, 131.5, 213.1, 409.9, 774.4, 1560.5", \ + " 117.5, 146.7, 227.0, 420.5, 781.1, 1563.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.9, 78.2, 105.6, 162.0, 260.1, 467.8", \ + " 73.7, 85.9, 113.4, 169.7, 267.9, 475.6", \ + " 79.9, 92.2, 119.7, 176.1, 274.2, 481.9", \ + " 88.2, 100.4, 127.9, 184.4, 282.5, 490.3", \ + " 101.0, 113.4, 141.1, 197.6, 295.8, 503.6", \ + " 115.8, 128.8, 157.0, 213.7, 311.9, 519.7", \ + " 134.6, 148.1, 177.0, 234.1, 332.3, 540.1", \ + " 158.2, 172.4, 202.1, 259.7, 358.1, 565.8", \ + " 188.7, 203.9, 235.0, 293.5, 391.9, 599.7", \ + " 228.8, 245.3, 278.5, 338.5, 437.4, 645.1" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.9, 68.4, 130.2, 270.7, 523.6, 1064.1", \ + " 44.9, 68.4, 130.2, 270.8, 523.6, 1064.1", \ + " 45.0, 68.6, 130.3, 270.8, 523.6, 1064.1", \ + " 45.7, 69.0, 130.5, 270.9, 523.6, 1064.1", \ + " 48.6, 71.2, 131.7, 271.3, 523.8, 1064.2", \ + " 53.0, 75.1, 134.2, 272.7, 524.3, 1064.2", \ + " 58.7, 80.1, 138.1, 274.8, 525.3, 1064.5", \ + " 66.3, 87.1, 143.4, 278.0, 526.9, 1065.0", \ + " 77.1, 97.1, 151.7, 283.0, 529.5, 1065.9", \ + " 92.3, 111.5, 164.6, 291.8, 534.5, 1067.9" ); }} +timing() { /* ring osc delay nd2abv0x4, path b to z 67.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.5 ; */ +/* intrinsic_fall : 85.3 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.4, 75.0, 110.3, 187.2, 322.7, 609.9", \ + " 67.5, 82.0, 117.3, 194.2, 329.8, 617.1", \ + " 73.0, 87.3, 122.6, 199.6, 335.1, 622.5", \ + " 79.8, 94.0, 129.0, 206.0, 341.6, 629.0", \ + " 88.8, 103.2, 138.0, 214.8, 350.4, 637.8", \ + " 97.8, 112.4, 147.3, 223.8, 359.3, 646.7", \ + " 107.0, 122.0, 156.8, 233.3, 368.6, 655.9", \ + " 115.8, 131.3, 166.5, 242.5, 377.8, 664.9", \ + " 123.2, 139.7, 175.8, 251.8, 386.7, 673.7", \ + " 127.5, 145.0, 182.8, 259.7, 394.9, 681.6" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.1, 86.4, 176.3, 383.5, 754.4, 1543.5", \ + " 54.2, 86.5, 176.4, 383.5, 754.4, 1543.5", \ + " 54.5, 86.7, 176.4, 383.5, 754.4, 1543.5", \ + " 55.8, 87.6, 176.8, 383.6, 754.4, 1543.5", \ + " 59.5, 90.5, 178.2, 384.0, 754.5, 1543.5", \ + " 64.1, 94.6, 180.7, 385.0, 754.8, 1543.5", \ + " 70.1, 99.9, 184.6, 386.7, 755.5, 1543.8", \ + " 78.3, 107.5, 190.1, 389.7, 756.7, 1544.3", \ + " 89.5, 118.4, 199.3, 395.4, 759.5, 1545.4", \ + " 104.8, 134.0, 213.5, 406.2, 766.4, 1548.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 74.1, 101.3, 157.3, 255.3, 462.9", \ + " 69.5, 81.9, 109.0, 165.1, 263.1, 470.7", \ + " 75.8, 88.1, 115.3, 171.3, 269.4, 477.1", \ + " 84.1, 96.4, 123.6, 179.6, 277.7, 485.4", \ + " 97.0, 109.8, 137.1, 193.1, 291.1, 498.8", \ + " 112.1, 125.4, 153.6, 209.7, 307.6, 515.2", \ + " 130.9, 145.1, 174.1, 230.8, 328.5, 536.0", \ + " 154.6, 169.7, 200.0, 257.1, 355.1, 562.3", \ + " 185.4, 201.5, 233.9, 292.0, 389.9, 597.2", \ + " 225.9, 243.4, 278.6, 339.1, 437.5, 644.7" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.5, 69.7, 130.7, 270.6, 523.3, 1064.1", \ + " 46.6, 69.9, 130.7, 270.6, 523.3, 1064.1", \ + " 46.9, 70.1, 130.9, 270.6, 523.3, 1064.1", \ + " 48.2, 71.0, 131.3, 270.7, 523.4, 1064.1", \ + " 52.6, 74.5, 133.4, 271.5, 523.5, 1064.1", \ + " 58.1, 80.1, 137.5, 273.7, 524.3, 1064.1", \ + " 64.9, 86.8, 143.3, 277.1, 525.9, 1064.6", \ + " 73.9, 95.6, 150.9, 282.2, 528.5, 1065.6", \ + " 86.2, 107.8, 162.2, 290.0, 532.8, 1067.2", \ + " 103.2, 124.5, 178.9, 303.3, 541.3, 1070.9" ); }} +} +} +cell(nd3v0x05) { /* 2008-01-06:07h42 characteristic delay 13.4 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 462 ; /* nd3v0x05 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x05 FO4 effort 1.55 logical effort 1.54 */ +direction : input ; +capacitance : 2.39 ; +rise_capacitance : 2.28 ; +fall_capacitance : 2.50 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x05 */ +} +pin(b) { /* nd3v0x05 FO4 effort 1.54 logical effort 1.62 */ +direction : input ; +capacitance : 2.52 ; +rise_capacitance : 2.47 ; +fall_capacitance : 2.57 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd3v0x05 */ +} +pin(c) { /* nd3v0x05 FO4 effort 1.42 logical effort 1.53 */ +direction : input ; +capacitance : 2.38 ; +rise_capacitance : 2.41 ; +fall_capacitance : 2.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 76 ; +max_fanout : 2 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x05 8.94 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 4.53, 4.54, 4.56, 4.56, 4.55", \ + " 4.42, 4.45, 4.49, 4.51, 4.51", \ + " 4.41, 4.43, 4.47, 4.49, 4.50", \ + " 4.44, 4.45, 4.47, 4.49, 4.49", \ + " 4.56, 4.54, 4.52, 4.52, 4.51", \ + " 4.85, 4.78, 4.69, 4.62, 4.57", \ + " 5.43, 5.28, 5.06, 4.86, 4.72", \ + " 6.47, 6.22, 5.80, 5.38, 5.07", \ + " 8.24, 7.86, 7.17, 6.40, 5.80", \ + " 11.15, 10.63, 9.62, 8.33, 7.25" ); }} +internal_power(b_z_n) { /* nd3v0x05 7.41 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 3.75, 3.78, 3.81, 3.82, 3.82", \ + " 3.62, 3.67, 3.73, 3.78, 3.79", \ + " 3.61, 3.65, 3.71, 3.76, 3.78", \ + " 3.64, 3.66, 3.70, 3.75, 3.77", \ + " 3.78, 3.76, 3.76, 3.77, 3.78", \ + " 4.10, 4.02, 3.92, 3.86, 3.83", \ + " 4.70, 4.53, 4.30, 4.10, 3.98", \ + " 5.74, 5.46, 5.03, 4.61, 4.31", \ + " 7.47, 7.06, 6.36, 5.59, 5.01", \ + " 10.27, 9.72, 8.69, 7.43, 6.39" ); }} +internal_power(c_z_n) { /* nd3v0x05 5.77 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_97_5x10) { +values( " 2.86, 2.92, 2.98, 3.02, 3.02", \ + " 2.75, 2.82, 2.91, 2.98, 3.01", \ + " 2.76, 2.80, 2.88, 2.96, 3.00", \ + " 2.82, 2.83, 2.88, 2.95, 2.99", \ + " 3.00, 2.96, 2.95, 2.97, 3.00", \ + " 3.36, 3.25, 3.13, 3.07, 3.05", \ + " 4.01, 3.81, 3.54, 3.32, 3.20", \ + " 5.08, 4.76, 4.29, 3.84, 3.53", \ + " 6.83, 6.37, 5.62, 4.83, 4.24", \ + " 9.60, 9.00, 7.92, 6.64, 5.61" ); }} +timing() { /* ring osc delay nd3v0x05, path a to z 65.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.7 ; */ +/* intrinsic_fall : 44.8 ; */ +/* rise_resistance : 5.94 ; */ +/* fall_resistance : 5.10 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.0, 62.0, 98.3, 178.7, 320.9, 622.5", \ + " 55.5, 69.5, 105.9, 186.4, 328.6, 630.2", \ + " 61.8, 75.6, 111.9, 192.3, 334.5, 636.2", \ + " 70.6, 84.3, 120.2, 200.5, 342.6, 644.2", \ + " 85.6, 100.1, 135.4, 215.1, 356.9, 658.3", \ + " 103.6, 120.4, 157.9, 236.7, 377.9, 678.8", \ + " 127.0, 146.2, 190.0, 270.1, 410.0, 710.1", \ + " 157.6, 179.3, 229.5, 320.2, 458.5, 756.7", \ + " 199.6, 224.1, 280.8, 385.9, 533.4, 828.4", \ + " 258.1, 286.1, 350.5, 470.3, 642.5, 940.0" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.6, 137.2, 234.8, 454.5, 844.9, 1674.1", \ + " 102.5, 138.0, 234.8, 454.5, 844.9, 1674.1", \ + " 107.1, 141.5, 235.9, 454.5, 844.9, 1674.1", \ + " 115.0, 148.1, 240.0, 454.8, 844.9, 1674.1", \ + " 131.2, 162.6, 250.8, 459.7, 844.9, 1674.1", \ + " 156.9, 186.6, 270.5, 472.7, 849.0, 1674.1", \ + " 193.2, 225.6, 305.1, 498.7, 864.6, 1675.1", \ + " 239.5, 276.9, 360.6, 544.9, 898.2, 1689.3", \ + " 302.4, 345.2, 442.1, 623.5, 961.1, 1729.2", \ + " 390.6, 439.3, 550.2, 751.0, 1072.8, 1811.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.3, 50.9, 81.5, 150.1, 271.9, 530.5", \ + " 43.3, 55.1, 85.9, 154.6, 276.5, 535.2", \ + " 45.5, 57.3, 88.2, 157.0, 278.9, 537.7", \ + " 46.8, 59.7, 90.9, 159.8, 281.7, 540.5", \ + " 47.7, 61.7, 95.2, 164.2, 286.2, 545.0", \ + " 47.3, 62.8, 98.9, 170.5, 292.4, 551.2", \ + " 44.0, 62.1, 102.1, 178.7, 301.8, 560.5", \ + " 35.7, 57.3, 103.3, 186.6, 315.9, 574.3", \ + " 19.3, 45.1, 99.6, 193.0, 331.9, 595.7", \ + " -9.5, 21.0, 85.9, 194.6, 347.2, 627.1" ); } +fall_transition(x05_97_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 69.3, 102.6, 191.9, 393.2, 751.2, 1512.0", \ + " 70.9, 103.7, 192.2, 393.2, 751.2, 1512.0", \ + " 73.8, 106.1, 194.0, 393.8, 751.2, 1512.0", \ + " 79.0, 110.4, 197.2, 395.8, 751.8, 1512.0", \ + " 91.6, 120.6, 204.8, 401.1, 754.9, 1512.4", \ + " 107.8, 139.1, 219.7, 411.7, 762.0, 1515.8", \ + " 134.4, 165.8, 248.6, 433.0, 777.0, 1524.6", \ + " 176.1, 207.6, 290.8, 472.1, 806.4, 1543.4", \ + " 241.4, 273.1, 355.9, 539.3, 861.5, 1582.1", \ + " 343.0, 374.1, 457.4, 640.3, 959.8, 1657.3" ); }} +timing() { /* ring osc delay nd3v0x05, path b to z 61.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.3 ; */ +/* intrinsic_fall : 44.0 ; */ +/* rise_resistance : 5.93 ; */ +/* fall_resistance : 5.11 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 56.1, 92.1, 172.2, 314.2, 615.7", \ + " 49.6, 63.4, 99.5, 179.9, 322.0, 623.6", \ + " 55.9, 69.5, 105.5, 185.8, 328.0, 629.6", \ + " 64.7, 78.0, 113.7, 193.8, 336.0, 637.6", \ + " 77.8, 93.3, 128.7, 208.3, 350.2, 651.7", \ + " 93.7, 111.7, 151.2, 229.7, 371.0, 672.1", \ + " 114.5, 135.1, 181.3, 263.0, 402.9, 703.1", \ + " 142.0, 165.4, 218.4, 312.3, 451.2, 749.6", \ + " 179.3, 206.2, 266.5, 375.5, 525.7, 821.1", \ + " 230.9, 262.1, 331.4, 456.4, 632.7, 932.1" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.7, 120.3, 218.0, 437.6, 827.9, 1656.9", \ + " 86.0, 121.3, 218.0, 437.6, 827.9, 1656.9", \ + " 91.1, 125.1, 219.1, 437.6, 827.9, 1656.9", \ + " 99.6, 132.2, 223.5, 437.9, 827.9, 1656.9", \ + " 116.5, 147.3, 234.7, 443.0, 827.9, 1656.9", \ + " 142.7, 172.0, 254.9, 456.2, 832.0, 1656.9", \ + " 176.0, 210.4, 290.1, 482.7, 847.8, 1657.8", \ + " 219.6, 259.2, 346.3, 529.5, 881.8, 1672.1", \ + " 279.8, 324.7, 425.5, 608.9, 945.5, 1712.4", \ + " 364.9, 416.0, 530.8, 736.6, 1058.2, 1795.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.3, 47.9, 78.6, 147.2, 268.9, 527.6", \ + " 40.5, 52.3, 83.2, 151.9, 273.8, 532.5", \ + " 43.2, 55.3, 86.2, 155.1, 277.0, 535.7", \ + " 45.2, 58.8, 90.3, 159.2, 281.2, 540.0", \ + " 47.4, 62.8, 97.5, 166.6, 288.6, 547.4", \ + " 48.5, 66.3, 105.0, 177.3, 299.3, 558.1", \ + " 47.3, 68.2, 112.9, 192.4, 315.5, 574.2", \ + " 42.2, 66.9, 119.2, 208.9, 339.7, 598.2", \ + " 30.7, 59.8, 121.5, 225.5, 370.5, 634.5", \ + " 8.9, 43.0, 115.9, 238.4, 404.1, 689.2" ); } +fall_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.1, 99.5, 186.4, 382.1, 730.3, 1470.2", \ + " 70.1, 101.6, 187.1, 382.2, 730.3, 1470.2", \ + " 74.6, 105.4, 189.8, 382.9, 730.3, 1470.2", \ + " 82.6, 112.0, 194.6, 385.7, 730.7, 1470.2", \ + " 99.7, 126.7, 205.8, 393.0, 734.4, 1470.3", \ + " 118.3, 150.1, 225.9, 407.4, 743.3, 1473.2", \ + " 147.6, 180.1, 261.4, 435.1, 762.7, 1482.9", \ + " 191.5, 224.6, 308.8, 482.5, 799.8, 1505.9", \ + " 256.3, 290.8, 377.3, 559.6, 866.4, 1553.7", \ + " 350.1, 387.5, 478.9, 667.7, 979.2, 1644.5" ); }} +timing() { /* ring osc delay nd3v0x05, path c to z 54.4 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.4 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 5.94 ; */ +/* fall_resistance : 5.10 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.1, 48.2, 84.4, 164.7, 306.8, 608.3", \ + " 41.6, 55.4, 91.8, 172.4, 314.7, 616.4", \ + " 48.0, 61.5, 97.7, 178.3, 320.6, 622.4", \ + " 55.7, 70.1, 105.8, 186.2, 328.6, 630.3", \ + " 66.8, 84.1, 120.8, 200.6, 342.7, 644.4", \ + " 80.4, 100.4, 142.9, 221.9, 363.4, 664.7", \ + " 98.4, 121.4, 170.9, 254.9, 395.2, 695.6", \ + " 122.2, 148.6, 205.5, 303.3, 443.2, 742.0", \ + " 154.2, 184.9, 250.4, 364.0, 517.4, 813.1", \ + " 198.1, 234.3, 310.4, 441.4, 622.1, 923.8" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.6, 103.2, 200.6, 420.0, 809.9, 1638.6", \ + " 69.8, 104.4, 200.6, 420.0, 809.9, 1638.6", \ + " 75.6, 108.8, 201.9, 420.0, 809.9, 1638.6", \ + " 84.8, 116.4, 206.5, 420.2, 809.9, 1638.6", \ + " 102.9, 132.4, 218.3, 425.5, 809.9, 1638.6", \ + " 127.2, 158.0, 239.3, 439.1, 814.1, 1638.6", \ + " 157.9, 194.8, 275.3, 466.2, 830.2, 1639.5", \ + " 199.4, 241.1, 332.5, 513.9, 864.7, 1654.0", \ + " 258.2, 304.6, 408.9, 594.4, 929.3, 1694.6", \ + " 342.3, 394.7, 511.9, 722.4, 1043.4, 1778.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.5, 41.2, 71.9, 140.5, 262.3, 521.0", \ + " 34.8, 46.5, 77.3, 146.1, 268.0, 526.7", \ + " 38.4, 50.8, 81.6, 150.4, 272.3, 531.0", \ + " 41.4, 55.9, 87.5, 156.2, 278.1, 536.8", \ + " 44.4, 61.6, 97.9, 166.6, 288.3, 547.0", \ + " 46.3, 66.4, 108.9, 181.7, 303.2, 561.7", \ + " 46.4, 69.9, 119.7, 203.7, 325.9, 584.0", \ + " 43.2, 70.6, 128.6, 227.0, 359.8, 617.1", \ + " 34.3, 66.4, 134.2, 249.3, 404.5, 667.6", \ + " 16.0, 53.8, 133.4, 268.0, 450.5, 744.9" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.7, 96.6, 181.6, 372.8, 712.7, 1434.9", \ + " 69.9, 99.9, 182.3, 372.8, 712.7, 1434.9", \ + " 76.8, 105.7, 186.0, 373.1, 712.7, 1434.9", \ + " 87.2, 115.0, 193.0, 376.5, 712.6, 1434.9", \ + " 105.7, 133.2, 208.3, 386.6, 716.2, 1434.9", \ + " 124.3, 158.5, 233.1, 406.1, 728.0, 1436.3", \ + " 153.4, 189.0, 273.1, 440.9, 753.7, 1448.0", \ + " 195.6, 233.4, 323.4, 496.4, 800.9, 1478.1", \ + " 256.7, 298.1, 393.7, 581.9, 881.1, 1539.3", \ + " 345.3, 391.8, 496.1, 696.9, 1008.9, 1651.7" ); }} +} +} +cell(nd3v0x1) { /* 2008-01-06:07h42 characteristic delay 12.3 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 924 ; /* nd3v0x1 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x1 FO4 effort 1.47 logical effort 1.45 */ +direction : input ; +capacitance : 4.51 ; +rise_capacitance : 4.29 ; +fall_capacitance : 4.73 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x1 */ +} +pin(b) { /* nd3v0x1 FO4 effort 1.43 logical effort 1.47 */ +direction : input ; +capacitance : 4.57 ; +rise_capacitance : 4.47 ; +fall_capacitance : 4.66 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd3v0x1 */ +} +pin(c) { /* nd3v0x1 FO4 effort 1.32 logical effort 1.39 */ +direction : input ; +capacitance : 4.34 ; +rise_capacitance : 4.40 ; +fall_capacitance : 4.28 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 153 ; +max_fanout : 4 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x1 16.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 8.30, 8.34, 8.37, 8.38, 8.37", \ + " 8.09, 8.15, 8.23, 8.28, 8.28", \ + " 8.06, 8.12, 8.19, 8.25, 8.26", \ + " 8.13, 8.15, 8.20, 8.24, 8.25", \ + " 8.40, 8.35, 8.31, 8.29, 8.27", \ + " 9.02, 8.86, 8.66, 8.50, 8.40", \ + " 10.23, 9.90, 9.42, 9.00, 8.71", \ + " 12.36, 11.81, 10.93, 10.05, 9.41", \ + " 15.97, 15.15, 13.72, 12.11, 10.88", \ + " 21.86, 20.76, 18.66, 16.01, 13.80" ); }} +internal_power(b_z_n) { /* nd3v0x1 13.35 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 6.74, 6.80, 6.87, 6.90, 6.89", \ + " 6.50, 6.60, 6.72, 6.81, 6.83", \ + " 6.49, 6.56, 6.68, 6.77, 6.81", \ + " 6.57, 6.60, 6.68, 6.76, 6.80", \ + " 6.89, 6.83, 6.80, 6.81, 6.82", \ + " 7.55, 7.36, 7.15, 7.01, 6.93", \ + " 8.80, 8.43, 7.93, 7.50, 7.24", \ + " 10.94, 10.33, 9.41, 8.53, 7.92", \ + " 14.46, 13.59, 12.11, 10.53, 9.34", \ + " 20.12, 18.97, 16.83, 14.23, 12.11" ); }} +internal_power(c_z_n) { /* nd3v0x1 10.17 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 5.00, 5.13, 5.26, 5.33, 5.35", \ + " 4.81, 4.94, 5.12, 5.26, 5.32", \ + " 4.84, 4.92, 5.08, 5.22, 5.30", \ + " 4.98, 5.00, 5.09, 5.21, 5.28", \ + " 5.38, 5.28, 5.23, 5.26, 5.30", \ + " 6.14, 5.89, 5.62, 5.47, 5.41", \ + " 7.49, 7.03, 6.45, 5.98, 5.72", \ + " 9.69, 8.99, 7.97, 7.03, 6.40", \ + " 13.23, 12.26, 10.67, 9.04, 7.83", \ + " 18.84, 17.58, 15.32, 12.70, 10.59" ); }} +timing() { /* ring osc delay nd3v0x1, path a to z 59.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 63.6 ; */ +/* intrinsic_fall : 41.9 ; */ +/* rise_resistance : 2.97 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 58.8, 95.2, 175.6, 317.8, 619.3", \ + " 52.3, 66.3, 102.7, 183.2, 325.4, 627.1", \ + " 58.6, 72.5, 108.8, 189.2, 331.4, 633.0", \ + " 67.5, 81.2, 117.1, 197.3, 339.5, 641.0", \ + " 82.1, 96.9, 132.3, 212.0, 353.8, 655.2", \ + " 99.5, 116.7, 154.9, 233.6, 374.7, 675.7", \ + " 122.2, 141.9, 186.5, 267.1, 406.9, 706.9", \ + " 152.3, 174.5, 225.4, 317.1, 455.5, 753.6", \ + " 193.5, 218.6, 276.2, 382.2, 530.4, 825.3", \ + " 251.2, 279.9, 345.3, 466.0, 639.1, 936.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.4, 129.0, 226.6, 446.3, 836.7, 1665.9", \ + " 94.6, 130.0, 226.7, 446.3, 836.7, 1665.9", \ + " 99.6, 133.7, 227.9, 446.3, 836.7, 1665.9", \ + " 107.8, 140.7, 232.2, 446.7, 836.7, 1665.9", \ + " 124.4, 155.6, 243.3, 451.8, 836.7, 1665.9", \ + " 150.7, 179.9, 263.3, 465.0, 841.0, 1665.9", \ + " 185.5, 218.8, 298.3, 491.3, 856.8, 1667.0", \ + " 230.6, 269.0, 354.1, 537.8, 890.6, 1681.3", \ + " 292.2, 336.1, 434.7, 616.8, 953.8, 1721.5", \ + " 378.9, 429.1, 541.7, 744.3, 1065.8, 1804.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 48.3, 78.9, 147.5, 269.3, 527.9", \ + " 40.6, 52.4, 83.2, 152.0, 273.8, 532.5", \ + " 42.5, 54.6, 85.5, 154.3, 276.2, 535.0", \ + " 43.6, 56.7, 88.2, 157.1, 279.0, 537.8", \ + " 44.2, 58.5, 92.3, 161.5, 283.4, 542.2", \ + " 43.3, 59.3, 95.9, 167.7, 289.6, 548.4", \ + " 39.4, 58.2, 98.8, 175.8, 299.1, 557.7", \ + " 30.2, 52.7, 99.6, 183.5, 313.1, 571.6", \ + " 13.0, 39.8, 95.5, 189.7, 329.0, 593.0", \ + " -16.8, 14.8, 81.1, 190.9, 344.1, 624.3" ); } +fall_transition(x1_195_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 62.5, 95.8, 185.1, 386.3, 744.4, 1505.2", \ + " 64.3, 97.0, 185.4, 386.4, 744.4, 1505.2", \ + " 67.4, 99.6, 187.3, 387.0, 744.4, 1505.2", \ + " 72.9, 104.0, 190.6, 389.1, 745.0, 1505.2", \ + " 85.4, 114.7, 198.4, 394.5, 748.2, 1505.6", \ + " 101.7, 133.1, 213.7, 405.2, 755.4, 1509.0", \ + " 128.5, 159.9, 242.9, 426.8, 770.5, 1517.9", \ + " 170.3, 201.8, 285.0, 466.3, 800.2, 1536.9", \ + " 235.7, 267.5, 350.4, 533.7, 855.7, 1575.8", \ + " 337.9, 368.5, 451.9, 634.8, 954.3, 1651.2" ); }} +timing() { /* ring osc delay nd3v0x1, path b to z 55.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.2 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 2.96 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.0, 52.8, 88.8, 168.9, 310.9, 612.4", \ + " 46.4, 60.1, 96.3, 176.6, 318.7, 620.3", \ + " 52.7, 66.3, 102.2, 182.5, 324.7, 626.3", \ + " 61.3, 74.9, 110.5, 190.6, 332.7, 634.3", \ + " 73.9, 89.9, 125.6, 205.1, 346.9, 648.4", \ + " 89.3, 107.8, 148.1, 226.6, 367.8, 668.8", \ + " 109.5, 130.7, 177.7, 259.9, 399.8, 699.9", \ + " 136.3, 160.4, 214.2, 309.0, 448.1, 746.4", \ + " 172.8, 200.5, 261.8, 371.8, 522.7, 817.9", \ + " 223.3, 255.6, 326.1, 452.1, 629.2, 929.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.0, 112.6, 210.3, 429.9, 820.1, 1649.1", \ + " 78.8, 113.8, 210.3, 429.9, 820.1, 1649.1", \ + " 84.2, 118.0, 211.6, 429.9, 820.1, 1649.1", \ + " 92.9, 125.3, 216.2, 430.3, 820.1, 1649.1", \ + " 110.4, 140.7, 227.7, 435.5, 820.1, 1649.1", \ + " 135.8, 165.8, 248.2, 449.0, 824.5, 1649.1", \ + " 168.0, 203.5, 283.7, 475.8, 840.5, 1650.2", \ + " 210.6, 251.3, 340.2, 522.8, 874.7, 1664.7", \ + " 269.6, 315.8, 418.2, 602.6, 938.6, 1705.1", \ + " 353.3, 405.9, 522.5, 730.1, 1051.7, 1788.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 45.1, 75.7, 144.3, 266.1, 524.8", \ + " 37.6, 49.4, 80.3, 149.0, 270.9, 529.6", \ + " 39.9, 52.5, 83.4, 152.2, 274.1, 532.8", \ + " 41.7, 55.7, 87.5, 156.4, 278.3, 537.1", \ + " 43.6, 59.4, 94.6, 163.8, 285.7, 544.5", \ + " 44.1, 62.5, 101.9, 174.5, 296.4, 555.2", \ + " 42.2, 63.9, 109.4, 189.5, 312.7, 571.4", \ + " 36.2, 61.9, 115.3, 205.8, 336.9, 595.3", \ + " 23.9, 54.0, 117.0, 222.0, 367.5, 631.7", \ + " 0.9, 36.2, 110.7, 234.4, 400.8, 686.3" ); } +fall_transition(x1_195_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 60.5, 92.9, 179.7, 375.5, 723.7, 1463.6", \ + " 63.9, 95.3, 180.6, 375.5, 723.7, 1463.6", \ + " 68.7, 99.2, 183.4, 376.4, 723.7, 1463.6", \ + " 77.1, 106.1, 188.3, 379.3, 724.2, 1463.6", \ + " 93.2, 121.3, 199.8, 386.6, 727.9, 1463.6", \ + " 111.8, 143.9, 220.2, 401.3, 736.9, 1466.6", \ + " 141.1, 173.9, 256.1, 429.2, 756.5, 1476.4", \ + " 184.9, 218.3, 302.8, 477.0, 793.8, 1499.5", \ + " 249.3, 284.3, 371.2, 553.8, 860.7, 1547.6", \ + " 342.2, 380.2, 472.5, 661.8, 973.6, 1638.6" ); }} +timing() { /* ring osc delay nd3v0x1, path c to z 48.4 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.5 ; */ +/* intrinsic_fall : 38.3 ; */ +/* rise_resistance : 2.97 ; */ +/* fall_resistance : 2.55 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.0, 45.1, 81.3, 161.6, 303.7, 605.2", \ + " 38.6, 52.4, 88.8, 169.4, 311.6, 613.3", \ + " 45.1, 58.5, 94.7, 175.2, 317.6, 619.3", \ + " 52.2, 67.2, 102.9, 183.2, 325.5, 627.2", \ + " 62.7, 80.7, 117.9, 197.6, 339.7, 641.3", \ + " 75.8, 96.5, 139.8, 218.9, 360.3, 661.6", \ + " 93.2, 117.0, 167.4, 252.1, 392.2, 692.6", \ + " 116.1, 143.5, 201.5, 300.2, 440.3, 739.0", \ + " 147.2, 179.1, 245.8, 360.5, 514.6, 810.2", \ + " 189.9, 227.5, 305.2, 437.3, 618.9, 920.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.4, 96.0, 193.5, 412.8, 802.8, 1631.4", \ + " 63.3, 97.6, 193.5, 412.8, 802.8, 1631.4", \ + " 69.4, 102.2, 195.0, 412.8, 802.8, 1631.4", \ + " 78.9, 110.1, 199.8, 413.2, 802.8, 1631.4", \ + " 97.6, 126.4, 211.9, 418.6, 802.8, 1631.4", \ + " 120.1, 152.5, 233.1, 432.5, 807.2, 1631.4", \ + " 149.8, 187.9, 269.5, 459.8, 823.5, 1632.5", \ + " 190.6, 233.3, 326.5, 507.8, 858.1, 1647.1", \ + " 248.4, 296.0, 401.9, 588.6, 923.0, 1687.9", \ + " 331.2, 385.1, 504.0, 716.3, 1037.4, 1772.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.8, 38.5, 69.2, 137.9, 259.7, 518.3", \ + " 32.2, 43.8, 74.7, 143.5, 265.3, 524.1", \ + " 35.3, 48.2, 79.0, 147.8, 269.6, 528.4", \ + " 37.8, 52.9, 84.9, 153.6, 275.4, 534.2", \ + " 40.4, 58.2, 95.2, 164.0, 285.7, 544.4", \ + " 41.7, 62.5, 105.8, 179.1, 300.6, 559.1", \ + " 41.0, 65.3, 116.1, 200.9, 323.3, 581.3", \ + " 36.9, 65.3, 124.5, 223.9, 357.2, 614.5", \ + " 26.9, 60.3, 129.5, 245.7, 401.7, 665.1", \ + " 7.4, 46.6, 128.0, 263.9, 447.2, 742.3" ); } +fall_transition(x1_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.2, 90.1, 175.2, 366.3, 706.2, 1428.5", \ + " 64.1, 93.8, 176.1, 366.3, 706.2, 1428.5", \ + " 71.2, 99.9, 179.9, 366.8, 706.2, 1428.5", \ + " 82.0, 109.4, 187.0, 370.3, 706.1, 1428.5", \ + " 98.5, 127.9, 202.6, 380.5, 709.9, 1428.5", \ + " 117.1, 151.8, 227.6, 400.2, 721.9, 1429.9", \ + " 146.0, 182.2, 267.8, 435.2, 747.8, 1441.8", \ + " 187.7, 226.3, 317.0, 490.9, 795.1, 1472.0", \ + " 248.0, 290.5, 387.0, 575.9, 875.5, 1533.4", \ + " 335.4, 383.3, 489.0, 690.6, 1003.4, 1646.0" ); }} +} +} +cell(nd3v0x2) { /* 2008-01-06:07h42 characteristic delay 12.4 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1294 ; /* nd3v0x2 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x2 FO4 effort 1.48 logical effort 1.50 */ +direction : input ; +capacitance : 6.53 ; +rise_capacitance : 6.21 ; +fall_capacitance : 6.85 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x2 */ +} +pin(b) { /* nd3v0x2 FO4 effort 1.40 logical effort 1.45 */ +direction : input ; +capacitance : 6.32 ; +rise_capacitance : 6.19 ; +fall_capacitance : 6.46 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v0x2 */ +} +pin(c) { /* nd3v0x2 FO4 effort 1.29 logical effort 1.39 */ +direction : input ; +capacitance : 6.04 ; +rise_capacitance : 6.12 ; +fall_capacitance : 5.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 215 ; +max_fanout : 6 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x2 22.13 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 11.23, 11.30, 11.35, 11.37, 11.36", \ + " 10.89, 11.00, 11.13, 11.21, 11.23", \ + " 10.85, 10.94, 11.07, 11.16, 11.19", \ + " 10.94, 10.98, 11.07, 11.15, 11.17", \ + " 11.33, 11.27, 11.22, 11.22, 11.20", \ + " 12.21, 11.99, 11.71, 11.51, 11.38", \ + " 13.94, 13.47, 12.81, 12.21, 11.82", \ + " 16.96, 16.19, 14.95, 13.71, 12.83", \ + " 22.04, 20.92, 18.92, 16.66, 14.93", \ + " 30.34, 28.83, 25.93, 22.23, 19.11" ); }} +internal_power(b_z_n) { /* nd3v0x2 17.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 8.93, 9.03, 9.13, 9.17, 9.17", \ + " 8.60, 8.74, 8.92, 9.04, 9.09", \ + " 8.59, 8.69, 8.86, 9.00, 9.05", \ + " 8.72, 8.75, 8.86, 8.98, 9.04", \ + " 9.18, 9.09, 9.03, 9.05, 9.07", \ + " 10.13, 9.86, 9.55, 9.34, 9.23", \ + " 11.93, 11.40, 10.67, 10.06, 9.67", \ + " 14.96, 14.11, 12.80, 11.54, 10.66", \ + " 19.94, 18.73, 16.65, 14.40, 12.70", \ + " 27.90, 26.32, 23.34, 19.69, 16.69" ); }} +internal_power(c_z_n) { /* nd3v0x2 13.17 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 6.43, 6.63, 6.82, 6.92, 6.94", \ + " 6.19, 6.36, 6.62, 6.82, 6.90", \ + " 6.24, 6.35, 6.56, 6.77, 6.87", \ + " 6.46, 6.47, 6.58, 6.75, 6.85", \ + " 7.06, 6.90, 6.80, 6.83, 6.88", \ + " 8.16, 7.79, 7.38, 7.14, 7.05", \ + " 10.09, 9.43, 8.58, 7.89, 7.50", \ + " 13.22, 12.22, 10.77, 9.41, 8.50", \ + " 18.23, 16.86, 14.62, 12.30, 10.56", \ + " 26.14, 24.38, 21.22, 17.52, 14.52" ); }} +timing() { /* ring osc delay nd3v0x2, path a to z 58.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.5 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 57.0, 91.7, 168.4, 303.9, 591.1", \ + " 51.0, 64.4, 99.2, 176.0, 311.5, 598.8", \ + " 57.3, 70.6, 105.2, 182.0, 317.5, 604.8", \ + " 66.3, 79.2, 113.6, 190.1, 325.5, 612.8", \ + " 80.5, 94.9, 128.8, 204.7, 339.8, 626.9", \ + " 97.6, 114.3, 151.5, 226.4, 360.8, 647.4", \ + " 120.0, 139.1, 182.3, 259.9, 393.0, 678.7", \ + " 149.7, 171.2, 220.5, 309.5, 441.7, 725.5", \ + " 190.6, 214.9, 270.6, 373.3, 516.9, 797.3", \ + " 247.8, 275.7, 338.9, 455.6, 623.4, 909.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.1, 122.9, 215.9, 425.1, 796.9, 1586.7", \ + " 90.5, 124.0, 215.9, 425.1, 796.9, 1586.7", \ + " 95.5, 127.9, 217.4, 425.1, 796.9, 1586.7", \ + " 103.9, 135.1, 221.9, 425.7, 796.9, 1586.7", \ + " 120.8, 150.3, 233.4, 431.3, 797.0, 1586.7", \ + " 147.2, 174.9, 253.9, 445.0, 802.1, 1586.7", \ + " 181.2, 213.7, 289.3, 472.1, 818.9, 1588.4", \ + " 225.7, 263.1, 345.7, 519.4, 853.7, 1604.4", \ + " 286.6, 329.3, 424.9, 599.4, 918.3, 1646.5", \ + " 372.5, 421.2, 530.4, 726.8, 1032.1, 1731.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.7, 46.8, 76.0, 141.4, 257.4, 503.7", \ + " 39.6, 50.9, 80.3, 145.9, 261.9, 508.3", \ + " 41.4, 53.1, 82.6, 148.2, 264.4, 510.8", \ + " 42.4, 55.1, 85.3, 151.0, 267.1, 513.6", \ + " 42.8, 56.6, 89.2, 155.4, 271.6, 518.1", \ + " 41.6, 57.2, 92.5, 161.6, 277.8, 524.3", \ + " 37.1, 55.5, 95.0, 169.2, 287.2, 533.5", \ + " 27.5, 49.5, 95.3, 176.4, 300.9, 547.4", \ + " 9.6, 35.9, 90.3, 181.8, 315.9, 568.9", \ + " -20.8, 10.0, 74.9, 181.9, 329.9, 599.3" ); } +fall_transition(x2_260_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 58.3, 90.0, 175.0, 366.6, 707.6, 1432.2", \ + " 60.1, 91.2, 175.3, 366.7, 707.6, 1432.2", \ + " 63.4, 93.9, 177.3, 367.4, 707.6, 1432.2", \ + " 69.2, 98.4, 180.7, 369.6, 708.4, 1432.2", \ + " 81.6, 109.6, 188.7, 375.1, 711.8, 1432.8", \ + " 97.7, 127.7, 204.3, 386.2, 719.2, 1436.5", \ + " 124.3, 154.3, 233.6, 408.2, 734.8, 1445.8", \ + " 165.9, 196.0, 275.5, 448.5, 765.2, 1465.5", \ + " 231.2, 261.5, 340.7, 515.9, 821.7, 1505.5", \ + " 333.7, 362.5, 442.2, 616.7, 922.0, 1582.6" ); }} +timing() { /* ring osc delay nd3v0x2, path b to z 53.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 39.6 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 50.5, 84.8, 161.1, 296.4, 583.5", \ + " 44.6, 57.8, 92.3, 168.8, 304.2, 591.4", \ + " 51.0, 63.9, 98.2, 174.7, 310.1, 597.4", \ + " 59.5, 72.6, 106.5, 182.8, 318.2, 605.4", \ + " 71.8, 87.3, 121.7, 197.4, 332.4, 619.5", \ + " 86.8, 104.8, 144.0, 218.9, 353.3, 639.9", \ + " 106.7, 127.3, 172.9, 252.3, 385.4, 671.1", \ + " 133.1, 156.5, 208.7, 300.9, 433.8, 717.7", \ + " 169.1, 196.0, 255.6, 362.2, 508.7, 789.4", \ + " 219.1, 250.4, 319.0, 441.0, 612.9, 900.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.6, 106.4, 199.5, 408.6, 780.3, 1569.8", \ + " 74.7, 107.9, 199.5, 408.6, 780.3, 1569.8", \ + " 80.3, 112.2, 201.1, 408.6, 780.3, 1569.8", \ + " 89.2, 119.8, 205.9, 409.2, 780.3, 1569.8", \ + " 106.9, 135.6, 217.8, 415.0, 780.4, 1569.8", \ + " 131.8, 160.9, 238.8, 429.1, 785.5, 1569.8", \ + " 163.4, 198.0, 274.8, 456.6, 802.6, 1571.6", \ + " 205.3, 244.9, 331.5, 504.5, 837.9, 1587.7", \ + " 263.6, 308.5, 407.9, 585.2, 903.2, 1630.1", \ + " 346.3, 397.6, 510.7, 712.2, 1018.0, 1716.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.0, 43.1, 72.3, 137.7, 253.7, 500.0", \ + " 36.2, 47.5, 76.9, 142.4, 258.5, 504.9", \ + " 38.3, 50.5, 80.0, 145.6, 261.7, 508.1", \ + " 39.9, 53.4, 84.1, 149.7, 265.9, 512.4", \ + " 41.5, 56.9, 90.9, 157.1, 273.3, 519.8", \ + " 41.6, 59.6, 97.9, 167.9, 284.0, 530.5", \ + " 39.2, 60.5, 104.9, 182.3, 300.3, 546.6", \ + " 32.7, 57.9, 110.0, 197.9, 324.3, 570.6", \ + " 19.7, 49.3, 110.8, 213.0, 353.8, 607.0", \ + " -3.9, 30.6, 103.3, 223.9, 385.4, 660.9" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 56.5, 87.3, 169.9, 356.4, 687.9, 1392.6", \ + " 60.0, 89.8, 171.0, 356.4, 687.9, 1392.6", \ + " 64.9, 93.8, 173.8, 357.5, 688.0, 1392.6", \ + " 73.6, 100.8, 178.8, 360.4, 688.6, 1392.6", \ + " 89.0, 116.4, 190.6, 368.1, 692.6, 1392.7", \ + " 107.5, 138.3, 211.4, 383.2, 702.0, 1396.2", \ + " 136.8, 168.1, 247.1, 411.6, 722.3, 1406.6", \ + " 180.5, 212.5, 293.4, 460.1, 760.5, 1430.8", \ + " 244.6, 278.2, 361.5, 536.5, 828.5, 1480.3", \ + " 337.3, 373.7, 462.5, 643.7, 942.6, 1573.3" ); }} +timing() { /* ring osc delay nd3v0x2, path c to z 46.0 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.8 ; */ +/* intrinsic_fall : 36.6 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 42.4, 77.1, 153.5, 288.8, 576.0", \ + " 36.7, 49.8, 84.5, 161.3, 296.8, 584.1", \ + " 43.0, 56.0, 90.4, 167.2, 302.7, 590.1", \ + " 49.8, 64.8, 98.6, 175.2, 310.7, 598.1", \ + " 60.0, 77.6, 113.8, 189.6, 324.9, 612.1", \ + " 72.7, 93.0, 135.3, 211.0, 345.6, 632.5", \ + " 89.7, 113.0, 162.2, 244.3, 377.5, 663.5", \ + " 112.1, 139.0, 195.4, 291.5, 425.8, 710.0", \ + " 142.4, 173.8, 238.9, 350.3, 500.3, 781.4", \ + " 184.3, 221.4, 297.2, 425.6, 602.1, 892.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.9, 89.7, 182.5, 391.4, 762.8, 1552.0", \ + " 59.2, 91.6, 182.5, 391.4, 762.8, 1552.0", \ + " 65.6, 96.5, 184.4, 391.4, 762.8, 1552.0", \ + " 75.3, 104.6, 189.6, 392.0, 762.8, 1552.0", \ + " 94.1, 121.3, 202.0, 398.1, 762.8, 1552.0", \ + " 115.5, 147.8, 223.7, 412.5, 768.2, 1552.0", \ + " 144.7, 181.9, 260.6, 440.6, 785.5, 1553.7", \ + " 184.9, 226.4, 317.1, 489.5, 821.3, 1570.1", \ + " 242.0, 288.3, 391.1, 571.2, 887.6, 1612.9", \ + " 323.9, 376.5, 491.8, 697.9, 1003.8, 1699.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 36.3, 65.5, 130.9, 246.9, 493.3", \ + " 30.5, 41.6, 71.0, 136.5, 252.6, 499.0", \ + " 33.2, 46.0, 75.3, 140.8, 256.9, 503.3", \ + " 35.5, 50.3, 81.2, 146.7, 262.7, 509.1", \ + " 37.7, 55.2, 91.3, 157.1, 273.0, 519.3", \ + " 38.6, 59.0, 101.3, 172.3, 287.9, 534.0", \ + " 37.4, 61.3, 110.8, 193.4, 310.7, 556.4", \ + " 32.7, 60.6, 118.4, 215.2, 344.6, 589.6", \ + " 22.1, 54.9, 122.5, 235.5, 387.2, 640.2", \ + " 1.7, 40.3, 119.7, 252.0, 430.2, 716.9" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.1, 84.5, 165.6, 347.7, 671.3, 1359.2", \ + " 60.4, 88.6, 166.7, 347.6, 671.3, 1359.2", \ + " 67.7, 94.9, 170.7, 348.3, 671.3, 1359.2", \ + " 78.9, 104.5, 178.1, 352.1, 671.4, 1359.2", \ + " 93.9, 123.3, 194.0, 362.8, 675.7, 1359.2", \ + " 112.4, 145.9, 219.2, 383.0, 688.4, 1361.2", \ + " 141.1, 176.1, 258.8, 418.5, 715.1, 1374.2", \ + " 182.4, 219.8, 307.2, 474.5, 763.4, 1405.9", \ + " 242.0, 283.5, 376.7, 558.5, 844.6, 1469.1", \ + " 328.8, 375.4, 478.0, 671.9, 972.8, 1583.6" ); }} +} +} +cell(nd3v0x3) { /* 2008-01-06:07h43 characteristic delay 12.5 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1848 ; /* nd3v0x3 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x3 FO4 effort 1.47 logical effort 1.51 */ +direction : input ; +capacitance : 9.37 ; +rise_capacitance : 8.91 ; +fall_capacitance : 9.83 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x3 */ +} +pin(b) { /* nd3v0x3 FO4 effort 1.40 logical effort 1.48 */ +direction : input ; +capacitance : 9.19 ; +rise_capacitance : 8.99 ; +fall_capacitance : 9.39 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v0x3 */ +} +pin(c) { /* nd3v0x3 FO4 effort 1.28 logical effort 1.38 */ +direction : input ; +capacitance : 8.61 ; +rise_capacitance : 8.73 ; +fall_capacitance : 8.49 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin c of nd3v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 307 ; +max_fanout : 8 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x3 30.82 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 15.63, 15.74, 15.82, 15.85, 15.82", \ + " 15.14, 15.31, 15.51, 15.62, 15.64", \ + " 15.09, 15.22, 15.42, 15.55, 15.59", \ + " 15.22, 15.28, 15.41, 15.53, 15.56", \ + " 15.79, 15.69, 15.63, 15.62, 15.60", \ + " 17.06, 16.72, 16.32, 16.02, 15.84", \ + " 19.54, 18.84, 17.86, 17.01, 16.46", \ + " 23.89, 22.72, 20.89, 19.11, 17.85", \ + " 31.19, 29.48, 26.52, 23.25, 20.79", \ + " 43.07, 40.79, 36.48, 31.09, 26.63" ); }} +internal_power(b_z_n) { /* nd3v0x3 24.60 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 12.39, 12.55, 12.70, 12.76, 12.75", \ + " 11.91, 12.13, 12.40, 12.58, 12.64", \ + " 11.89, 12.05, 12.30, 12.51, 12.59", \ + " 12.08, 12.14, 12.30, 12.48, 12.56", \ + " 12.74, 12.61, 12.54, 12.57, 12.60", \ + " 14.13, 13.72, 13.26, 12.97, 12.83", \ + " 16.71, 15.90, 14.84, 13.96, 13.43", \ + " 21.07, 19.78, 17.85, 16.04, 14.80", \ + " 28.21, 26.38, 23.30, 20.05, 17.64", \ + " 39.60, 37.22, 32.80, 27.50, 23.22" ); }} +internal_power(c_z_n) { /* nd3v0x3 18.10 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 8.81, 9.10, 9.37, 9.51, 9.54", \ + " 8.47, 8.73, 9.10, 9.37, 9.48", \ + " 8.56, 8.71, 9.02, 9.31, 9.44", \ + " 8.88, 8.89, 9.05, 9.28, 9.42", \ + " 9.75, 9.50, 9.36, 9.39, 9.46", \ + " 11.34, 10.77, 10.16, 9.82, 9.69", \ + " 14.11, 13.11, 11.86, 10.87, 10.32", \ + " 18.61, 17.10, 14.95, 13.00, 11.71", \ + " 25.79, 23.72, 20.42, 17.06, 14.58", \ + " 37.11, 34.47, 29.78, 24.41, 20.12" ); }} +timing() { /* ring osc delay nd3v0x3, path a to z 56.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.8 ; */ +/* intrinsic_fall : 40.3 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 57.1, 93.5, 174.0, 316.2, 617.8", \ + " 50.4, 64.5, 101.0, 181.6, 323.8, 625.5", \ + " 56.7, 70.7, 107.0, 187.5, 329.8, 631.4", \ + " 65.7, 79.3, 115.4, 195.7, 337.8, 639.4", \ + " 79.8, 95.0, 130.6, 210.3, 352.1, 653.6", \ + " 96.7, 114.4, 153.2, 231.9, 373.1, 674.0", \ + " 119.1, 139.2, 184.4, 265.3, 405.2, 705.3", \ + " 148.7, 171.3, 222.8, 315.2, 453.8, 751.9", \ + " 189.3, 214.9, 273.2, 379.9, 528.7, 823.6", \ + " 246.4, 275.7, 341.8, 463.3, 637.0, 935.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.3, 122.9, 220.6, 440.2, 830.6, 1659.8", \ + " 88.8, 124.0, 220.6, 440.2, 830.6, 1659.8", \ + " 93.9, 127.9, 221.9, 440.3, 830.6, 1659.8", \ + " 102.4, 135.1, 226.3, 440.7, 830.6, 1659.8", \ + " 119.4, 150.2, 237.7, 445.9, 830.7, 1659.8", \ + " 145.7, 174.9, 257.9, 459.2, 835.0, 1659.8", \ + " 179.5, 213.7, 293.2, 485.7, 851.0, 1660.9", \ + " 223.6, 263.0, 349.4, 532.5, 884.9, 1675.4", \ + " 284.3, 329.2, 429.2, 611.8, 948.3, 1715.7", \ + " 369.8, 421.2, 535.3, 739.4, 1060.7, 1798.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.2, 46.9, 77.5, 146.1, 267.9, 526.6", \ + " 39.0, 50.9, 81.8, 150.6, 272.5, 531.2", \ + " 40.7, 53.1, 84.1, 153.0, 274.9, 533.6", \ + " 41.6, 55.0, 86.7, 155.7, 277.6, 536.4", \ + " 42.0, 56.6, 90.7, 160.1, 282.1, 540.9", \ + " 40.6, 57.1, 94.1, 166.3, 288.2, 547.1", \ + " 36.1, 55.5, 96.8, 174.2, 297.7, 556.3", \ + " 26.2, 49.5, 97.3, 181.7, 311.6, 570.2", \ + " 8.2, 35.9, 92.7, 187.7, 327.3, 591.6", \ + " -22.3, 10.1, 77.7, 188.5, 342.2, 622.8" ); } +fall_transition(x3_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 57.0, 90.3, 179.5, 380.8, 738.8, 1499.7", \ + " 58.9, 91.6, 179.9, 380.8, 738.8, 1499.7", \ + " 62.2, 94.2, 181.9, 381.5, 738.8, 1499.7", \ + " 68.2, 98.8, 185.2, 383.7, 739.5, 1499.7", \ + " 80.5, 110.0, 193.2, 389.1, 742.8, 1500.1", \ + " 96.7, 128.2, 208.7, 399.9, 750.0, 1503.6", \ + " 123.3, 154.8, 238.1, 421.7, 765.2, 1512.5", \ + " 165.1, 196.7, 280.0, 461.4, 794.9, 1531.6", \ + " 230.5, 262.2, 345.3, 528.9, 850.6, 1570.5", \ + " 333.2, 363.3, 446.8, 629.8, 949.6, 1646.0" ); }} +timing() { /* ring osc delay nd3v0x3, path b to z 52.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.1 ; */ +/* intrinsic_fall : 39.0 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 50.7, 86.7, 166.9, 308.9, 610.3", \ + " 44.2, 58.0, 94.2, 174.6, 316.7, 618.3", \ + " 50.6, 64.1, 100.1, 180.5, 322.6, 624.2", \ + " 59.0, 72.8, 108.4, 188.5, 330.6, 632.2", \ + " 71.1, 87.5, 123.5, 203.1, 344.9, 646.3", \ + " 86.0, 105.0, 145.9, 224.5, 365.7, 666.7", \ + " 105.9, 127.5, 175.2, 257.8, 397.7, 697.9", \ + " 132.1, 156.7, 211.3, 306.8, 446.0, 744.4", \ + " 167.9, 196.3, 258.5, 369.2, 520.7, 815.8", \ + " 217.6, 250.7, 322.3, 449.1, 626.9, 927.0" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.0, 106.6, 204.3, 423.9, 814.1, 1643.1", \ + " 73.1, 108.0, 204.3, 423.9, 814.1, 1643.1", \ + " 78.8, 112.3, 205.8, 423.9, 814.1, 1643.1", \ + " 87.8, 119.9, 210.4, 424.3, 814.1, 1643.1", \ + " 105.6, 135.7, 222.2, 429.7, 814.1, 1643.1", \ + " 130.3, 161.0, 242.9, 443.3, 818.6, 1643.1", \ + " 161.6, 198.1, 278.7, 470.3, 834.8, 1644.2", \ + " 203.3, 245.0, 335.5, 517.6, 869.1, 1658.8", \ + " 261.3, 308.6, 412.5, 597.7, 933.3, 1699.4", \ + " 343.7, 397.8, 515.9, 725.1, 1046.6, 1783.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 43.3, 74.0, 142.6, 264.3, 523.0", \ + " 35.7, 47.6, 78.5, 147.3, 269.1, 527.8", \ + " 37.7, 50.6, 81.6, 150.4, 272.3, 531.1", \ + " 39.3, 53.6, 85.7, 154.6, 276.5, 535.3", \ + " 40.7, 57.0, 92.6, 162.0, 284.0, 542.8", \ + " 40.7, 59.7, 99.7, 172.7, 294.7, 553.4", \ + " 38.1, 60.6, 107.0, 187.5, 310.9, 569.6", \ + " 31.5, 58.0, 112.4, 203.6, 335.1, 593.5", \ + " 18.3, 49.4, 113.6, 219.5, 365.5, 629.9", \ + " -5.5, 30.9, 106.6, 231.5, 398.6, 684.5" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 55.2, 87.6, 174.4, 370.1, 718.3, 1458.3", \ + " 58.8, 90.1, 175.3, 370.2, 718.3, 1458.3", \ + " 63.9, 94.2, 178.2, 371.1, 718.3, 1458.3", \ + " 72.7, 101.2, 183.2, 374.0, 718.8, 1458.3", \ + " 87.9, 116.8, 194.8, 381.4, 722.6, 1458.3", \ + " 106.4, 138.8, 215.5, 396.3, 731.7, 1461.3", \ + " 135.7, 168.6, 251.3, 424.3, 751.4, 1471.1", \ + " 179.4, 213.0, 297.8, 472.3, 788.8, 1494.3", \ + " 243.4, 278.7, 366.0, 549.1, 855.8, 1542.5", \ + " 335.9, 374.2, 467.1, 656.8, 969.0, 1633.7" ); }} +timing() { /* ring osc delay nd3v0x3, path c to z 44.8 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.1 ; */ +/* intrinsic_fall : 35.9 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.4, 42.5, 78.8, 159.1, 301.2, 602.6", \ + " 36.1, 49.9, 86.3, 166.9, 309.1, 610.8", \ + " 42.4, 56.1, 92.2, 172.7, 315.1, 616.8", \ + " 49.1, 64.9, 100.4, 180.7, 323.0, 624.7", \ + " 59.2, 77.8, 115.5, 195.2, 337.2, 638.8", \ + " 71.8, 93.2, 137.3, 216.5, 357.9, 659.2", \ + " 88.7, 113.2, 164.5, 249.7, 389.8, 690.2", \ + " 111.0, 139.3, 198.1, 297.6, 437.9, 736.5", \ + " 141.1, 174.2, 242.0, 357.5, 512.3, 807.8", \ + " 182.8, 221.8, 300.8, 434.0, 616.2, 918.6" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.5, 90.0, 187.5, 406.8, 796.8, 1625.4", \ + " 57.9, 92.0, 187.5, 406.8, 796.8, 1625.4", \ + " 64.3, 96.8, 189.2, 406.8, 796.8, 1625.4", \ + " 74.1, 104.9, 194.3, 407.3, 796.8, 1625.4", \ + " 92.8, 121.5, 206.5, 412.9, 796.8, 1625.4", \ + " 113.9, 148.0, 228.0, 426.9, 801.4, 1625.4", \ + " 143.0, 182.1, 264.6, 454.5, 817.8, 1626.5", \ + " 183.0, 226.7, 321.3, 502.7, 852.7, 1641.3", \ + " 239.8, 288.7, 396.0, 583.7, 917.7, 1682.3", \ + " 321.5, 376.8, 497.4, 711.2, 1032.4, 1766.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.5, 36.3, 67.0, 135.6, 257.4, 516.1", \ + " 30.0, 41.6, 72.5, 141.2, 263.1, 521.8", \ + " 32.5, 46.0, 76.8, 145.5, 267.4, 526.2", \ + " 34.8, 50.3, 82.7, 151.4, 273.2, 531.9", \ + " 36.8, 55.2, 92.9, 161.8, 283.5, 542.1", \ + " 37.6, 59.1, 103.2, 177.0, 298.4, 556.8", \ + " 36.2, 61.4, 113.1, 198.6, 321.2, 579.1", \ + " 31.4, 60.8, 121.0, 221.2, 355.0, 612.3", \ + " 20.5, 55.1, 125.5, 242.7, 399.3, 662.9", \ + " -0.1, 40.5, 123.3, 260.4, 444.4, 740.1" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.9, 84.8, 169.9, 361.1, 701.0, 1423.3", \ + " 59.4, 89.0, 171.0, 361.1, 701.0, 1423.3", \ + " 66.7, 95.2, 174.9, 361.6, 701.0, 1423.3", \ + " 78.0, 104.8, 182.2, 365.2, 700.9, 1423.3", \ + " 92.6, 123.7, 198.0, 375.6, 704.8, 1423.3", \ + " 111.1, 146.3, 223.1, 395.5, 716.9, 1424.7", \ + " 139.8, 176.5, 263.0, 430.7, 742.9, 1436.7", \ + " 181.1, 220.4, 311.7, 486.3, 790.5, 1467.1", \ + " 240.5, 284.1, 381.5, 571.1, 871.0, 1528.6", \ + " 327.1, 376.1, 483.2, 685.5, 998.8, 1641.4" ); }} +} +} +cell(nd3v0x4) { /* 2008-01-06:07h43 characteristic delay 12.7 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 2310 ; /* nd3v0x4 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x4 FO4 effort 1.47 logical effort 1.47 */ +direction : input ; +capacitance : 11.46 ; +rise_capacitance : 10.91 ; +fall_capacitance : 12.01 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x4 */ +} +pin(b) { /* nd3v0x4 FO4 effort 1.44 logical effort 1.52 */ +direction : input ; +capacitance : 11.84 ; +rise_capacitance : 11.59 ; +fall_capacitance : 12.10 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v0x4 */ +} +pin(c) { /* nd3v0x4 FO4 effort 1.32 logical effort 1.43 */ +direction : input ; +capacitance : 11.15 ; +rise_capacitance : 11.30 ; +fall_capacitance : 10.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 383 ; +max_fanout : 10 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x4 39.77 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 20.14, 20.25, 20.33, 20.36, 20.31", \ + " 19.59, 19.77, 19.98, 20.09, 20.10", \ + " 19.53, 19.68, 19.88, 20.02, 20.04", \ + " 19.70, 19.76, 19.89, 20.00, 20.01", \ + " 20.39, 20.26, 20.16, 20.12, 20.07", \ + " 21.95, 21.52, 21.00, 20.62, 20.37", \ + " 25.00, 24.11, 22.89, 21.82, 21.12", \ + " 30.37, 28.88, 26.58, 24.36, 22.80", \ + " 39.41, 37.23, 33.47, 29.40, 26.35", \ + " 54.18, 51.24, 45.73, 38.96, 33.44" ); }} +internal_power(b_z_n) { /* nd3v0x4 32.28 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 16.28, 16.48, 16.66, 16.73, 16.72", \ + " 15.66, 15.94, 16.28, 16.51, 16.57", \ + " 15.61, 15.83, 16.16, 16.42, 16.52", \ + " 15.82, 15.92, 16.14, 16.38, 16.48", \ + " 16.61, 16.47, 16.41, 16.48, 16.52", \ + " 18.29, 17.80, 17.26, 16.94, 16.78", \ + " 21.45, 20.45, 19.16, 18.11, 17.49", \ + " 26.83, 25.20, 22.81, 20.60, 19.11", \ + " 35.67, 33.33, 29.46, 25.46, 22.53", \ + " 49.84, 46.77, 41.14, 34.53, 29.27" ); }} +internal_power(c_z_n) { /* nd3v0x4 24.22 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_520_5x10) { +values( " 11.85, 12.22, 12.57, 12.73, 12.76", \ + " 11.37, 11.73, 12.21, 12.56, 12.70", \ + " 11.45, 11.68, 12.10, 12.47, 12.64", \ + " 11.82, 11.86, 12.11, 12.43, 12.61", \ + " 12.85, 12.58, 12.45, 12.54, 12.65", \ + " 14.77, 14.09, 13.39, 13.03, 12.90", \ + " 18.17, 16.94, 15.42, 14.26, 13.63", \ + " 23.70, 21.82, 19.18, 16.81, 15.28", \ + " 32.60, 29.98, 25.85, 21.72, 18.72", \ + " 46.68, 43.28, 37.35, 30.68, 25.41" ); }} +timing() { /* ring osc delay nd3v0x4, path a to z 58.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 41.1 ; */ +/* rise_resistance : 1.19 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.1, 59.1, 97.8, 183.6, 335.2, 656.9", \ + " 51.6, 66.6, 105.4, 191.2, 342.9, 664.6", \ + " 57.9, 72.7, 111.4, 197.2, 348.8, 670.5", \ + " 66.9, 81.4, 119.7, 205.3, 356.9, 678.5", \ + " 81.3, 97.2, 134.9, 219.9, 371.2, 692.7", \ + " 98.5, 117.0, 157.5, 241.5, 392.1, 713.2", \ + " 121.2, 142.2, 189.4, 274.8, 424.2, 744.3", \ + " 151.1, 174.8, 228.8, 325.0, 472.5, 790.9", \ + " 192.1, 219.0, 280.0, 391.6, 547.2, 862.3", \ + " 249.6, 280.3, 349.6, 476.8, 657.8, 973.5" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.4, 129.4, 233.5, 467.9, 884.3, 1768.7", \ + " 92.7, 130.4, 233.6, 467.9, 884.3, 1768.7", \ + " 97.7, 134.1, 234.7, 467.9, 884.3, 1768.7", \ + " 106.0, 141.0, 238.8, 468.1, 884.3, 1768.7", \ + " 122.7, 155.9, 249.7, 472.7, 884.3, 1768.7", \ + " 149.1, 180.2, 269.4, 485.3, 887.6, 1768.7", \ + " 183.4, 219.1, 304.0, 511.0, 902.4, 1769.2", \ + " 228.2, 269.4, 359.7, 556.6, 934.8, 1781.5", \ + " 289.6, 336.6, 441.0, 634.6, 996.4, 1819.1", \ + " 375.9, 429.6, 549.0, 761.9, 1106.4, 1898.9" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.0, 48.4, 81.1, 154.3, 284.2, 560.1", \ + " 40.0, 52.6, 85.5, 158.8, 288.7, 564.7", \ + " 41.8, 54.8, 87.8, 161.1, 291.2, 567.1", \ + " 42.9, 56.9, 90.4, 163.9, 293.9, 569.9", \ + " 43.5, 58.7, 94.6, 168.3, 298.4, 574.4", \ + " 42.4, 59.6, 98.4, 174.5, 304.6, 580.6", \ + " 38.2, 58.4, 101.5, 183.0, 314.0, 589.9", \ + " 28.9, 53.0, 102.6, 191.2, 328.2, 603.7", \ + " 11.3, 40.1, 98.9, 198.0, 345.0, 625.1", \ + " -18.7, 15.1, 85.2, 200.3, 361.4, 657.3" ); } +fall_transition(x4_520_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 60.6, 96.1, 191.3, 406.0, 787.9, 1599.5", \ + " 62.4, 97.3, 191.6, 406.1, 787.9, 1599.5", \ + " 65.6, 99.9, 193.5, 406.6, 787.9, 1599.5", \ + " 71.2, 104.3, 196.7, 408.6, 788.4, 1599.5", \ + " 83.6, 115.0, 204.4, 413.8, 791.5, 1599.7", \ + " 99.9, 133.4, 219.5, 424.3, 798.3, 1602.8", \ + " 126.6, 160.1, 248.5, 445.4, 812.9, 1611.2", \ + " 168.4, 202.0, 290.7, 484.1, 841.6, 1629.3", \ + " 233.8, 267.6, 356.0, 551.4, 895.8, 1666.7", \ + " 336.1, 368.7, 457.5, 652.5, 992.7, 1739.9" ); }} +timing() { /* ring osc delay nd3v0x4, path b to z 54.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.4 ; */ +/* intrinsic_fall : 40.4 ; */ +/* rise_resistance : 1.19 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 53.3, 91.7, 177.2, 328.6, 650.2", \ + " 45.9, 60.6, 99.2, 184.9, 336.4, 658.1", \ + " 52.2, 66.7, 105.1, 190.7, 342.4, 664.1", \ + " 60.8, 75.3, 113.3, 198.8, 350.4, 672.0", \ + " 73.2, 90.3, 128.4, 213.3, 364.6, 686.1", \ + " 88.4, 108.2, 150.8, 234.6, 385.3, 706.5", \ + " 108.5, 131.1, 180.9, 267.8, 417.2, 737.6", \ + " 135.1, 160.8, 217.9, 317.4, 465.4, 783.9", \ + " 171.3, 201.0, 266.0, 381.5, 539.7, 855.1", \ + " 221.6, 256.1, 330.8, 463.3, 648.5, 965.9" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.9, 113.0, 217.2, 451.4, 867.7, 1751.9", \ + " 76.8, 114.2, 217.2, 451.4, 867.7, 1751.9", \ + " 82.3, 118.2, 218.3, 451.4, 867.7, 1751.9", \ + " 91.1, 125.6, 222.7, 451.6, 867.7, 1751.9", \ + " 108.7, 141.0, 234.0, 456.3, 867.7, 1751.9", \ + " 134.0, 166.1, 254.2, 469.2, 871.0, 1751.9", \ + " 165.9, 203.9, 289.4, 495.3, 885.9, 1752.3", \ + " 208.1, 251.7, 345.7, 541.5, 918.8, 1764.7", \ + " 266.7, 316.2, 424.7, 620.4, 981.1, 1802.6", \ + " 349.9, 406.3, 529.9, 748.1, 1092.1, 1883.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.1, 45.5, 78.2, 151.4, 281.3, 557.2", \ + " 37.2, 49.9, 82.8, 156.1, 286.1, 562.0", \ + " 39.4, 52.8, 85.9, 159.3, 289.3, 565.3", \ + " 41.1, 56.1, 90.0, 163.4, 293.5, 569.5", \ + " 42.8, 59.7, 97.1, 170.8, 300.9, 577.0", \ + " 43.1, 62.8, 104.6, 181.5, 311.6, 587.6", \ + " 40.9, 64.3, 112.5, 196.9, 327.9, 603.8", \ + " 34.7, 62.3, 118.7, 213.9, 352.0, 627.7", \ + " 22.1, 54.4, 121.0, 231.1, 383.9, 664.0", \ + " -1.2, 36.7, 115.4, 245.0, 419.1, 719.3" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.6, 93.2, 185.8, 394.7, 766.1, 1555.3", \ + " 62.0, 95.5, 186.6, 394.7, 766.1, 1555.3", \ + " 67.0, 99.5, 189.3, 395.4, 766.1, 1555.3", \ + " 75.5, 106.3, 194.1, 398.1, 766.3, 1555.3", \ + " 91.5, 121.5, 205.4, 405.2, 769.8, 1555.3", \ + " 110.0, 144.3, 225.6, 419.5, 778.2, 1557.7", \ + " 139.2, 174.2, 261.2, 446.7, 797.1, 1566.7", \ + " 183.0, 218.6, 308.6, 493.8, 833.3, 1588.5", \ + " 247.2, 284.6, 377.1, 571.1, 898.9, 1634.7", \ + " 340.0, 380.6, 478.6, 679.7, 1010.5, 1723.2" ); }} +timing() { /* ring osc delay nd3v0x4, path c to z 47.4 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.5 ; */ +/* intrinsic_fall : 37.5 ; */ +/* rise_resistance : 1.19 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 45.3, 84.0, 169.6, 321.1, 642.7", \ + " 37.9, 52.6, 91.4, 177.4, 329.1, 650.8", \ + " 44.3, 58.8, 97.3, 183.2, 335.0, 656.8", \ + " 51.3, 67.5, 105.5, 191.2, 342.9, 664.8", \ + " 61.7, 80.9, 120.5, 205.5, 357.1, 678.8", \ + " 74.5, 96.7, 142.5, 226.8, 377.7, 699.1", \ + " 91.8, 117.2, 170.6, 259.8, 409.5, 730.0", \ + " 114.5, 143.8, 205.2, 308.5, 457.4, 776.3", \ + " 145.2, 179.5, 250.0, 370.3, 531.4, 847.2", \ + " 187.6, 227.9, 310.0, 448.8, 638.3, 957.6" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.4, 96.4, 200.3, 434.3, 850.2, 1734.1", \ + " 61.4, 97.9, 200.3, 434.3, 850.2, 1734.1", \ + " 67.6, 102.5, 201.6, 434.3, 850.2, 1734.1", \ + " 77.2, 110.4, 206.3, 434.5, 850.2, 1734.1", \ + " 96.2, 126.7, 218.1, 439.4, 850.2, 1734.1", \ + " 118.0, 152.8, 239.1, 452.6, 853.7, 1734.1", \ + " 147.5, 188.3, 275.1, 479.3, 868.8, 1734.5", \ + " 188.0, 233.7, 332.3, 526.3, 902.1, 1747.0", \ + " 245.4, 296.4, 408.6, 606.2, 965.3, 1785.3", \ + " 327.7, 385.5, 511.6, 734.5, 1077.7, 1866.5" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.2, 38.7, 71.4, 144.6, 274.6, 550.5", \ + " 31.6, 44.0, 76.9, 150.2, 280.2, 556.2", \ + " 34.5, 48.4, 81.2, 154.5, 284.5, 560.5", \ + " 36.9, 53.1, 87.1, 160.4, 290.3, 566.3", \ + " 39.2, 58.4, 97.5, 170.7, 300.6, 576.5", \ + " 40.4, 62.7, 108.5, 185.9, 315.4, 591.2", \ + " 39.4, 65.5, 119.3, 208.2, 338.1, 613.4", \ + " 35.0, 65.6, 128.2, 232.3, 372.0, 646.5", \ + " 24.8, 60.6, 133.8, 255.6, 418.3, 697.0", \ + " 4.9, 47.0, 133.0, 275.4, 466.8, 774.3" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.4, 90.4, 181.1, 385.1, 747.6, 1518.0", \ + " 62.4, 94.1, 181.9, 385.0, 747.6, 1518.0", \ + " 69.6, 100.1, 185.6, 385.3, 747.6, 1518.0", \ + " 80.6, 109.6, 192.6, 388.5, 747.5, 1518.0", \ + " 96.5, 128.1, 208.0, 398.3, 750.6, 1518.0", \ + " 115.1, 152.2, 232.8, 417.5, 761.8, 1518.8", \ + " 143.8, 182.6, 272.8, 452.0, 786.7, 1529.3", \ + " 185.4, 226.6, 323.0, 507.3, 832.9, 1557.8", \ + " 245.3, 290.9, 393.4, 593.2, 912.3, 1617.0", \ + " 332.5, 383.8, 495.8, 709.1, 1039.7, 1727.1" ); }} +} +} +cell(nd3v0x6) { /* 2008-01-06:07h43 characteristic delay 12.6 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 3696 ; /* nd3v0x6 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v0x6 FO4 effort 1.48 logical effort 1.51 */ +direction : input ; +capacitance : 18.77 ; +rise_capacitance : 17.85 ; +fall_capacitance : 19.69 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v0x6 */ +} +pin(b) { /* nd3v0x6 FO4 effort 1.42 logical effort 1.49 */ +direction : input ; +capacitance : 18.60 ; +rise_capacitance : 18.19 ; +fall_capacitance : 19.01 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v0x6 */ +} +pin(c) { /* nd3v0x6 FO4 effort 1.30 logical effort 1.41 */ +direction : input ; +capacitance : 17.55 ; +rise_capacitance : 17.80 ; +fall_capacitance : 17.30 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 614 ; +max_fanout : 15 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v0x6 62.43 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 31.67, 31.88, 32.06, 32.11, 32.06", \ + " 30.68, 31.02, 31.42, 31.66, 31.70", \ + " 30.56, 30.84, 31.23, 31.51, 31.59", \ + " 30.82, 30.95, 31.21, 31.46, 31.53", \ + " 31.94, 31.75, 31.64, 31.64, 31.61", \ + " 34.47, 33.80, 33.01, 32.43, 32.08", \ + " 39.41, 38.02, 36.08, 34.40, 33.31", \ + " 48.07, 45.76, 42.13, 38.58, 36.09", \ + " 62.63, 59.26, 53.37, 46.87, 41.95", \ + " 86.36, 81.84, 73.26, 62.52, 53.62" ); }} +internal_power(b_z_n) { /* nd3v0x6 50.06 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 25.24, 25.57, 25.86, 25.99, 25.98", \ + " 24.25, 24.69, 25.24, 25.62, 25.74", \ + " 24.20, 24.53, 25.05, 25.47, 25.65", \ + " 24.55, 24.69, 25.03, 25.41, 25.59", \ + " 25.86, 25.62, 25.50, 25.58, 25.66", \ + " 28.60, 27.81, 26.92, 26.37, 26.10", \ + " 33.74, 32.16, 30.06, 28.34, 27.30", \ + " 42.43, 39.88, 36.06, 32.47, 30.02", \ + " 56.67, 53.04, 46.93, 40.49, 35.69", \ + " 79.43, 74.70, 65.91, 55.35, 46.82" ); }} +internal_power(c_z_n) { /* nd3v0x6 37.00 nW/MHz */ +related_pin : "c" ; +power(pwr_x6_780_5x10) { +values( " 18.04, 18.61, 19.15, 19.42, 19.47", \ + " 17.35, 17.87, 18.60, 19.14, 19.36", \ + " 17.51, 17.83, 18.44, 19.01, 19.28", \ + " 18.15, 18.17, 18.50, 18.96, 19.24", \ + " 19.87, 19.39, 19.11, 19.19, 19.32", \ + " 23.02, 21.90, 20.71, 20.04, 19.78", \ + " 28.53, 26.57, 24.09, 22.13, 21.02", \ + " 37.49, 34.52, 30.27, 26.38, 23.81", \ + " 51.82, 47.73, 41.17, 34.48, 29.55", \ + " 74.43, 69.18, 59.87, 49.18, 40.63" ); }} +timing() { /* ring osc delay nd3v0x6, path a to z 57.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.2 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.5, 57.6, 94.0, 174.4, 316.6, 618.2", \ + " 50.8, 65.0, 101.5, 182.0, 324.3, 625.9", \ + " 57.1, 71.1, 107.5, 188.0, 330.2, 631.9", \ + " 66.1, 79.8, 115.8, 196.1, 338.3, 639.9", \ + " 80.3, 95.5, 131.0, 210.7, 352.6, 654.0", \ + " 97.3, 114.9, 153.6, 232.3, 373.5, 674.5", \ + " 119.7, 139.7, 184.8, 265.8, 405.7, 705.7", \ + " 149.4, 171.9, 223.4, 315.6, 454.2, 752.4", \ + " 190.1, 215.6, 273.8, 380.4, 529.1, 824.0", \ + " 247.3, 276.5, 342.5, 463.8, 637.5, 935.6" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.4, 124.0, 221.6, 441.3, 831.7, 1660.9", \ + " 89.8, 125.0, 221.6, 441.3, 831.7, 1660.9", \ + " 94.9, 128.9, 222.9, 441.3, 831.7, 1660.9", \ + " 103.3, 136.0, 227.3, 441.7, 831.7, 1660.9", \ + " 120.2, 151.2, 238.6, 446.9, 831.7, 1660.9", \ + " 146.7, 175.8, 258.9, 460.2, 836.0, 1660.9", \ + " 180.6, 214.6, 294.0, 486.7, 852.0, 1662.0", \ + " 224.9, 264.1, 350.2, 533.4, 885.9, 1676.4", \ + " 285.7, 330.5, 430.2, 612.7, 949.3, 1716.7", \ + " 371.5, 422.6, 536.5, 740.3, 1061.5, 1799.5" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.6, 47.3, 77.9, 146.6, 268.3, 527.0", \ + " 39.4, 51.3, 82.2, 151.0, 272.9, 531.6", \ + " 41.2, 53.5, 84.5, 153.4, 275.3, 534.0", \ + " 42.1, 55.5, 87.1, 156.1, 278.1, 536.8", \ + " 42.5, 57.0, 91.2, 160.5, 282.5, 541.3", \ + " 41.2, 57.6, 94.5, 166.7, 288.7, 547.5", \ + " 36.7, 56.0, 97.2, 174.6, 298.1, 556.7", \ + " 27.0, 50.2, 97.8, 182.1, 312.0, 570.6", \ + " 9.1, 36.7, 93.2, 188.1, 327.7, 592.0", \ + " -21.3, 11.0, 78.4, 189.0, 342.7, 623.2" ); } +fall_transition(x6_780_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 58.0, 91.2, 180.5, 381.8, 739.8, 1500.7", \ + " 59.8, 92.5, 180.8, 381.8, 739.8, 1500.7", \ + " 63.1, 95.1, 182.8, 382.4, 739.8, 1500.7", \ + " 69.0, 99.7, 186.2, 384.6, 740.5, 1500.7", \ + " 81.5, 110.8, 194.1, 390.1, 743.8, 1501.1", \ + " 97.6, 129.1, 209.6, 400.9, 751.0, 1504.6", \ + " 124.2, 155.7, 239.0, 422.6, 766.2, 1513.5", \ + " 166.0, 197.6, 280.9, 462.3, 795.9, 1532.5", \ + " 231.4, 263.1, 346.2, 529.8, 851.5, 1571.4", \ + " 334.0, 364.2, 447.6, 630.7, 950.4, 1646.9" ); }} +timing() { /* ring osc delay nd3v0x6, path b to z 53.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 39.5 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 51.2, 87.3, 167.4, 309.4, 610.9", \ + " 44.7, 58.5, 94.7, 175.1, 317.3, 618.8", \ + " 51.0, 64.6, 100.7, 181.0, 323.2, 624.8", \ + " 59.5, 73.3, 108.9, 189.1, 331.2, 632.8", \ + " 71.7, 88.1, 124.0, 203.6, 345.4, 646.9", \ + " 86.7, 105.6, 146.4, 225.0, 366.2, 667.3", \ + " 106.6, 128.1, 175.7, 258.3, 398.2, 698.4", \ + " 132.9, 157.5, 211.9, 307.3, 446.5, 744.9", \ + " 168.8, 197.1, 259.1, 369.7, 521.2, 816.4", \ + " 218.7, 251.6, 323.0, 449.7, 627.4, 927.5" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.0, 107.7, 205.3, 425.0, 815.2, 1644.2", \ + " 74.1, 109.0, 205.4, 425.0, 815.2, 1644.2", \ + " 79.8, 113.3, 206.8, 425.0, 815.2, 1644.2", \ + " 88.7, 120.8, 211.4, 425.3, 815.2, 1644.2", \ + " 106.5, 136.5, 223.1, 430.7, 815.2, 1644.2", \ + " 131.4, 161.9, 243.9, 444.3, 819.6, 1644.2", \ + " 162.8, 199.1, 279.6, 471.3, 835.8, 1645.3", \ + " 204.7, 246.2, 336.4, 518.5, 870.1, 1659.8", \ + " 262.8, 309.9, 413.6, 598.6, 934.2, 1700.4", \ + " 345.4, 399.2, 517.1, 726.1, 1047.5, 1784.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 43.8, 74.5, 143.1, 264.9, 523.5", \ + " 36.2, 48.1, 79.0, 147.8, 269.6, 528.4", \ + " 38.3, 51.1, 82.1, 150.9, 272.8, 531.6", \ + " 39.9, 54.1, 86.2, 155.1, 277.1, 535.8", \ + " 41.3, 57.6, 93.1, 162.5, 284.5, 543.3", \ + " 41.4, 60.3, 100.3, 173.2, 295.2, 554.0", \ + " 39.0, 61.3, 107.5, 188.0, 311.4, 570.1", \ + " 32.5, 58.8, 113.0, 204.1, 335.6, 594.0", \ + " 19.4, 50.4, 114.3, 220.1, 366.0, 630.4", \ + " -4.2, 31.9, 107.5, 232.1, 399.1, 685.0" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 56.1, 88.5, 175.3, 371.1, 719.3, 1459.2", \ + " 59.6, 91.0, 176.2, 371.1, 719.3, 1459.2", \ + " 64.7, 95.0, 179.1, 372.0, 719.3, 1459.2", \ + " 73.4, 102.0, 184.0, 374.9, 719.8, 1459.2", \ + " 88.9, 117.6, 195.6, 382.3, 723.6, 1459.2", \ + " 107.4, 139.8, 216.3, 397.1, 732.6, 1462.2", \ + " 136.6, 169.6, 252.2, 425.1, 752.2, 1472.0", \ + " 180.3, 213.9, 298.7, 473.1, 789.6, 1495.2", \ + " 244.4, 279.7, 366.9, 549.9, 856.7, 1543.4", \ + " 336.9, 375.2, 468.0, 657.7, 969.8, 1634.5" ); }} +timing() { /* ring osc delay nd3v0x6, path c to z 45.8 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.5 ; */ +/* intrinsic_fall : 36.3 ; */ +/* rise_resistance : 0.74 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 42.9, 79.2, 159.5, 301.6, 603.1", \ + " 36.5, 50.3, 86.7, 167.3, 309.5, 611.2", \ + " 42.8, 56.5, 92.6, 173.2, 315.5, 617.2", \ + " 49.7, 65.3, 100.8, 181.1, 323.4, 625.1", \ + " 59.8, 78.3, 115.9, 195.6, 337.6, 639.2", \ + " 72.5, 93.7, 137.7, 216.9, 358.3, 659.6", \ + " 89.5, 113.9, 165.0, 250.1, 390.2, 690.6", \ + " 111.9, 140.0, 198.7, 298.0, 438.3, 736.9", \ + " 142.2, 175.1, 242.7, 358.0, 512.6, 808.2", \ + " 184.1, 222.8, 301.6, 434.6, 616.7, 919.0" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 91.1, 188.6, 408.0, 797.9, 1626.5", \ + " 58.9, 93.0, 188.6, 408.0, 797.9, 1626.5", \ + " 65.3, 97.8, 190.3, 408.0, 797.9, 1626.5", \ + " 75.0, 105.9, 195.3, 408.4, 797.9, 1626.5", \ + " 93.8, 122.5, 207.5, 414.0, 797.9, 1626.5", \ + " 115.1, 148.9, 229.0, 428.0, 802.5, 1626.5", \ + " 144.3, 183.2, 265.5, 455.5, 818.9, 1627.6", \ + " 184.5, 228.0, 322.3, 503.7, 853.7, 1642.4", \ + " 241.5, 290.1, 397.2, 584.7, 918.7, 1683.3", \ + " 323.4, 378.4, 498.6, 712.1, 1033.4, 1767.8" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 36.6, 67.4, 136.0, 257.8, 516.5", \ + " 30.4, 42.0, 72.9, 141.6, 263.5, 522.2", \ + " 33.0, 46.4, 77.2, 145.9, 267.8, 526.5", \ + " 35.3, 50.8, 83.1, 151.7, 273.6, 532.3", \ + " 37.4, 55.7, 93.3, 162.1, 283.9, 542.5", \ + " 38.3, 59.7, 103.7, 177.3, 298.8, 557.2", \ + " 37.1, 62.1, 113.6, 199.0, 321.5, 579.5", \ + " 32.4, 61.6, 121.6, 221.7, 355.4, 612.7", \ + " 21.7, 56.0, 126.3, 243.2, 399.7, 663.3", \ + " 1.3, 41.6, 124.2, 261.0, 444.9, 740.5" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.8, 85.8, 170.8, 362.0, 701.9, 1424.2", \ + " 60.2, 89.8, 171.9, 362.0, 701.9, 1424.2", \ + " 67.5, 96.0, 175.8, 362.5, 701.9, 1424.2", \ + " 78.7, 105.6, 183.1, 366.1, 701.9, 1424.2", \ + " 93.6, 124.4, 198.8, 376.5, 705.7, 1424.2", \ + " 112.2, 147.3, 223.9, 396.3, 717.8, 1425.6", \ + " 140.9, 177.5, 263.9, 431.5, 743.8, 1437.6", \ + " 182.2, 221.4, 312.6, 487.1, 791.3, 1468.0", \ + " 241.8, 285.2, 382.5, 571.9, 871.8, 1529.5", \ + " 328.6, 377.4, 484.2, 686.4, 999.6, 1642.2" ); }} +} +} +cell(nd3v5x2) { /* 2008-01-06:07h43 characteristic delay 12.6 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1294 ; /* nd3v5x2 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v5x2 FO4 effort 1.49 logical effort 1.53 */ +direction : input ; +capacitance : 6.65 ; +rise_capacitance : 6.33 ; +fall_capacitance : 6.97 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v5x2 */ +} +pin(b) { /* nd3v5x2 FO4 effort 1.40 logical effort 1.47 */ +direction : input ; +capacitance : 6.41 ; +rise_capacitance : 6.27 ; +fall_capacitance : 6.55 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v5x2 */ +} +pin(c) { /* nd3v5x2 FO4 effort 1.30 logical effort 1.42 */ +direction : input ; +capacitance : 6.18 ; +rise_capacitance : 6.25 ; +fall_capacitance : 6.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v5x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 215 ; +max_fanout : 6 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v5x2 21.79 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 11.05, 11.11, 11.17, 11.19, 11.17", \ + " 10.72, 10.83, 10.95, 11.03, 11.04", \ + " 10.68, 10.77, 10.89, 10.98, 11.01", \ + " 10.78, 10.82, 10.89, 10.97, 10.99", \ + " 11.18, 11.11, 11.06, 11.04, 11.02", \ + " 12.07, 11.84, 11.55, 11.33, 11.20", \ + " 13.80, 13.33, 12.65, 12.05, 11.65", \ + " 16.83, 16.05, 14.80, 13.55, 12.66", \ + " 21.93, 20.79, 18.78, 16.51, 14.77", \ + " 30.24, 28.72, 25.80, 22.08, 18.95" ); }} +internal_power(b_z_n) { /* nd3v5x2 17.40 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 8.77, 8.88, 8.98, 9.02, 9.02", \ + " 8.43, 8.58, 8.76, 8.89, 8.94", \ + " 8.42, 8.53, 8.70, 8.84, 8.91", \ + " 8.55, 8.59, 8.70, 8.82, 8.89", \ + " 9.01, 8.92, 8.87, 8.89, 8.91", \ + " 9.98, 9.70, 9.39, 9.18, 9.08", \ + " 11.78, 11.24, 10.51, 9.89, 9.52", \ + " 14.83, 13.97, 12.64, 11.38, 10.50", \ + " 19.83, 18.60, 16.50, 14.24, 12.54", \ + " 27.80, 26.21, 23.21, 19.53, 16.53" ); }} +internal_power(c_z_n) { /* nd3v5x2 12.86 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 6.28, 6.49, 6.69, 6.79, 6.82", \ + " 6.02, 6.21, 6.48, 6.69, 6.78", \ + " 6.07, 6.19, 6.42, 6.63, 6.74", \ + " 6.29, 6.31, 6.43, 6.61, 6.72", \ + " 6.90, 6.74, 6.65, 6.69, 6.75", \ + " 8.01, 7.63, 7.22, 6.99, 6.91", \ + " 9.95, 9.28, 8.42, 7.73, 7.35", \ + " 13.09, 12.08, 10.61, 9.25, 8.35", \ + " 18.12, 16.73, 14.47, 12.14, 10.41", \ + " 26.05, 24.27, 21.08, 17.36, 14.36" ); }} +timing() { /* ring osc delay nd3v5x2, path a to z 57.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.9 ; */ +/* intrinsic_fall : 40.5 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.9, 56.4, 91.1, 167.8, 303.2, 590.5", \ + " 50.3, 63.8, 98.6, 175.4, 310.9, 598.2", \ + " 56.7, 70.0, 104.6, 181.3, 316.8, 604.1", \ + " 65.7, 78.7, 113.0, 189.5, 324.9, 612.1", \ + " 79.8, 94.3, 128.2, 204.2, 339.2, 626.3", \ + " 96.8, 113.6, 150.9, 225.8, 360.2, 646.8", \ + " 119.2, 138.3, 181.7, 259.4, 392.5, 678.1", \ + " 148.8, 170.4, 219.8, 308.9, 441.1, 724.9", \ + " 189.6, 214.0, 269.8, 372.6, 516.3, 796.8", \ + " 246.6, 274.6, 338.0, 454.9, 622.8, 908.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.8, 121.6, 214.6, 423.8, 795.6, 1585.4", \ + " 89.3, 122.8, 214.7, 423.8, 795.6, 1585.4", \ + " 94.4, 126.8, 216.1, 423.8, 795.6, 1585.4", \ + " 102.8, 133.9, 220.7, 424.4, 795.6, 1585.4", \ + " 119.8, 149.2, 232.2, 430.1, 795.7, 1585.4", \ + " 146.1, 173.9, 252.8, 443.8, 800.8, 1585.4", \ + " 179.9, 212.5, 288.3, 470.9, 817.6, 1587.2", \ + " 224.2, 261.7, 344.7, 518.3, 852.6, 1603.2", \ + " 284.9, 327.8, 423.6, 598.3, 917.2, 1645.3", \ + " 370.5, 419.5, 529.0, 725.7, 1031.1, 1730.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.1, 46.3, 75.5, 140.8, 256.8, 503.2", \ + " 39.1, 50.3, 79.8, 145.3, 261.4, 507.8", \ + " 40.8, 52.6, 82.1, 147.7, 263.8, 510.3", \ + " 41.8, 54.5, 84.8, 150.4, 266.6, 513.1", \ + " 42.2, 56.1, 88.7, 154.8, 271.0, 517.5", \ + " 40.9, 56.6, 92.0, 161.1, 277.2, 523.7", \ + " 36.4, 54.9, 94.5, 168.7, 286.7, 533.0", \ + " 26.5, 48.7, 94.7, 175.8, 300.4, 546.9", \ + " 8.5, 34.9, 89.6, 181.2, 315.4, 568.4", \ + " -22.1, 8.9, 74.0, 181.2, 329.4, 598.8" ); } +fall_transition(x2_260_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 57.0, 88.7, 173.7, 365.4, 706.3, 1431.0", \ + " 58.9, 90.0, 174.1, 365.4, 706.3, 1431.0", \ + " 62.1, 92.6, 176.0, 366.1, 706.3, 1431.0", \ + " 68.0, 97.2, 179.4, 368.3, 707.1, 1431.0", \ + " 80.3, 108.4, 187.4, 373.8, 710.5, 1431.5", \ + " 96.4, 126.3, 203.1, 384.9, 717.9, 1435.2", \ + " 123.0, 153.0, 232.3, 406.9, 733.5, 1444.5", \ + " 164.6, 194.7, 274.2, 447.2, 763.9, 1464.2", \ + " 229.9, 260.2, 339.4, 514.6, 820.5, 1504.2", \ + " 332.5, 361.2, 440.9, 615.4, 920.7, 1581.4" ); }} +timing() { /* ring osc delay nd3v5x2, path b to z 52.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.3 ; */ +/* intrinsic_fall : 39.3 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 50.1, 84.4, 160.8, 296.0, 583.2", \ + " 44.2, 57.4, 91.9, 168.5, 303.8, 591.1", \ + " 50.6, 63.5, 97.8, 174.4, 309.8, 597.0", \ + " 59.0, 72.2, 106.1, 182.4, 317.8, 605.0", \ + " 71.2, 86.9, 121.3, 197.0, 332.0, 619.1", \ + " 86.1, 104.2, 143.6, 218.5, 352.9, 639.6", \ + " 105.9, 126.6, 172.4, 251.9, 385.0, 670.7", \ + " 132.2, 155.7, 208.1, 300.4, 433.4, 717.4", \ + " 168.0, 195.1, 254.8, 361.6, 508.3, 789.0", \ + " 217.8, 249.3, 318.1, 440.3, 612.4, 900.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.2, 105.0, 198.0, 407.2, 778.9, 1568.4", \ + " 73.3, 106.5, 198.1, 407.2, 778.9, 1568.4", \ + " 79.0, 110.9, 199.7, 407.2, 778.9, 1568.4", \ + " 88.0, 118.5, 204.5, 407.8, 778.9, 1568.4", \ + " 105.8, 134.3, 216.5, 413.6, 778.9, 1568.4", \ + " 130.5, 159.8, 237.5, 427.7, 784.1, 1568.4", \ + " 161.9, 196.7, 273.6, 455.3, 801.2, 1570.2", \ + " 203.6, 243.4, 330.3, 503.3, 836.5, 1586.3", \ + " 261.6, 306.8, 406.6, 584.0, 902.0, 1628.8", \ + " 344.0, 395.7, 509.1, 711.0, 1016.8, 1714.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 42.8, 72.0, 137.4, 253.4, 499.7", \ + " 35.8, 47.2, 76.6, 142.1, 258.2, 504.6", \ + " 37.8, 50.1, 79.7, 145.3, 261.4, 507.8", \ + " 39.4, 53.0, 83.8, 149.4, 265.6, 512.1", \ + " 40.9, 56.4, 90.6, 156.8, 273.0, 519.5", \ + " 40.9, 59.0, 97.5, 167.6, 283.7, 530.2", \ + " 38.3, 59.8, 104.4, 182.0, 300.0, 546.3", \ + " 31.6, 57.0, 109.4, 197.4, 324.0, 570.3", \ + " 18.5, 48.2, 110.1, 212.5, 353.4, 606.7", \ + " -5.4, 29.4, 102.4, 223.2, 385.0, 660.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 55.2, 86.0, 168.7, 355.1, 686.7, 1391.4", \ + " 58.8, 88.6, 169.7, 355.2, 686.7, 1391.4", \ + " 63.8, 92.6, 172.6, 356.2, 686.7, 1391.4", \ + " 72.6, 99.7, 177.6, 359.2, 687.4, 1391.4", \ + " 87.8, 115.4, 189.4, 366.8, 691.4, 1391.5", \ + " 106.3, 137.2, 210.3, 382.0, 700.8, 1394.9", \ + " 135.5, 166.9, 245.9, 410.5, 721.1, 1405.4", \ + " 179.1, 211.2, 292.2, 459.0, 759.3, 1429.6", \ + " 243.2, 276.9, 360.3, 535.3, 827.3, 1479.1", \ + " 335.8, 372.3, 461.2, 642.5, 941.5, 1572.1" ); }} +timing() { /* ring osc delay nd3v5x2, path c to z 45.9 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.5 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.83 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 42.1, 76.8, 153.3, 288.6, 575.8", \ + " 36.3, 49.5, 84.2, 161.1, 296.6, 583.9", \ + " 42.6, 55.7, 90.1, 166.9, 302.5, 589.9", \ + " 49.3, 64.4, 98.3, 174.9, 310.5, 597.9", \ + " 59.3, 77.1, 113.5, 189.3, 324.6, 611.9", \ + " 71.9, 92.4, 134.9, 210.7, 345.3, 632.3", \ + " 88.8, 112.2, 161.7, 244.0, 377.3, 663.3", \ + " 111.0, 138.1, 194.8, 291.1, 425.5, 709.7", \ + " 141.1, 172.8, 238.2, 349.8, 500.0, 781.1", \ + " 182.7, 220.1, 296.3, 425.0, 601.6, 892.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.4, 88.2, 181.0, 389.9, 761.3, 1550.5", \ + " 57.8, 90.2, 181.0, 389.9, 761.3, 1550.5", \ + " 64.2, 95.1, 182.9, 389.9, 761.3, 1550.5", \ + " 74.0, 103.3, 188.1, 390.5, 761.3, 1550.5", \ + " 92.9, 120.0, 200.6, 396.6, 761.3, 1550.5", \ + " 114.0, 146.7, 222.4, 411.1, 766.7, 1550.5", \ + " 143.0, 180.4, 259.4, 439.3, 784.0, 1552.2", \ + " 182.9, 224.8, 315.8, 488.2, 819.9, 1568.6", \ + " 239.8, 286.5, 389.7, 570.0, 886.3, 1611.4", \ + " 321.4, 374.3, 490.1, 696.6, 1002.5, 1698.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 36.1, 65.4, 130.8, 246.8, 493.2", \ + " 30.3, 41.4, 70.9, 136.4, 252.5, 498.9", \ + " 32.8, 45.8, 75.1, 140.7, 256.8, 503.2", \ + " 35.0, 50.0, 81.0, 146.5, 262.6, 509.0", \ + " 37.1, 54.7, 91.0, 156.9, 272.8, 519.2", \ + " 37.8, 58.4, 101.0, 172.1, 287.7, 533.9", \ + " 36.5, 60.6, 110.4, 193.2, 310.5, 556.2", \ + " 31.6, 59.8, 117.8, 214.8, 344.4, 589.4", \ + " 20.7, 53.8, 121.7, 235.0, 386.9, 640.1", \ + " 0.1, 38.9, 118.8, 251.4, 429.8, 716.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.9, 83.3, 164.3, 346.4, 670.1, 1358.0", \ + " 59.3, 87.4, 165.4, 346.4, 670.1, 1358.0", \ + " 66.5, 93.7, 169.5, 347.1, 670.1, 1358.0", \ + " 77.9, 103.4, 176.9, 350.9, 670.1, 1358.0", \ + " 92.6, 122.3, 192.8, 361.6, 674.5, 1358.0", \ + " 111.0, 144.7, 218.1, 381.8, 687.2, 1360.0", \ + " 139.5, 174.7, 257.7, 417.4, 713.9, 1373.0", \ + " 180.7, 218.3, 305.9, 473.4, 762.2, 1404.7", \ + " 240.1, 281.8, 375.3, 557.4, 843.4, 1467.9", \ + " 326.7, 373.5, 476.5, 670.6, 971.7, 1582.4" ); }} +} +} +cell(nd3v5x3) { /* 2008-01-06:07h43 characteristic delay 12.2 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1848 ; /* nd3v5x3 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v5x3 FO4 effort 1.44 logical effort 1.44 */ +direction : input ; +capacitance : 8.97 ; +rise_capacitance : 8.53 ; +fall_capacitance : 9.41 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v5x3 */ +} +pin(b) { /* nd3v5x3 FO4 effort 1.40 logical effort 1.47 */ +direction : input ; +capacitance : 9.12 ; +rise_capacitance : 8.91 ; +fall_capacitance : 9.32 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v5x3 */ +} +pin(c) { /* nd3v5x3 FO4 effort 1.26 logical effort 1.36 */ +direction : input ; +capacitance : 8.46 ; +rise_capacitance : 8.58 ; +fall_capacitance : 8.34 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin c of nd3v5x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 307 ; +max_fanout : 8 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v5x3 30.79 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 15.60, 15.69, 15.77, 15.79, 15.76", \ + " 15.14, 15.30, 15.47, 15.58, 15.59", \ + " 15.09, 15.22, 15.39, 15.51, 15.54", \ + " 15.23, 15.29, 15.39, 15.49, 15.52", \ + " 15.80, 15.70, 15.62, 15.59, 15.56", \ + " 17.08, 16.73, 16.31, 16.00, 15.81", \ + " 19.55, 18.85, 17.86, 17.00, 16.43", \ + " 23.89, 22.72, 20.89, 19.10, 17.83", \ + " 31.18, 29.48, 26.51, 23.24, 20.77", \ + " 43.06, 40.78, 36.47, 31.08, 26.61" ); }} +internal_power(b_z_n) { /* nd3v5x3 24.54 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 12.37, 12.54, 12.68, 12.75, 12.74", \ + " 11.88, 12.10, 12.38, 12.56, 12.62", \ + " 11.85, 12.02, 12.28, 12.49, 12.58", \ + " 12.03, 12.10, 12.27, 12.46, 12.55", \ + " 12.69, 12.57, 12.51, 12.55, 12.58", \ + " 14.07, 13.67, 13.22, 12.94, 12.80", \ + " 16.65, 15.85, 14.79, 13.93, 13.40", \ + " 21.02, 19.72, 17.79, 15.99, 14.76", \ + " 28.16, 26.32, 23.24, 20.00, 17.60", \ + " 39.56, 37.17, 32.75, 27.44, 23.17" ); }} +internal_power(c_z_n) { /* nd3v5x3 17.82 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 8.66, 8.96, 9.24, 9.38, 9.40", \ + " 8.32, 8.59, 8.96, 9.24, 9.35", \ + " 8.41, 8.57, 8.88, 9.17, 9.31", \ + " 8.74, 8.74, 8.91, 9.15, 9.29", \ + " 9.61, 9.36, 9.22, 9.26, 9.33", \ + " 11.21, 10.63, 10.02, 9.68, 9.56", \ + " 13.99, 12.98, 11.72, 10.73, 10.18", \ + " 18.50, 16.98, 14.82, 12.86, 11.58", \ + " 25.70, 23.61, 20.29, 16.92, 14.45", \ + " 37.04, 34.37, 29.66, 24.28, 19.99" ); }} +timing() { /* ring osc delay nd3v5x3, path a to z 56.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.6 ; */ +/* intrinsic_fall : 40.2 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.7, 56.9, 93.3, 173.8, 316.0, 617.6", \ + " 50.1, 64.3, 100.8, 181.4, 323.7, 625.3", \ + " 56.5, 70.5, 106.9, 187.4, 329.6, 631.2", \ + " 65.5, 79.2, 115.2, 195.5, 337.7, 639.2", \ + " 79.6, 94.9, 130.4, 210.2, 352.0, 653.4", \ + " 96.7, 114.3, 153.1, 231.8, 372.9, 673.9", \ + " 119.1, 139.1, 184.3, 265.2, 405.1, 705.1", \ + " 148.7, 171.3, 222.9, 315.1, 453.7, 751.8", \ + " 189.5, 215.1, 273.3, 379.9, 528.6, 823.5", \ + " 246.6, 275.9, 342.0, 463.4, 637.0, 935.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.5, 123.1, 220.7, 440.4, 830.8, 1660.0", \ + " 88.9, 124.2, 220.7, 440.4, 830.8, 1660.0", \ + " 94.1, 128.1, 222.1, 440.4, 830.8, 1660.0", \ + " 102.5, 135.2, 226.5, 440.8, 830.8, 1660.0", \ + " 119.4, 150.3, 237.8, 446.0, 830.8, 1660.0", \ + " 145.7, 175.0, 258.1, 459.4, 835.2, 1660.0", \ + " 179.4, 213.6, 293.2, 485.9, 851.1, 1661.1", \ + " 223.6, 263.0, 349.4, 532.6, 885.1, 1675.6", \ + " 284.3, 329.3, 429.2, 611.9, 948.5, 1715.8", \ + " 369.8, 421.2, 535.4, 739.4, 1060.8, 1798.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.9, 46.5, 77.2, 145.8, 267.6, 526.3", \ + " 38.8, 50.7, 81.6, 150.3, 272.2, 530.9", \ + " 40.6, 52.9, 83.9, 152.7, 274.6, 533.4", \ + " 41.6, 54.9, 86.6, 155.5, 277.4, 536.2", \ + " 41.9, 56.5, 90.6, 159.9, 281.9, 540.7", \ + " 40.6, 57.1, 94.0, 166.2, 288.1, 546.9", \ + " 36.0, 55.5, 96.7, 174.1, 297.5, 556.2", \ + " 26.1, 49.4, 97.3, 181.6, 311.5, 570.1", \ + " 7.9, 35.7, 92.6, 187.6, 327.2, 591.5", \ + " -22.7, 9.8, 77.5, 188.5, 342.2, 622.7" ); } +fall_transition(x3_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 56.5, 89.8, 179.0, 380.3, 738.3, 1499.2", \ + " 58.4, 91.1, 179.4, 380.3, 738.3, 1499.2", \ + " 61.7, 93.6, 181.3, 380.9, 738.3, 1499.2", \ + " 67.6, 98.2, 184.6, 383.1, 738.9, 1499.2", \ + " 79.8, 109.3, 192.5, 388.5, 742.2, 1499.6", \ + " 95.9, 127.3, 207.9, 399.2, 749.3, 1503.0", \ + " 122.5, 153.9, 237.0, 420.9, 764.5, 1511.9", \ + " 164.1, 195.7, 279.0, 460.5, 794.2, 1530.9", \ + " 229.4, 261.1, 344.2, 527.9, 849.7, 1569.7", \ + " 332.1, 362.2, 445.7, 628.8, 948.6, 1645.2" ); }} +timing() { /* ring osc delay nd3v5x3, path b to z 51.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.1 ; */ +/* intrinsic_fall : 39.0 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.9, 50.8, 86.8, 167.0, 309.0, 610.4", \ + " 44.2, 58.0, 94.3, 174.6, 316.8, 618.4", \ + " 50.6, 64.2, 100.2, 180.5, 322.7, 624.3", \ + " 59.0, 72.8, 108.5, 188.6, 330.7, 632.3", \ + " 71.1, 87.6, 123.6, 203.1, 345.0, 646.4", \ + " 86.0, 105.0, 146.0, 224.6, 365.8, 666.8", \ + " 105.8, 127.5, 175.2, 257.9, 397.8, 697.9", \ + " 132.0, 156.7, 211.3, 306.9, 446.1, 744.5", \ + " 167.8, 196.2, 258.4, 369.2, 520.7, 815.9", \ + " 217.5, 250.6, 322.2, 449.1, 626.9, 927.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.6, 106.2, 203.9, 423.5, 813.8, 1642.8", \ + " 72.7, 107.6, 203.9, 423.5, 813.8, 1642.8", \ + " 78.4, 111.9, 205.4, 423.5, 813.8, 1642.8", \ + " 87.5, 119.5, 210.1, 423.9, 813.8, 1642.8", \ + " 105.3, 135.3, 221.8, 429.3, 813.8, 1642.8", \ + " 129.9, 160.7, 242.6, 443.0, 818.2, 1642.8", \ + " 161.1, 197.7, 278.4, 469.9, 834.4, 1643.9", \ + " 202.8, 244.6, 335.2, 517.3, 868.7, 1658.5", \ + " 260.6, 308.1, 412.1, 597.3, 932.9, 1699.0", \ + " 342.9, 397.1, 515.5, 724.8, 1046.3, 1782.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 43.3, 74.0, 142.6, 264.4, 523.0", \ + " 35.7, 47.6, 78.6, 147.3, 269.2, 527.9", \ + " 37.7, 50.6, 81.6, 150.5, 272.4, 531.1", \ + " 39.2, 53.6, 85.7, 154.7, 276.6, 535.4", \ + " 40.6, 57.0, 92.6, 162.0, 284.0, 542.8", \ + " 40.5, 59.6, 99.7, 172.8, 294.7, 553.5", \ + " 37.9, 60.5, 106.9, 187.5, 311.0, 569.7", \ + " 31.1, 57.8, 112.3, 203.6, 335.2, 593.6", \ + " 17.9, 49.1, 113.4, 219.4, 365.5, 629.9", \ + " -6.1, 30.4, 106.3, 231.4, 398.5, 684.5" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 54.7, 87.1, 173.9, 369.6, 717.8, 1457.7", \ + " 58.3, 89.5, 174.8, 369.7, 717.8, 1457.7", \ + " 63.3, 93.6, 177.6, 370.6, 717.8, 1457.7", \ + " 72.1, 100.6, 182.6, 373.4, 718.3, 1457.7", \ + " 87.3, 116.2, 194.2, 380.9, 722.1, 1457.8", \ + " 105.7, 138.2, 214.9, 395.6, 731.1, 1460.8", \ + " 134.9, 167.9, 250.7, 423.7, 750.8, 1470.6", \ + " 178.6, 212.2, 297.1, 471.6, 788.2, 1493.8", \ + " 242.8, 278.0, 365.3, 548.4, 855.2, 1541.9", \ + " 335.5, 373.7, 466.5, 656.1, 968.4, 1633.0" ); }} +timing() { /* ring osc delay nd3v5x3, path c to z 44.1 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.8 ; */ +/* intrinsic_fall : 35.6 ; */ +/* rise_resistance : 1.48 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.1, 42.3, 78.6, 158.9, 300.9, 602.4", \ + " 35.8, 49.7, 86.1, 166.6, 308.9, 610.6", \ + " 42.1, 55.9, 92.0, 172.5, 314.8, 616.5", \ + " 48.8, 64.6, 100.2, 180.5, 322.8, 624.5", \ + " 58.8, 77.4, 115.3, 194.9, 337.0, 638.6", \ + " 71.3, 92.8, 137.0, 216.3, 357.7, 658.9", \ + " 88.1, 112.7, 164.1, 249.5, 389.5, 689.9", \ + " 110.2, 138.7, 197.7, 297.3, 437.7, 736.3", \ + " 140.3, 173.5, 241.5, 357.2, 512.0, 807.5", \ + " 181.8, 221.0, 300.3, 433.6, 615.9, 918.3" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.6, 89.2, 186.6, 406.0, 795.9, 1624.6", \ + " 57.1, 91.1, 186.7, 406.0, 795.9, 1624.6", \ + " 63.6, 96.0, 188.4, 406.0, 795.9, 1624.6", \ + " 73.4, 104.2, 193.4, 406.4, 795.9, 1624.6", \ + " 92.0, 120.8, 205.7, 412.1, 795.9, 1624.6", \ + " 113.0, 147.4, 227.2, 426.1, 800.5, 1624.6", \ + " 141.9, 181.3, 263.9, 453.7, 817.0, 1625.7", \ + " 181.8, 225.8, 320.6, 502.0, 851.9, 1640.5", \ + " 238.5, 287.6, 395.2, 583.0, 917.0, 1681.5", \ + " 320.0, 375.6, 496.4, 710.4, 1031.7, 1765.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 36.0, 66.7, 135.4, 257.2, 515.8", \ + " 29.7, 41.4, 72.2, 141.0, 262.8, 521.6", \ + " 32.2, 45.8, 76.5, 145.3, 267.2, 525.9", \ + " 34.3, 50.0, 82.4, 151.1, 273.0, 531.7", \ + " 36.3, 54.8, 92.6, 161.5, 283.2, 541.9", \ + " 37.0, 58.6, 102.9, 176.7, 298.1, 556.6", \ + " 35.6, 60.9, 112.7, 198.3, 320.9, 578.9", \ + " 30.6, 60.2, 120.6, 220.9, 354.8, 612.0", \ + " 19.6, 54.3, 125.0, 242.3, 399.0, 662.6", \ + " -1.1, 39.7, 122.7, 259.9, 444.1, 739.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.4, 84.3, 169.4, 360.6, 700.5, 1422.7", \ + " 58.9, 88.4, 170.4, 360.5, 700.5, 1422.7", \ + " 66.2, 94.7, 174.4, 361.1, 700.5, 1422.7", \ + " 77.6, 104.3, 181.7, 364.7, 700.4, 1422.7", \ + " 91.9, 123.2, 197.5, 375.1, 704.3, 1422.7", \ + " 110.4, 145.7, 222.6, 394.9, 716.4, 1424.2", \ + " 139.0, 175.8, 262.5, 430.1, 742.4, 1436.2", \ + " 180.1, 219.6, 311.1, 485.8, 789.9, 1466.6", \ + " 239.4, 283.2, 380.8, 570.5, 870.5, 1528.1", \ + " 326.0, 375.1, 482.4, 684.8, 998.3, 1640.9" ); }} +} +} +cell(nd3v5x4) { /* 2008-01-06:07h43 characteristic delay 11.7 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 2772 ; /* nd3v5x4 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v5x4 FO4 effort 1.44 logical effort 1.39 */ +direction : input ; +capacitance : 12.94 ; +rise_capacitance : 12.27 ; +fall_capacitance : 13.61 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v5x4 */ +} +pin(b) { /* nd3v5x4 FO4 effort 1.37 logical effort 1.37 */ +direction : input ; +capacitance : 12.80 ; +rise_capacitance : 12.51 ; +fall_capacitance : 13.10 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v5x4 */ +} +pin(c) { /* nd3v5x4 FO4 effort 1.25 logical effort 1.33 */ +direction : input ; +capacitance : 12.44 ; +rise_capacitance : 12.63 ; +fall_capacitance : 12.24 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v5x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 5 ; +max_capacitance : 459 ; +max_fanout : 10 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v5x4 48.88 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_650_5x10) { +values( " 24.77, 24.91, 25.02, 25.03, 24.97", \ + " 24.08, 24.32, 24.58, 24.71, 24.71", \ + " 23.99, 24.19, 24.45, 24.61, 24.63", \ + " 24.17, 24.26, 24.44, 24.58, 24.60", \ + " 24.95, 24.82, 24.73, 24.70, 24.65", \ + " 26.75, 26.25, 25.68, 25.26, 24.98", \ + " 30.32, 29.26, 27.83, 26.61, 25.82", \ + " 36.64, 34.84, 32.12, 29.54, 27.75", \ + " 47.38, 44.71, 40.20, 35.40, 31.84", \ + " 65.03, 61.40, 54.70, 46.62, 40.12" ); }} +internal_power(b_z_n) { /* nd3v5x4 38.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_650_5x10) { +values( " 19.46, 19.69, 19.88, 19.96, 19.93", \ + " 18.76, 19.09, 19.48, 19.72, 19.78", \ + " 18.69, 18.95, 19.33, 19.61, 19.71", \ + " 18.93, 19.05, 19.31, 19.56, 19.67", \ + " 19.86, 19.68, 19.61, 19.68, 19.71", \ + " 21.84, 21.23, 20.60, 20.21, 20.01", \ + " 25.58, 24.36, 22.81, 21.58, 20.83", \ + " 32.00, 29.99, 27.10, 24.48, 22.72", \ + " 42.59, 39.68, 34.96, 30.19, 26.70", \ + " 59.59, 55.76, 48.85, 40.88, 34.62" ); }} +internal_power(c_z_n) { /* nd3v5x4 27.14 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_650_5x10) { +values( " 13.16, 13.63, 14.04, 14.23, 14.26", \ + " 12.66, 13.09, 13.66, 14.06, 14.21", \ + " 12.78, 13.05, 13.54, 13.97, 14.15", \ + " 13.26, 13.29, 13.57, 13.93, 14.12", \ + " 14.54, 14.17, 13.98, 14.07, 14.17", \ + " 16.90, 16.00, 15.12, 14.65, 14.48", \ + " 21.04, 19.45, 17.54, 16.12, 15.34", \ + " 27.75, 25.33, 22.03, 19.14, 17.29", \ + " 38.49, 35.15, 30.00, 24.96, 21.34", \ + " 55.46, 51.14, 43.75, 35.60, 29.25" ); }} +timing() { /* ring osc delay nd3v5x4, path a to z 58.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 63.5 ; */ +/* intrinsic_fall : 42.3 ; */ +/* rise_resistance : 0.99 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.1, 60.8, 101.4, 190.8, 348.8, 683.9", \ + " 52.5, 68.3, 108.9, 198.4, 356.4, 691.6", \ + " 58.7, 74.4, 114.9, 204.3, 362.4, 697.5", \ + " 67.7, 83.0, 123.2, 212.5, 370.4, 705.5", \ + " 82.3, 98.8, 138.3, 227.0, 384.7, 719.7", \ + " 99.9, 119.0, 160.8, 248.5, 405.6, 740.1", \ + " 122.8, 144.6, 193.2, 281.7, 437.6, 771.2", \ + " 153.0, 177.5, 233.2, 332.0, 485.8, 817.7", \ + " 194.4, 222.1, 285.2, 399.8, 560.2, 889.0", \ + " 252.2, 284.0, 355.4, 486.3, 672.1, 999.8" ); } +rise_transition(x4_650_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.1, 132.8, 241.3, 485.4, 919.2, 1840.6", \ + " 94.2, 133.6, 241.3, 485.4, 919.2, 1840.6", \ + " 99.1, 137.2, 242.2, 485.4, 919.2, 1840.6", \ + " 107.2, 143.9, 246.1, 485.5, 919.2, 1840.6", \ + " 123.8, 158.6, 256.7, 489.6, 919.2, 1840.6", \ + " 150.1, 182.7, 276.1, 501.7, 921.9, 1840.6", \ + " 184.6, 221.7, 310.3, 526.8, 935.8, 1840.8", \ + " 229.5, 272.3, 365.6, 571.7, 967.3, 1851.6", \ + " 291.0, 339.9, 447.7, 649.1, 1027.7, 1887.5", \ + " 377.3, 433.2, 556.7, 775.8, 1136.3, 1965.3" ); } +cell_fall(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 49.7, 83.8, 160.0, 295.3, 582.7", \ + " 40.9, 54.1, 88.4, 164.8, 300.1, 587.6", \ + " 43.0, 56.5, 90.9, 167.3, 302.7, 590.2", \ + " 44.2, 58.8, 93.7, 170.2, 305.6, 593.1", \ + " 44.9, 60.8, 98.0, 174.7, 310.2, 597.7", \ + " 43.8, 61.7, 101.9, 180.9, 316.4, 603.9", \ + " 39.5, 60.6, 105.2, 189.6, 325.8, 613.2", \ + " 29.9, 55.1, 106.5, 198.1, 340.0, 627.0", \ + " 11.9, 42.1, 103.0, 205.4, 357.4, 648.3", \ + " -18.6, 16.8, 89.6, 208.2, 374.5, 680.8" ); } +fall_transition(x4_650_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 59.5, 96.3, 195.4, 419.0, 816.8, 1662.2", \ + " 61.0, 97.3, 195.7, 419.0, 816.8, 1662.2", \ + " 64.1, 99.7, 197.3, 419.4, 816.8, 1662.2", \ + " 69.5, 103.9, 200.3, 421.3, 817.1, 1662.2", \ + " 81.7, 114.2, 207.6, 426.1, 819.9, 1662.3", \ + " 97.5, 132.1, 221.9, 436.1, 826.3, 1665.0", \ + " 123.4, 158.2, 250.0, 456.3, 840.3, 1672.9", \ + " 164.3, 199.3, 292.0, 494.0, 868.0, 1690.2", \ + " 228.7, 264.1, 356.6, 560.5, 920.8, 1726.3", \ + " 330.7, 364.8, 457.7, 661.4, 1016.1, 1797.6" ); }} +timing() { /* ring osc delay nd3v5x4, path b to z 52.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.3 ; */ +/* intrinsic_fall : 40.4 ; */ +/* rise_resistance : 0.99 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 54.0, 94.0, 183.0, 340.8, 675.8", \ + " 45.9, 61.3, 101.5, 190.8, 348.6, 683.7", \ + " 52.2, 67.4, 107.4, 196.7, 354.6, 689.7", \ + " 60.8, 76.0, 115.7, 204.7, 362.6, 697.6", \ + " 73.4, 91.1, 130.7, 219.2, 376.8, 711.7", \ + " 88.7, 109.2, 153.1, 240.5, 397.5, 732.1", \ + " 108.9, 132.4, 183.7, 273.6, 429.4, 763.1", \ + " 135.6, 162.3, 221.2, 323.4, 477.4, 809.5", \ + " 172.0, 202.7, 269.8, 388.7, 551.6, 880.5", \ + " 222.4, 258.2, 335.3, 471.7, 661.8, 991.0" ); } +rise_transition(x4_650_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.9, 114.6, 223.1, 467.2, 900.8, 1822.0", \ + " 76.7, 115.7, 223.1, 467.2, 900.8, 1822.0", \ + " 82.2, 119.7, 224.2, 467.2, 900.8, 1822.0", \ + " 90.9, 126.9, 228.3, 467.3, 900.8, 1822.0", \ + " 108.5, 142.2, 239.4, 471.6, 900.8, 1822.0", \ + " 133.6, 167.1, 259.3, 484.1, 903.6, 1822.0", \ + " 165.5, 205.1, 294.2, 509.6, 917.7, 1822.1", \ + " 207.6, 253.0, 350.2, 555.2, 949.7, 1833.1", \ + " 266.1, 317.7, 430.1, 633.3, 1010.8, 1869.3", \ + " 348.9, 407.9, 536.1, 760.8, 1120.5, 1947.8" ); } +cell_fall(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 45.9, 79.9, 156.1, 291.4, 578.8", \ + " 37.3, 50.5, 84.7, 161.1, 296.5, 583.9", \ + " 39.5, 53.5, 87.9, 164.3, 299.7, 587.2", \ + " 41.2, 56.8, 92.0, 168.5, 304.0, 591.5", \ + " 42.8, 60.5, 99.2, 175.9, 311.4, 598.9", \ + " 43.0, 63.7, 106.9, 186.6, 322.1, 609.6", \ + " 40.5, 65.0, 115.0, 202.2, 338.3, 625.7", \ + " 34.0, 62.9, 121.5, 219.6, 362.4, 649.6", \ + " 20.9, 54.9, 124.0, 237.6, 395.1, 685.8", \ + " -2.6, 37.0, 118.7, 252.3, 431.5, 741.3" ); } +fall_transition(x4_650_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 57.5, 93.4, 189.8, 407.2, 794.1, 1616.3", \ + " 60.6, 95.5, 190.4, 407.3, 794.1, 1616.3", \ + " 65.3, 99.2, 192.9, 407.8, 794.1, 1616.3", \ + " 73.6, 105.7, 197.5, 410.3, 794.3, 1616.3", \ + " 89.1, 120.5, 208.3, 417.0, 797.4, 1616.3", \ + " 107.2, 143.0, 228.1, 430.8, 805.4, 1618.2", \ + " 136.1, 172.7, 263.0, 457.5, 823.5, 1626.5", \ + " 179.7, 216.9, 310.8, 503.9, 858.8, 1647.4", \ + " 244.6, 283.3, 379.4, 581.0, 923.3, 1692.2", \ + " 338.9, 380.4, 481.5, 690.1, 1033.9, 1778.9" ); }} +timing() { /* ring osc delay nd3v5x4, path c to z 43.9 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.1 ; */ +/* intrinsic_fall : 35.8 ; */ +/* rise_resistance : 0.99 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 44.5, 84.8, 173.9, 331.7, 666.7", \ + " 36.6, 51.9, 92.3, 181.7, 339.7, 674.8", \ + " 42.9, 58.1, 98.2, 187.6, 345.7, 680.8", \ + " 49.7, 66.8, 106.4, 195.6, 353.6, 688.8", \ + " 59.9, 80.2, 121.4, 210.0, 367.8, 702.9", \ + " 72.5, 95.9, 143.5, 231.2, 388.4, 723.2", \ + " 89.5, 116.3, 171.8, 264.2, 420.1, 754.1", \ + " 111.8, 142.8, 206.6, 313.2, 468.0, 800.3", \ + " 142.1, 178.3, 251.7, 375.9, 541.8, 871.1", \ + " 183.9, 226.5, 311.9, 455.3, 650.1, 981.2" ); } +rise_transition(x4_650_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 95.1, 203.4, 447.1, 880.4, 1801.1", \ + " 58.8, 96.8, 203.4, 447.1, 880.4, 1801.1", \ + " 65.2, 101.4, 204.7, 447.1, 880.4, 1801.1", \ + " 74.9, 109.4, 209.3, 447.2, 880.4, 1801.1", \ + " 93.7, 125.7, 221.0, 451.9, 880.4, 1801.1", \ + " 114.9, 151.8, 241.8, 464.8, 883.3, 1801.1", \ + " 144.0, 187.0, 277.6, 491.0, 897.8, 1801.3", \ + " 184.1, 232.2, 334.7, 537.5, 930.3, 1812.4", \ + " 241.1, 294.8, 411.5, 616.8, 992.4, 1849.1", \ + " 322.9, 383.7, 514.9, 745.2, 1103.5, 1928.4" ); } +cell_fall(x4_650_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.6, 37.6, 71.7, 147.9, 283.3, 570.7", \ + " 30.1, 43.0, 77.2, 153.6, 289.0, 576.4", \ + " 32.7, 47.4, 81.5, 157.9, 293.3, 580.8", \ + " 35.1, 52.0, 87.4, 163.7, 299.1, 586.6", \ + " 37.2, 57.3, 97.9, 174.1, 309.4, 596.8", \ + " 38.1, 61.6, 109.2, 189.3, 324.2, 611.4", \ + " 36.9, 64.4, 120.2, 211.8, 346.9, 633.7", \ + " 32.2, 64.3, 129.4, 236.7, 380.7, 666.7", \ + " 21.5, 59.3, 135.3, 260.9, 428.1, 717.1", \ + " 1.1, 45.5, 134.8, 281.8, 478.4, 794.5" ); } +fall_transition(x4_650_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.7, 90.2, 184.8, 397.3, 774.9, 1577.5", \ + " 61.0, 94.0, 185.5, 397.2, 774.9, 1577.5", \ + " 68.2, 100.0, 189.1, 397.4, 774.9, 1577.5", \ + " 79.3, 109.5, 196.0, 400.4, 774.9, 1577.5", \ + " 94.2, 127.9, 211.3, 409.9, 777.5, 1577.5", \ + " 112.5, 151.5, 236.0, 428.8, 788.1, 1577.9", \ + " 141.1, 181.8, 275.6, 463.0, 812.4, 1587.4", \ + " 182.4, 225.8, 326.2, 518.0, 857.9, 1614.8", \ + " 242.0, 289.9, 396.6, 603.8, 936.7, 1672.6", \ + " 328.8, 382.6, 499.3, 720.6, 1063.5, 1781.0" ); }} +} +} +cell(nd3v5x6) { /* 2008-01-06:07h43 characteristic delay 11.7 ps */ +area : 18 ; /* tracks */ +cell_leakage_power : 3731 ; /* nd3v5x6 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v5x6 FO4 effort 1.44 logical effort 1.39 */ +direction : input ; +capacitance : 17.35 ; +rise_capacitance : 16.45 ; +fall_capacitance : 18.25 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v5x6 */ +} +pin(b) { /* nd3v5x6 FO4 effort 1.36 logical effort 1.37 */ +direction : input ; +capacitance : 17.17 ; +rise_capacitance : 16.77 ; +fall_capacitance : 17.56 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v5x6 */ +} +pin(c) { /* nd3v5x6 FO4 effort 1.23 logical effort 1.32 */ +direction : input ; +capacitance : 16.55 ; +rise_capacitance : 16.79 ; +fall_capacitance : 16.32 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin c of nd3v5x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 621 ; +max_fanout : 15 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v5x6 64.93 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 32.93, 33.12, 33.27, 33.30, 33.23", \ + " 31.99, 32.30, 32.65, 32.85, 32.86", \ + " 31.88, 32.13, 32.48, 32.71, 32.76", \ + " 32.12, 32.24, 32.47, 32.66, 32.71", \ + " 33.19, 33.01, 32.88, 32.85, 32.78", \ + " 35.64, 35.00, 34.22, 33.64, 33.27", \ + " 40.45, 39.11, 37.23, 35.58, 34.48", \ + " 48.99, 46.74, 43.20, 39.72, 37.24", \ + " 63.47, 60.15, 54.36, 47.95, 43.08", \ + " 87.25, 82.76, 74.26, 63.61, 54.77" ); }} +internal_power(b_z_n) { /* nd3v5x6 50.52 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 25.47, 25.77, 26.05, 26.16, 26.14", \ + " 24.53, 24.95, 25.47, 25.82, 25.93", \ + " 24.46, 24.78, 25.28, 25.67, 25.83", \ + " 24.81, 24.93, 25.26, 25.61, 25.77", \ + " 26.09, 25.84, 25.72, 25.79, 25.84", \ + " 28.80, 28.01, 27.13, 26.57, 26.29", \ + " 33.90, 32.33, 30.26, 28.54, 27.49", \ + " 42.60, 40.05, 36.24, 32.67, 30.22", \ + " 56.92, 53.26, 47.15, 40.71, 35.91", \ + " 79.84, 75.07, 66.24, 55.66, 47.11" ); }} +internal_power(c_z_n) { /* nd3v5x6 35.15 nW/MHz */ +related_pin : "c" ; +power(pwr_x6_780_5x10) { +values( " 17.01, 17.63, 18.20, 18.48, 18.54", \ + " 16.37, 16.91, 17.66, 18.22, 18.45", \ + " 16.57, 16.89, 17.51, 18.09, 18.37", \ + " 17.25, 17.25, 17.58, 18.05, 18.33", \ + " 19.02, 18.51, 18.20, 18.28, 18.42", \ + " 22.26, 21.08, 19.84, 19.15, 18.88", \ + " 27.89, 25.84, 23.27, 21.27, 20.15", \ + " 36.99, 33.91, 29.54, 25.58, 22.97", \ + " 51.51, 47.30, 40.58, 33.77, 28.77", \ + " 74.37, 68.99, 59.49, 48.62, 39.96" ); }} +timing() { /* ring osc delay nd3v5x6, path a to z 57.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.9 ; */ +/* intrinsic_fall : 42.2 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 57.9, 94.1, 173.8, 314.3, 612.3", \ + " 51.2, 65.4, 101.6, 181.4, 322.0, 619.9", \ + " 57.5, 71.5, 107.6, 187.3, 327.9, 625.9", \ + " 66.5, 80.1, 116.0, 195.5, 335.9, 633.9", \ + " 80.9, 95.9, 131.2, 210.1, 350.3, 648.0", \ + " 98.3, 115.6, 153.8, 231.7, 371.2, 668.5", \ + " 120.9, 140.7, 185.1, 265.1, 403.4, 699.7", \ + " 150.8, 173.0, 223.8, 315.0, 451.9, 746.4", \ + " 191.7, 216.9, 274.3, 379.7, 526.8, 818.1", \ + " 248.9, 277.7, 342.9, 462.9, 634.8, 929.7" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.3, 125.4, 221.8, 438.7, 824.4, 1643.5", \ + " 91.5, 126.4, 221.8, 438.8, 824.4, 1643.5", \ + " 96.4, 130.1, 223.1, 438.8, 824.4, 1643.5", \ + " 104.7, 137.2, 227.4, 439.2, 824.4, 1643.5", \ + " 121.4, 152.2, 238.7, 444.4, 824.5, 1643.5", \ + " 147.8, 176.7, 258.9, 457.8, 828.9, 1643.5", \ + " 182.0, 215.6, 294.2, 484.4, 845.0, 1644.7", \ + " 226.7, 265.4, 350.4, 531.3, 879.1, 1659.5", \ + " 287.9, 332.2, 430.7, 610.9, 942.9, 1700.2", \ + " 374.0, 424.8, 537.4, 738.9, 1055.9, 1783.7" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.2, 47.9, 78.5, 147.1, 268.9, 527.6", \ + " 40.4, 52.3, 83.2, 152.0, 273.9, 532.6", \ + " 42.5, 54.7, 85.7, 154.6, 276.5, 535.2", \ + " 43.7, 57.0, 88.6, 157.5, 279.4, 538.2", \ + " 44.3, 58.8, 92.8, 162.0, 284.0, 542.9", \ + " 43.2, 59.5, 96.3, 168.3, 290.3, 549.1", \ + " 38.7, 58.0, 99.1, 176.3, 299.7, 558.4", \ + " 28.8, 52.0, 99.7, 183.9, 313.6, 572.2", \ + " 10.6, 38.3, 95.0, 189.9, 329.4, 593.6", \ + " -20.1, 12.4, 80.1, 190.9, 344.4, 624.9" ); } +fall_transition(x6_780_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 57.1, 90.3, 179.4, 380.5, 738.5, 1499.4", \ + " 58.7, 91.3, 179.6, 380.6, 738.5, 1499.4", \ + " 61.8, 93.7, 181.3, 381.0, 738.5, 1499.4", \ + " 67.3, 98.0, 184.4, 383.0, 739.0, 1499.4", \ + " 79.3, 108.5, 191.9, 388.2, 742.1, 1499.7", \ + " 95.0, 126.1, 206.7, 398.5, 749.0, 1503.0", \ + " 120.7, 152.0, 235.2, 419.6, 763.8, 1511.6", \ + " 161.5, 192.9, 276.4, 458.5, 792.9, 1530.3", \ + " 225.8, 257.6, 341.0, 525.3, 847.9, 1568.7", \ + " 328.2, 358.5, 442.2, 625.8, 946.1, 1643.7" ); }} +timing() { /* ring osc delay nd3v5x6, path b to z 51.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.5 ; */ +/* intrinsic_fall : 39.8 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.1, 50.9, 86.6, 165.8, 306.0, 603.8", \ + " 44.5, 58.2, 94.0, 173.5, 313.9, 611.7", \ + " 50.8, 64.3, 100.0, 179.4, 319.8, 617.7", \ + " 59.3, 73.0, 108.2, 187.4, 327.8, 625.7", \ + " 71.6, 87.8, 123.4, 202.0, 342.0, 639.8", \ + " 86.6, 105.3, 145.7, 223.4, 362.9, 660.2", \ + " 106.5, 127.8, 174.9, 256.7, 394.9, 691.3", \ + " 132.7, 157.0, 211.0, 305.6, 443.2, 737.8", \ + " 168.4, 196.5, 258.0, 367.6, 517.8, 809.3", \ + " 218.0, 250.6, 321.5, 447.1, 623.5, 920.5" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.5, 106.6, 203.1, 420.0, 805.5, 1624.3", \ + " 73.5, 108.0, 203.1, 420.0, 805.5, 1624.3", \ + " 79.1, 112.2, 204.6, 420.0, 805.5, 1624.3", \ + " 88.1, 119.7, 209.3, 420.5, 805.5, 1624.3", \ + " 105.8, 135.5, 221.0, 426.0, 805.5, 1624.3", \ + " 130.5, 160.9, 241.8, 439.7, 810.2, 1624.3", \ + " 162.0, 198.1, 277.8, 466.8, 826.5, 1625.6", \ + " 203.8, 245.1, 334.6, 514.4, 861.2, 1640.6", \ + " 261.9, 308.9, 411.8, 594.8, 925.7, 1681.7", \ + " 344.3, 398.1, 515.4, 722.5, 1039.8, 1766.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.0, 43.7, 74.4, 143.0, 264.8, 523.5", \ + " 36.4, 48.3, 79.2, 148.0, 269.9, 528.6", \ + " 38.5, 51.3, 82.3, 151.2, 273.1, 531.9", \ + " 40.1, 54.4, 86.5, 155.4, 277.3, 536.1", \ + " 41.6, 57.8, 93.4, 162.8, 284.8, 543.6", \ + " 41.5, 60.5, 100.5, 173.5, 295.4, 554.3", \ + " 38.8, 61.4, 107.8, 188.3, 311.7, 570.4", \ + " 32.0, 58.7, 113.2, 204.4, 335.9, 594.3", \ + " 18.8, 50.1, 114.4, 220.3, 366.3, 630.6", \ + " -4.8, 31.6, 107.5, 232.4, 399.4, 685.3" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 55.2, 87.5, 174.1, 369.8, 718.0, 1457.9", \ + " 58.4, 89.6, 174.9, 369.9, 718.0, 1457.9", \ + " 63.2, 93.5, 177.6, 370.7, 718.0, 1457.9", \ + " 71.6, 100.2, 182.4, 373.4, 718.4, 1457.9", \ + " 86.6, 115.4, 193.7, 380.7, 722.1, 1458.0", \ + " 104.6, 137.1, 214.0, 395.2, 730.9, 1460.8", \ + " 133.4, 166.6, 249.5, 422.9, 750.4, 1470.5", \ + " 177.1, 210.8, 295.8, 470.6, 787.6, 1493.5", \ + " 242.0, 276.9, 364.1, 547.2, 854.3, 1541.4", \ + " 336.4, 373.7, 465.6, 655.0, 967.2, 1632.3" ); }} +timing() { /* ring osc delay nd3v5x6, path c to z 42.9 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.3 ; */ +/* intrinsic_fall : 35.0 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.4, 41.4, 77.3, 156.6, 296.9, 594.7", \ + " 35.3, 48.9, 84.8, 164.4, 305.0, 602.9", \ + " 41.5, 55.1, 90.8, 170.3, 310.9, 608.9", \ + " 48.0, 63.8, 99.0, 178.3, 318.9, 616.9", \ + " 57.9, 76.5, 114.1, 192.8, 333.0, 630.9", \ + " 70.2, 91.6, 135.7, 214.1, 353.7, 651.3", \ + " 86.7, 111.3, 162.6, 247.3, 385.6, 682.3", \ + " 108.5, 137.0, 195.8, 294.9, 433.8, 728.7", \ + " 138.0, 171.3, 239.1, 354.2, 508.1, 799.9", \ + " 178.8, 218.1, 297.2, 429.9, 611.2, 910.7" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.3, 87.4, 183.7, 400.3, 785.4, 1603.8", \ + " 55.9, 89.4, 183.6, 400.3, 785.4, 1603.8", \ + " 62.4, 94.4, 185.5, 400.3, 785.4, 1603.8", \ + " 72.4, 102.6, 190.6, 400.8, 785.4, 1603.8", \ + " 90.8, 119.4, 203.1, 406.6, 785.4, 1603.8", \ + " 111.7, 146.0, 224.7, 420.8, 790.2, 1603.8", \ + " 140.5, 179.7, 261.6, 448.7, 807.0, 1605.1", \ + " 180.3, 224.1, 318.3, 497.3, 842.3, 1620.4", \ + " 236.9, 285.8, 392.7, 578.8, 907.9, 1661.9", \ + " 318.4, 373.8, 493.8, 706.3, 1023.3, 1747.2" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 35.3, 66.1, 134.7, 256.5, 515.2", \ + " 29.1, 40.7, 71.6, 140.4, 262.2, 521.0", \ + " 31.6, 45.2, 75.9, 144.7, 266.6, 525.3", \ + " 33.7, 49.4, 81.8, 150.5, 272.4, 531.1", \ + " 35.7, 54.2, 92.0, 160.9, 282.6, 541.3", \ + " 36.4, 58.1, 102.3, 176.1, 297.6, 556.0", \ + " 35.0, 60.3, 112.1, 197.8, 320.3, 578.3", \ + " 30.0, 59.7, 120.1, 220.4, 354.2, 611.5", \ + " 19.2, 54.0, 124.6, 241.8, 398.5, 662.1", \ + " -1.4, 39.5, 122.6, 259.7, 443.7, 739.3" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.3, 84.3, 169.5, 360.8, 700.6, 1422.9", \ + " 58.8, 88.4, 170.4, 360.6, 700.6, 1422.9", \ + " 66.1, 94.6, 174.4, 361.2, 700.6, 1422.9", \ + " 77.4, 104.2, 181.7, 364.7, 700.5, 1422.9", \ + " 91.4, 123.1, 197.4, 375.1, 704.4, 1422.9", \ + " 109.7, 145.3, 222.5, 394.9, 716.4, 1424.3", \ + " 138.1, 175.2, 262.2, 430.1, 742.4, 1436.2", \ + " 179.2, 218.8, 310.6, 485.7, 789.9, 1466.6", \ + " 238.4, 282.3, 380.1, 570.1, 870.3, 1528.1", \ + " 325.0, 374.1, 481.5, 684.2, 997.9, 1640.8" ); }} +} +} +cell(nd3v6x6) { /* 2008-01-06:07h43 characteristic delay 12.0 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 3731 ; /* nd3v6x6 */ +cell_footprint : nd3 ; +pin(a) { /* nd3v6x6 FO4 effort 1.45 logical effort 1.42 */ +direction : input ; +capacitance : 17.83 ; +rise_capacitance : 16.93 ; +fall_capacitance : 18.72 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd3v6x6 */ +} +pin(b) { /* nd3v6x6 FO4 effort 1.40 logical effort 1.43 */ +direction : input ; +capacitance : 17.96 ; +rise_capacitance : 17.56 ; +fall_capacitance : 18.36 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd3v6x6 */ +} +pin(c) { /* nd3v6x6 FO4 effort 1.25 logical effort 1.32 */ +direction : input ; +capacitance : 16.57 ; +rise_capacitance : 16.81 ; +fall_capacitance : 16.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3v6x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 621 ; +max_fanout : 15 ; +function : "(a*b*c)'" ; +internal_power(a_z_n) { /* nd3v6x6 64.13 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 32.48, 32.66, 32.81, 32.85, 32.79", \ + " 31.58, 31.88, 32.23, 32.43, 32.45", \ + " 31.48, 31.73, 32.06, 32.30, 32.35", \ + " 31.75, 31.85, 32.07, 32.26, 32.30", \ + " 32.84, 32.66, 32.51, 32.46, 32.39", \ + " 35.34, 34.68, 33.88, 33.27, 32.89", \ + " 40.22, 38.86, 36.94, 35.25, 34.12", \ + " 48.83, 46.56, 42.98, 39.44, 36.93", \ + " 63.39, 60.05, 54.21, 47.74, 42.82", \ + " 87.21, 82.72, 74.18, 63.46, 54.56" ); }} +internal_power(b_z_n) { /* nd3v6x6 52.02 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 26.26, 26.57, 26.84, 26.96, 26.93", \ + " 25.29, 25.71, 26.24, 26.60, 26.71", \ + " 25.20, 25.52, 26.04, 26.45, 26.61", \ + " 25.52, 25.66, 26.01, 26.37, 26.55", \ + " 26.77, 26.55, 26.45, 26.54, 26.61", \ + " 29.44, 28.69, 27.85, 27.32, 27.05", \ + " 34.50, 32.98, 30.95, 29.27, 28.24", \ + " 43.14, 40.65, 36.91, 33.38, 30.96", \ + " 57.40, 53.81, 47.77, 41.39, 36.63", \ + " 80.26, 75.56, 66.82, 56.31, 47.81" ); }} +internal_power(c_z_n) { /* nd3v6x6 36.68 nW/MHz */ +related_pin : "c" ; +power(pwr_x6_780_5x10) { +values( " 17.84, 18.42, 18.98, 19.25, 19.31", \ + " 17.17, 17.69, 18.44, 18.99, 19.22", \ + " 17.34, 17.66, 18.28, 18.86, 19.14", \ + " 17.99, 18.01, 18.34, 18.82, 19.10", \ + " 19.73, 19.25, 18.96, 19.04, 19.18", \ + " 22.91, 21.78, 20.58, 19.90, 19.64", \ + " 28.49, 26.50, 23.99, 22.01, 20.90", \ + " 37.52, 34.52, 30.22, 26.31, 23.72", \ + " 51.97, 47.84, 41.23, 34.48, 29.51", \ + " 74.77, 69.47, 60.08, 49.30, 40.69" ); }} +timing() { /* ring osc delay nd3v6x6, path a to z 57.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.5 ; */ +/* intrinsic_fall : 41.5 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 57.6, 93.6, 173.1, 313.6, 611.5", \ + " 51.0, 65.0, 101.1, 180.8, 321.3, 619.2", \ + " 57.3, 71.2, 107.2, 186.7, 327.2, 625.2", \ + " 66.3, 79.8, 115.5, 194.9, 335.3, 633.2", \ + " 80.6, 95.6, 130.7, 209.5, 349.6, 647.3", \ + " 97.8, 115.1, 153.3, 231.1, 370.6, 667.8", \ + " 120.3, 140.0, 184.6, 264.6, 402.7, 699.0", \ + " 150.0, 172.2, 223.1, 314.4, 451.3, 745.7", \ + " 190.8, 216.0, 273.4, 378.9, 526.2, 817.4", \ + " 247.8, 276.7, 341.9, 462.0, 634.1, 929.0" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.5, 124.7, 221.1, 438.1, 823.7, 1642.7", \ + " 90.8, 125.7, 221.1, 438.1, 823.7, 1642.7", \ + " 95.9, 129.5, 222.4, 438.1, 823.7, 1642.7", \ + " 104.2, 136.6, 226.8, 438.5, 823.7, 1642.7", \ + " 121.0, 151.7, 238.1, 443.8, 823.7, 1642.7", \ + " 147.4, 176.3, 258.4, 457.2, 828.2, 1642.7", \ + " 181.5, 215.1, 293.6, 483.8, 844.4, 1644.0", \ + " 226.1, 264.8, 349.9, 530.7, 878.5, 1658.8", \ + " 287.3, 331.5, 430.0, 610.3, 942.3, 1699.5", \ + " 373.5, 424.1, 536.7, 738.3, 1055.3, 1783.1" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.0, 47.7, 78.4, 147.0, 268.8, 527.5", \ + " 40.0, 51.9, 82.8, 151.5, 273.4, 532.1", \ + " 41.9, 54.2, 85.2, 154.0, 275.9, 534.7", \ + " 43.0, 56.3, 87.9, 156.8, 278.8, 537.5", \ + " 43.6, 58.0, 92.0, 161.3, 283.3, 542.1", \ + " 42.4, 58.7, 95.5, 167.5, 289.5, 548.3", \ + " 38.1, 57.2, 98.3, 175.5, 298.9, 557.6", \ + " 28.4, 51.4, 98.9, 183.1, 312.9, 571.4", \ + " 10.5, 38.0, 94.4, 189.2, 328.6, 592.8", \ + " -19.7, 12.5, 79.7, 190.2, 343.7, 624.1" ); } +fall_transition(x6_780_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 58.7, 91.9, 181.0, 382.2, 740.2, 1501.1", \ + " 60.4, 93.0, 181.4, 382.3, 740.3, 1501.1", \ + " 63.5, 95.6, 183.2, 382.8, 740.3, 1501.1", \ + " 69.2, 99.9, 186.4, 384.9, 740.8, 1501.1", \ + " 81.4, 110.6, 194.1, 390.2, 744.0, 1501.5", \ + " 97.3, 128.5, 209.1, 400.8, 751.1, 1504.8", \ + " 123.4, 154.8, 238.0, 422.1, 766.0, 1513.6", \ + " 164.7, 196.2, 279.6, 461.4, 795.4, 1532.5", \ + " 229.6, 261.4, 344.6, 528.5, 850.7, 1571.1", \ + " 332.3, 362.6, 446.0, 629.2, 949.2, 1646.3" ); }} +timing() { /* ring osc delay nd3v6x6, path b to z 53.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.3 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.1, 51.8, 87.5, 166.7, 306.9, 604.7", \ + " 45.4, 59.1, 94.9, 174.3, 314.7, 612.6", \ + " 51.7, 65.2, 100.8, 180.2, 320.7, 618.5", \ + " 60.2, 73.8, 109.1, 188.3, 328.7, 626.5", \ + " 72.7, 88.7, 124.2, 202.8, 342.9, 640.6", \ + " 87.8, 106.3, 146.6, 224.2, 363.7, 661.0", \ + " 107.8, 129.0, 175.9, 257.5, 395.7, 692.1", \ + " 134.2, 158.4, 212.1, 306.4, 444.0, 738.7", \ + " 170.2, 198.0, 259.2, 368.6, 518.6, 810.1", \ + " 220.0, 252.4, 322.9, 448.2, 624.3, 921.3" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 72.4, 108.6, 205.0, 422.0, 807.5, 1626.3", \ + " 75.3, 109.8, 205.0, 422.0, 807.5, 1626.3", \ + " 80.8, 114.0, 206.5, 422.0, 807.5, 1626.3", \ + " 89.7, 121.5, 211.1, 422.4, 807.5, 1626.3", \ + " 107.3, 137.2, 222.8, 427.8, 807.5, 1626.3", \ + " 132.4, 162.4, 243.5, 441.5, 812.0, 1626.3", \ + " 164.1, 199.9, 279.4, 468.6, 828.4, 1627.5", \ + " 206.3, 247.2, 336.3, 516.1, 863.0, 1642.5", \ + " 264.7, 311.3, 413.6, 596.4, 927.5, 1683.5", \ + " 347.5, 400.9, 517.5, 724.2, 1041.4, 1767.8" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 44.6, 75.3, 143.9, 265.7, 524.3", \ + " 37.2, 49.1, 80.0, 148.8, 270.7, 529.4", \ + " 39.4, 52.2, 83.2, 152.0, 273.9, 532.7", \ + " 41.1, 55.3, 87.3, 156.2, 278.2, 537.0", \ + " 42.7, 58.8, 94.3, 163.6, 285.6, 544.4", \ + " 42.8, 61.6, 101.4, 174.3, 296.3, 555.1", \ + " 40.3, 62.6, 108.7, 189.1, 312.5, 571.2", \ + " 33.8, 60.1, 114.3, 205.3, 336.7, 595.1", \ + " 20.8, 51.7, 115.6, 221.3, 367.1, 631.4", \ + " -2.5, 33.6, 108.9, 233.5, 400.3, 686.1" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 56.7, 89.1, 175.8, 371.5, 719.7, 1459.6", \ + " 59.9, 91.2, 176.5, 371.5, 719.7, 1459.6", \ + " 64.6, 95.0, 179.2, 372.3, 719.7, 1459.6", \ + " 73.0, 101.7, 184.0, 375.1, 720.1, 1459.6", \ + " 88.4, 116.8, 195.2, 382.3, 723.8, 1459.6", \ + " 106.5, 138.9, 215.6, 396.8, 732.6, 1462.5", \ + " 135.4, 168.4, 251.2, 424.5, 752.0, 1472.2", \ + " 179.1, 212.7, 297.6, 472.2, 789.2, 1495.2", \ + " 244.1, 278.9, 365.9, 548.9, 855.9, 1543.1", \ + " 338.5, 375.8, 467.5, 656.7, 968.8, 1633.9" ); }} +timing() { /* ring osc delay nd3v6x6, path c to z 44.1 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.1 ; */ +/* intrinsic_fall : 35.9 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.64 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 42.3, 78.2, 157.5, 297.8, 595.5", \ + " 36.1, 49.7, 85.7, 165.3, 305.8, 603.7", \ + " 42.4, 56.0, 91.6, 171.1, 311.7, 609.7", \ + " 49.1, 64.7, 99.8, 179.1, 319.7, 617.7", \ + " 59.1, 77.5, 114.9, 193.6, 333.9, 631.7", \ + " 71.6, 92.8, 136.6, 214.9, 354.6, 652.1", \ + " 88.4, 112.7, 163.6, 248.1, 386.4, 683.1", \ + " 110.4, 138.5, 197.0, 295.8, 434.6, 729.5", \ + " 140.3, 173.1, 240.5, 355.2, 508.9, 800.7", \ + " 181.5, 220.2, 298.8, 431.1, 612.1, 911.5" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.3, 89.4, 185.7, 402.3, 787.5, 1605.9", \ + " 57.8, 91.4, 185.7, 402.3, 787.5, 1605.9", \ + " 64.2, 96.3, 187.5, 402.3, 787.5, 1605.9", \ + " 74.0, 104.4, 192.6, 402.8, 787.5, 1605.9", \ + " 92.7, 121.1, 204.9, 408.6, 787.5, 1605.9", \ + " 113.9, 147.7, 226.5, 422.7, 792.3, 1605.9", \ + " 142.9, 181.7, 263.3, 450.5, 809.0, 1607.2", \ + " 183.0, 226.4, 320.1, 499.0, 844.2, 1622.4", \ + " 240.0, 288.4, 394.7, 580.4, 909.7, 1663.9", \ + " 321.8, 376.7, 496.1, 708.0, 1025.1, 1749.1" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.4, 36.1, 66.9, 135.5, 257.3, 516.0", \ + " 29.9, 41.5, 72.4, 141.1, 263.0, 521.7", \ + " 32.5, 45.9, 76.7, 145.4, 267.3, 526.1", \ + " 34.8, 50.3, 82.6, 151.3, 273.1, 531.9", \ + " 36.9, 55.3, 92.8, 161.7, 283.4, 542.1", \ + " 37.8, 59.2, 103.2, 176.9, 298.3, 556.8", \ + " 36.6, 61.7, 113.2, 198.6, 321.1, 579.1", \ + " 32.0, 61.3, 121.3, 221.3, 355.0, 612.2", \ + " 21.4, 55.8, 126.0, 242.9, 399.3, 662.9", \ + " 1.3, 41.7, 124.2, 260.9, 444.6, 740.1" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.0, 86.0, 171.2, 362.4, 702.3, 1424.5", \ + " 60.4, 90.0, 172.1, 362.3, 702.3, 1424.5", \ + " 67.6, 96.2, 176.0, 362.8, 702.3, 1424.5", \ + " 78.8, 105.8, 183.3, 366.3, 702.2, 1424.5", \ + " 93.5, 124.4, 199.0, 376.7, 706.0, 1424.5", \ + " 111.8, 147.1, 223.9, 396.5, 718.0, 1425.9", \ + " 140.4, 177.2, 263.8, 431.6, 744.0, 1437.8", \ + " 181.7, 220.9, 312.4, 487.1, 791.4, 1468.2", \ + " 241.2, 284.7, 382.1, 571.8, 871.8, 1529.6", \ + " 328.0, 376.8, 483.6, 685.9, 999.5, 1642.3" ); }} +} +} +cell(nd3av0x05) { /* 2008-01-06:07h43 characteristic delay 13.3 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 670 ; /* nd3av0x05 */ +cell_footprint : nd3a ; +pin(a) { /* nd3av0x05 FO4 effort 2.09 */ +direction : input ; +capacitance : 2.53 ; +rise_capacitance : 2.58 ; +fall_capacitance : 2.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd3av0x05 */ +internal_power(a) { /* nd3av0x05 6.61 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.31, 3.21, 3.23, 3.31, 3.52, 3.91, 4.60, 5.72, 7.53, 10.40" ); }} +} +pin(b) { /* nd3av0x05 FO4 effort 1.53 logical effort 1.60 */ +direction : input ; +capacitance : 2.50 ; +rise_capacitance : 2.45 ; +fall_capacitance : 2.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd3av0x05 */ +} +pin(c) { /* nd3av0x05 FO4 effort 1.40 logical effort 1.50 */ +direction : input ; +capacitance : 2.34 ; +rise_capacitance : 2.37 ; +fall_capacitance : 2.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd3av0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 77 ; +max_fanout : 2 ; +function : "(a'*b*c)'" ; +internal_power(a_z_p) { /* nd3av0x05 16.04 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 4.71, 4.73, 4.76, 4.76, 4.76", \ + " 4.68, 4.71, 4.74, 4.76, 4.75", \ + " 4.66, 4.69, 4.73, 4.75, 4.75", \ + " 4.65, 4.68, 4.72, 4.74, 4.74", \ + " 4.64, 4.66, 4.70, 4.73, 4.74", \ + " 4.64, 4.66, 4.70, 4.73, 4.74", \ + " 4.65, 4.67, 4.69, 4.72, 4.73", \ + " 4.69, 4.69, 4.70, 4.72, 4.73", \ + " 4.77, 4.75, 4.73, 4.73, 4.73", \ + " 4.93, 4.87, 4.81, 4.77, 4.76" ); }} +internal_power(b_z_n) { /* nd3av0x05 7.31 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 3.69, 3.73, 3.76, 3.77, 3.77", \ + " 3.57, 3.62, 3.68, 3.72, 3.74", \ + " 3.56, 3.60, 3.66, 3.71, 3.73", \ + " 3.60, 3.61, 3.65, 3.70, 3.72", \ + " 3.74, 3.72, 3.71, 3.72, 3.73", \ + " 4.06, 3.98, 3.88, 3.81, 3.78", \ + " 4.66, 4.49, 4.26, 4.06, 3.93", \ + " 5.71, 5.42, 4.99, 4.56, 4.27", \ + " 7.44, 7.03, 6.32, 5.55, 4.97", \ + " 10.24, 9.69, 8.65, 7.39, 6.35" ); }} +internal_power(c_z_n) { /* nd3av0x05 5.67 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_97_5x10) { +values( " 2.81, 2.87, 2.93, 2.97, 2.97", \ + " 2.71, 2.77, 2.86, 2.93, 2.96", \ + " 2.71, 2.76, 2.84, 2.91, 2.95", \ + " 2.77, 2.79, 2.84, 2.90, 2.94", \ + " 2.96, 2.92, 2.90, 2.92, 2.95", \ + " 3.32, 3.21, 3.09, 3.02, 3.00", \ + " 3.98, 3.77, 3.50, 3.27, 3.15", \ + " 5.05, 4.73, 4.25, 3.79, 3.49", \ + " 6.80, 6.34, 5.58, 4.79, 4.20", \ + " 9.58, 8.98, 7.88, 6.60, 5.57" ); }} +timing() { /* ring osc delay nd3av0x05, path a to z 98.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.0 ; */ +/* intrinsic_fall : 90.2 ; */ +/* rise_resistance : 5.95 ; */ +/* fall_resistance : 5.10 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.4, 90.4, 126.8, 207.2, 349.4, 651.0", \ + " 83.2, 97.3, 133.7, 214.2, 356.4, 658.0", \ + " 88.1, 102.1, 138.6, 219.1, 361.3, 662.9", \ + " 93.4, 107.4, 143.8, 224.4, 366.6, 668.2", \ + " 100.1, 114.1, 150.5, 231.0, 373.2, 674.9", \ + " 106.4, 120.5, 157.0, 237.4, 379.6, 681.2", \ + " 112.9, 126.9, 163.3, 244.0, 386.1, 687.6", \ + " 118.9, 133.0, 169.4, 249.8, 392.2, 693.6", \ + " 123.3, 137.7, 174.5, 255.2, 397.4, 699.0", \ + " 124.1, 139.1, 176.6, 258.5, 401.3, 703.0" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.9, 139.0, 236.0, 455.3, 845.6, 1674.8", \ + " 103.0, 139.1, 236.0, 455.3, 845.6, 1674.8", \ + " 103.3, 139.2, 236.1, 455.3, 845.6, 1674.8", \ + " 104.2, 139.9, 236.3, 455.3, 845.6, 1674.8", \ + " 106.2, 141.5, 237.3, 455.7, 845.6, 1674.8", \ + " 108.7, 143.6, 238.6, 456.4, 846.0, 1674.8", \ + " 112.2, 146.6, 240.8, 457.4, 846.6, 1675.2", \ + " 118.1, 151.7, 244.4, 459.5, 847.6, 1675.8", \ + " 127.2, 160.2, 251.3, 464.0, 850.3, 1676.9", \ + " 140.4, 173.2, 263.4, 473.3, 856.8, 1680.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.9, 84.0, 115.0, 183.7, 305.5, 564.2", \ + " 79.6, 91.7, 122.7, 191.5, 313.3, 572.0", \ + " 85.7, 97.8, 128.9, 197.7, 319.5, 578.3", \ + " 93.0, 105.2, 136.3, 205.2, 327.0, 585.8", \ + " 103.6, 115.9, 147.2, 216.1, 338.0, 596.8", \ + " 116.1, 128.6, 160.2, 229.1, 351.1, 609.9", \ + " 132.2, 144.9, 176.7, 245.9, 367.9, 626.7", \ + " 152.6, 165.7, 197.9, 267.2, 389.3, 648.1", \ + " 179.3, 192.9, 225.8, 295.4, 417.5, 676.4", \ + " 214.7, 229.1, 263.1, 333.5, 455.7, 714.6" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.8, 94.5, 175.0, 357.8, 683.9, 1377.6", \ + " 64.8, 94.5, 175.0, 357.8, 683.9, 1377.6", \ + " 65.0, 94.6, 175.1, 357.8, 683.9, 1377.6", \ + " 65.5, 95.0, 175.2, 357.9, 683.9, 1377.6", \ + " 67.1, 96.2, 176.0, 358.1, 683.9, 1377.6", \ + " 69.1, 98.0, 177.1, 358.7, 684.2, 1377.6", \ + " 71.9, 100.2, 178.7, 359.5, 684.5, 1377.7", \ + " 76.2, 103.9, 181.1, 360.9, 685.1, 1377.9", \ + " 82.9, 109.9, 185.4, 363.4, 686.3, 1378.2", \ + " 93.1, 119.4, 192.9, 368.1, 688.9, 1379.2" ); }} +timing() { /* ring osc delay nd3av0x05, path b to z 60.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.8 ; */ +/* intrinsic_fall : 43.5 ; */ +/* rise_resistance : 5.93 ; */ +/* fall_resistance : 5.11 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.8, 55.6, 91.6, 171.7, 313.7, 615.2", \ + " 49.1, 62.9, 99.0, 179.4, 321.5, 623.1", \ + " 55.4, 68.9, 105.0, 185.3, 327.4, 629.0", \ + " 64.2, 77.5, 113.2, 193.3, 335.4, 637.0", \ + " 77.3, 92.8, 128.2, 207.8, 349.7, 651.1", \ + " 93.1, 111.1, 150.7, 229.2, 370.5, 671.5", \ + " 113.9, 134.5, 180.8, 262.5, 402.5, 702.6", \ + " 141.2, 164.7, 217.8, 311.8, 450.7, 749.1", \ + " 178.4, 205.4, 265.9, 375.0, 525.3, 820.6", \ + " 229.9, 261.3, 330.7, 455.8, 632.2, 931.7" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.7, 119.4, 217.0, 436.7, 826.9, 1655.9", \ + " 85.1, 120.3, 217.1, 436.7, 826.9, 1655.9", \ + " 90.3, 124.2, 218.2, 436.7, 826.9, 1655.9", \ + " 98.7, 131.3, 222.6, 436.9, 826.9, 1655.9", \ + " 115.8, 146.5, 233.8, 442.0, 826.9, 1655.9", \ + " 141.9, 171.2, 254.1, 455.3, 831.1, 1655.9", \ + " 175.0, 209.6, 289.3, 481.9, 846.9, 1656.9", \ + " 218.5, 258.2, 345.6, 528.7, 880.9, 1671.2", \ + " 278.5, 323.6, 424.5, 608.1, 944.6, 1711.5", \ + " 363.4, 414.7, 529.7, 735.8, 1057.4, 1794.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.6, 47.3, 78.0, 146.6, 268.4, 527.1", \ + " 40.0, 51.7, 82.6, 151.4, 273.3, 532.0", \ + " 42.6, 54.8, 85.7, 154.6, 276.5, 535.2", \ + " 44.6, 58.3, 89.8, 158.8, 280.7, 539.5", \ + " 46.8, 62.2, 97.0, 166.1, 288.1, 546.9", \ + " 47.9, 65.7, 104.6, 176.9, 298.8, 557.6", \ + " 46.6, 67.6, 112.4, 191.9, 315.1, 573.8", \ + " 41.4, 66.2, 118.6, 208.4, 339.3, 597.7", \ + " 29.8, 59.0, 120.9, 225.0, 370.0, 634.0", \ + " 7.8, 42.1, 115.2, 237.8, 403.6, 688.7" ); } +fall_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.5, 98.9, 185.8, 381.6, 729.8, 1469.7", \ + " 69.5, 101.1, 186.5, 381.6, 729.8, 1469.7", \ + " 74.1, 104.9, 189.2, 382.4, 729.8, 1469.7", \ + " 82.0, 111.5, 194.0, 385.2, 730.2, 1469.7", \ + " 99.0, 126.2, 205.2, 392.4, 733.9, 1469.7", \ + " 117.6, 149.5, 225.4, 406.9, 742.7, 1472.6", \ + " 146.9, 179.5, 260.8, 434.5, 762.1, 1482.3", \ + " 190.8, 223.9, 308.2, 482.0, 799.2, 1505.3", \ + " 255.6, 290.2, 376.7, 559.0, 865.8, 1553.2", \ + " 349.3, 386.8, 478.2, 667.1, 978.6, 1643.9" ); }} +timing() { /* ring osc delay nd3av0x05, path c to z 53.4 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.9 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 5.94 ; */ +/* fall_resistance : 5.10 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 47.7, 83.9, 164.2, 306.3, 607.8", \ + " 41.1, 55.0, 91.4, 172.0, 314.3, 615.9", \ + " 47.5, 61.1, 97.2, 177.8, 320.2, 621.9", \ + " 55.2, 69.7, 105.4, 185.8, 328.1, 629.9", \ + " 66.2, 83.6, 120.4, 200.1, 342.2, 643.9", \ + " 79.7, 99.8, 142.4, 221.4, 362.9, 664.2", \ + " 97.7, 120.8, 170.4, 254.5, 394.7, 695.2", \ + " 121.3, 147.9, 204.9, 302.8, 442.8, 741.5", \ + " 153.2, 184.1, 249.7, 363.5, 517.0, 812.7", \ + " 197.0, 233.4, 309.7, 440.8, 621.7, 923.4" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.7, 102.2, 199.7, 419.0, 809.0, 1637.6", \ + " 69.0, 103.5, 199.7, 419.0, 809.0, 1637.6", \ + " 74.8, 107.9, 201.0, 419.0, 809.0, 1637.6", \ + " 84.0, 115.6, 205.7, 419.3, 809.0, 1637.6", \ + " 102.2, 131.6, 217.5, 424.6, 809.0, 1637.6", \ + " 126.3, 157.3, 238.5, 438.2, 813.2, 1637.6", \ + " 156.8, 193.9, 274.5, 465.4, 829.3, 1638.6", \ + " 198.3, 240.0, 331.7, 513.1, 863.8, 1653.1", \ + " 256.9, 303.5, 408.0, 593.6, 928.4, 1693.7", \ + " 340.9, 393.4, 510.9, 721.6, 1042.6, 1777.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 40.7, 71.4, 140.1, 261.9, 520.6", \ + " 34.3, 46.0, 76.9, 145.7, 267.6, 526.3", \ + " 37.9, 50.4, 81.2, 150.0, 271.9, 530.7", \ + " 40.8, 55.4, 87.1, 155.8, 277.7, 536.5", \ + " 43.8, 61.1, 97.5, 166.2, 288.0, 546.7", \ + " 45.7, 65.9, 108.5, 181.3, 302.8, 561.3", \ + " 45.6, 69.2, 119.1, 203.3, 325.5, 583.6", \ + " 42.3, 69.8, 128.0, 226.6, 359.4, 616.7", \ + " 33.3, 65.6, 133.6, 248.8, 404.1, 667.3", \ + " 14.8, 52.8, 132.7, 267.4, 450.0, 744.5" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.1, 96.0, 181.1, 372.3, 712.2, 1434.4", \ + " 69.4, 99.4, 181.8, 372.2, 712.2, 1434.4", \ + " 76.3, 105.2, 185.5, 372.6, 712.2, 1434.4", \ + " 86.7, 114.5, 192.5, 376.0, 712.1, 1434.4", \ + " 104.9, 132.7, 207.9, 386.1, 715.7, 1434.4", \ + " 123.5, 157.8, 232.7, 405.6, 727.5, 1435.8", \ + " 152.6, 188.3, 272.6, 440.4, 753.2, 1447.5", \ + " 194.7, 232.6, 322.7, 495.9, 800.4, 1477.6", \ + " 255.7, 297.2, 392.9, 581.3, 880.6, 1538.8", \ + " 344.2, 390.9, 495.3, 696.2, 1008.4, 1651.3" ); }} +} +} +cell(nd3abv0x05) { /* 2008-01-06:07h44 characteristic delay 12.4 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 578 ; /* nd3abv0x05 */ +cell_footprint : nd3ab ; +pin(a) { /* nd3abv0x05 FO4 effort 2.42 */ +direction : input ; +capacitance : 2.89 ; +rise_capacitance : 2.90 ; +fall_capacitance : 2.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nd3abv0x05 */ +} +pin(b) { /* nd3abv0x05 FO4 effort 2.23 */ +direction : input ; +capacitance : 2.75 ; +rise_capacitance : 2.90 ; +fall_capacitance : 2.60 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd3abv0x05 */ +} +pin(c) { /* nd3abv0x05 FO4 effort 1.31 logical effort 1.45 */ +direction : input ; +capacitance : 1.95 ; +rise_capacitance : 1.94 ; +fall_capacitance : 1.97 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin c of nd3abv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "(a'*b'*c)'" ; +internal_power(a_z_p) { /* nd3abv0x05 13.95 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 7.11, 7.14, 7.18, 7.20, 7.21", \ + " 6.96, 6.99, 7.03, 7.05, 7.06", \ + " 6.91, 6.94, 6.99, 7.01, 7.02", \ + " 6.91, 6.94, 6.98, 7.00, 7.01", \ + " 6.99, 7.01, 7.05, 7.08, 7.09", \ + " 7.24, 7.25, 7.28, 7.30, 7.32", \ + " 7.77, 7.77, 7.78, 7.79, 7.81", \ + " 8.73, 8.70, 8.69, 8.70, 8.70", \ + " 10.35, 10.30, 10.26, 10.24, 10.23", \ + " 12.98, 12.90, 12.81, 12.75, 12.73" ); }} +internal_power(b_z_p) { /* nd3abv0x05 11.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.88, 5.92, 5.96, 5.97, 5.97", \ + " 5.76, 5.80, 5.84, 5.86, 5.87", \ + " 5.76, 5.80, 5.84, 5.87, 5.87", \ + " 5.82, 5.85, 5.90, 5.93, 5.93", \ + " 6.02, 6.04, 6.08, 6.11, 6.12", \ + " 6.40, 6.41, 6.43, 6.46, 6.48", \ + " 7.07, 7.07, 7.08, 7.10, 7.12", \ + " 8.18, 8.16, 8.15, 8.16, 8.17", \ + " 9.98, 9.93, 9.89, 9.87, 9.87", \ + " 12.84, 12.75, 12.66, 12.61, 12.59" ); }} +internal_power(c_z_n) { /* nd3abv0x05 3.64 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 1.79, 1.85, 1.91, 1.94, 1.95", \ + " 1.70, 1.76, 1.84, 1.90, 1.93", \ + " 1.72, 1.75, 1.82, 1.88, 1.91", \ + " 1.79, 1.79, 1.82, 1.87, 1.91", \ + " 1.96, 1.91, 1.88, 1.89, 1.91", \ + " 2.29, 2.17, 2.04, 1.98, 1.95", \ + " 2.85, 2.64, 2.39, 2.19, 2.08", \ + " 3.76, 3.45, 3.01, 2.61, 2.35", \ + " 5.21, 4.79, 4.11, 3.43, 2.93", \ + " 7.50, 6.95, 6.00, 4.91, 4.04" ); }} +timing() { /* ring osc delay nd3abv0x05, path a to z 99.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.0 ; */ +/* intrinsic_fall : 102.9 ; */ +/* rise_resistance : 7.43 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.7, 87.4, 125.1, 208.8, 356.9, 671.0", \ + " 79.6, 94.2, 132.0, 215.7, 363.8, 677.9", \ + " 85.0, 99.6, 137.3, 221.1, 369.2, 683.4", \ + " 91.7, 106.2, 143.9, 227.7, 375.8, 690.0", \ + " 100.8, 115.3, 153.0, 236.7, 384.8, 699.0", \ + " 109.8, 124.3, 162.1, 245.7, 393.8, 708.0", \ + " 119.0, 133.6, 171.2, 254.9, 403.0, 717.2", \ + " 127.8, 142.6, 180.1, 263.7, 411.9, 726.1", \ + " 135.4, 150.6, 188.3, 271.8, 420.0, 734.3", \ + " 140.0, 155.9, 194.3, 278.3, 426.7, 741.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.5, 112.4, 212.5, 440.3, 846.4, 1709.8", \ + " 75.5, 112.4, 212.6, 440.3, 846.4, 1709.7", \ + " 75.6, 112.5, 212.6, 440.3, 846.4, 1709.8", \ + " 76.2, 112.9, 212.7, 440.3, 846.4, 1709.8", \ + " 78.3, 114.5, 213.6, 440.6, 846.5, 1709.8", \ + " 81.0, 116.8, 215.0, 441.3, 846.8, 1709.8", \ + " 84.6, 119.8, 217.1, 442.3, 847.4, 1710.2", \ + " 89.6, 124.1, 220.1, 444.0, 848.3, 1710.8", \ + " 96.9, 130.8, 225.2, 447.0, 850.1, 1711.7", \ + " 107.0, 140.6, 233.8, 453.0, 854.0, 1714.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.6, 97.6, 125.9, 185.8, 290.6, 512.9", \ + " 92.3, 104.2, 132.6, 192.4, 297.2, 519.6", \ + " 97.0, 109.0, 137.3, 197.2, 302.1, 524.4", \ + " 103.1, 115.0, 143.4, 203.4, 308.2, 530.6", \ + " 112.3, 124.4, 153.0, 213.0, 317.8, 540.2", \ + " 123.0, 135.5, 164.7, 224.9, 329.8, 552.2", \ + " 137.7, 150.7, 180.4, 241.1, 346.2, 568.6", \ + " 157.9, 171.5, 202.0, 263.2, 368.6, 591.0", \ + " 185.7, 200.3, 231.9, 294.0, 399.6, 622.3", \ + " 223.3, 239.1, 272.6, 336.3, 442.5, 665.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.9, 74.1, 139.6, 289.9, 560.6, 1139.5", \ + " 49.9, 74.2, 139.6, 289.9, 560.6, 1139.5", \ + " 50.0, 74.2, 139.6, 289.9, 560.6, 1139.5", \ + " 50.3, 74.5, 139.7, 289.9, 560.6, 1139.5", \ + " 52.3, 76.1, 140.7, 290.3, 560.8, 1139.5", \ + " 55.0, 78.9, 142.8, 291.5, 561.3, 1139.6", \ + " 58.5, 82.1, 145.7, 293.3, 562.3, 1139.9", \ + " 63.5, 86.9, 149.4, 295.9, 563.7, 1140.5", \ + " 70.6, 93.9, 155.6, 299.8, 566.1, 1141.5", \ + " 80.6, 103.9, 165.0, 306.8, 570.4, 1143.6" ); }} +timing() { /* ring osc delay nd3abv0x05, path b to z 89.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 78.1 ; */ +/* intrinsic_fall : 93.6 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.7, 79.1, 116.6, 200.1, 347.9, 661.9", \ + " 71.6, 86.0, 123.6, 207.1, 355.0, 669.0", \ + " 76.5, 91.0, 128.5, 212.0, 360.0, 674.0", \ + " 82.0, 96.3, 133.9, 217.4, 365.4, 679.4", \ + " 88.5, 103.1, 140.5, 224.0, 372.0, 686.1", \ + " 94.7, 109.2, 146.9, 230.4, 378.3, 692.4", \ + " 100.7, 115.3, 152.8, 236.5, 384.3, 698.4", \ + " 105.2, 120.2, 157.9, 241.5, 389.6, 703.5", \ + " 107.0, 122.6, 161.0, 244.9, 393.0, 707.1", \ + " 103.0, 119.6, 159.2, 244.5, 393.5, 707.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.3, 110.5, 211.1, 439.3, 845.7, 1709.2", \ + " 73.4, 110.6, 211.1, 439.3, 845.7, 1709.2", \ + " 73.8, 110.8, 211.2, 439.3, 845.7, 1709.2", \ + " 75.1, 111.7, 211.5, 439.3, 845.7, 1709.2", \ + " 77.6, 113.6, 212.6, 439.7, 845.7, 1709.2", \ + " 80.4, 116.2, 214.2, 440.5, 846.1, 1709.2", \ + " 84.6, 119.6, 216.7, 441.6, 846.7, 1709.5", \ + " 91.0, 125.3, 220.7, 444.0, 847.8, 1710.1", \ + " 99.9, 134.0, 228.2, 448.8, 850.7, 1711.4", \ + " 112.4, 146.5, 240.1, 458.3, 857.4, 1715.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.5, 87.4, 115.8, 175.6, 280.4, 502.8", \ + " 81.0, 93.0, 121.3, 181.2, 286.0, 508.4", \ + " 86.5, 98.4, 126.8, 186.7, 291.5, 513.9", \ + " 93.8, 105.8, 134.2, 194.2, 299.0, 521.4", \ + " 105.2, 117.5, 146.1, 206.2, 311.1, 533.5", \ + " 118.6, 131.2, 160.4, 220.8, 325.7, 548.1", \ + " 136.6, 149.5, 179.0, 239.9, 345.0, 567.4", \ + " 160.2, 173.7, 203.8, 264.8, 370.4, 592.8", \ + " 191.8, 206.2, 237.4, 299.0, 404.5, 627.4", \ + " 234.5, 250.3, 283.2, 346.1, 451.9, 674.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.9, 74.1, 139.6, 289.9, 560.6, 1139.5", \ + " 49.9, 74.2, 139.6, 289.9, 560.6, 1139.5", \ + " 50.1, 74.3, 139.6, 289.9, 560.6, 1139.5", \ + " 50.7, 74.7, 139.9, 290.0, 560.6, 1139.5", \ + " 53.4, 77.0, 141.2, 290.5, 560.8, 1139.5", \ + " 56.0, 79.7, 143.4, 291.9, 561.5, 1139.6", \ + " 59.6, 82.8, 146.0, 293.5, 562.6, 1140.1", \ + " 65.0, 87.6, 149.3, 295.8, 563.8, 1140.8", \ + " 73.1, 95.2, 155.3, 299.1, 565.8, 1141.7", \ + " 84.6, 106.5, 165.3, 305.7, 569.6, 1143.5" ); }} +timing() { /* ring osc delay nd3abv0x05, path c to z 44.2 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.6 ; */ +/* intrinsic_fall : 35.7 ; */ +/* rise_resistance : 7.42 ; */ +/* fall_resistance : 5.29 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 44.1, 81.9, 165.5, 313.5, 627.5", \ + " 36.9, 51.2, 89.1, 173.0, 321.2, 635.5", \ + " 43.3, 57.4, 94.9, 178.9, 327.1, 641.4", \ + " 50.1, 66.2, 103.2, 186.8, 335.0, 649.3", \ + " 60.3, 79.4, 118.3, 201.2, 349.2, 663.4", \ + " 73.1, 95.1, 140.3, 222.6, 369.8, 683.7", \ + " 90.4, 115.5, 168.2, 255.8, 401.7, 714.6", \ + " 113.2, 142.1, 202.5, 304.4, 449.9, 761.0", \ + " 144.2, 177.9, 247.3, 365.8, 524.3, 832.2", \ + " 187.3, 226.9, 307.4, 443.8, 630.5, 943.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.5, 96.0, 201.3, 438.2, 859.4, 1754.5", \ + " 61.7, 98.6, 201.3, 438.2, 859.4, 1754.5", \ + " 68.6, 104.0, 204.0, 438.2, 859.4, 1754.5", \ + " 78.8, 112.7, 209.9, 439.6, 859.4, 1754.5", \ + " 98.8, 130.0, 223.1, 447.0, 860.1, 1754.5", \ + " 121.6, 157.1, 245.6, 462.6, 867.5, 1754.5", \ + " 151.8, 194.2, 283.3, 492.0, 886.9, 1758.9", \ + " 193.5, 240.9, 342.5, 542.1, 924.8, 1779.1", \ + " 253.2, 305.4, 421.4, 625.3, 993.2, 1825.8", \ + " 340.1, 397.9, 527.5, 757.4, 1111.7, 1916.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 32.4, 58.8, 117.8, 222.5, 444.8", \ + " 28.3, 38.6, 65.1, 124.2, 229.0, 451.4", \ + " 30.9, 43.4, 69.9, 129.0, 233.8, 456.2", \ + " 32.9, 47.7, 76.5, 135.6, 240.4, 462.8", \ + " 34.7, 52.4, 87.3, 147.1, 251.8, 474.2", \ + " 35.0, 55.9, 97.6, 164.0, 268.4, 490.6", \ + " 33.1, 57.7, 107.2, 186.6, 293.5, 515.3", \ + " 27.4, 56.4, 114.6, 209.1, 330.8, 552.1", \ + " 15.3, 49.5, 118.2, 230.0, 375.6, 607.9", \ + " -7.0, 33.2, 114.5, 246.6, 420.3, 691.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.9, 63.6, 132.0, 285.8, 559.0, 1139.2", \ + " 45.0, 68.0, 133.1, 285.8, 559.0, 1139.2", \ + " 53.1, 74.6, 137.1, 286.1, 558.9, 1139.2", \ + " 63.8, 85.0, 144.6, 289.4, 558.9, 1139.2", \ + " 77.4, 103.7, 161.1, 299.8, 561.7, 1139.1", \ + " 96.3, 125.0, 187.3, 320.0, 573.2, 1139.6", \ + " 123.5, 155.1, 225.2, 356.3, 599.2, 1149.6", \ + " 161.3, 196.7, 273.7, 413.9, 647.8, 1178.9", \ + " 214.6, 255.4, 340.9, 496.7, 730.5, 1240.7", \ + " 290.7, 338.4, 436.2, 608.3, 862.3, 1355.9" ); }} +} +} +cell(nd4v0x05) { /* 2008-01-06:07h44 characteristic delay 15.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 601 ; /* nd4v0x05 */ +cell_footprint : nd4 ; +pin(a) { /* nd4v0x05 FO4 effort 1.87 logical effort 1.78 */ +direction : input ; +capacitance : 2.68 ; +rise_capacitance : 2.55 ; +fall_capacitance : 2.82 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd4v0x05 */ +} +pin(b) { /* nd4v0x05 FO4 effort 1.86 logical effort 1.89 */ +direction : input ; +capacitance : 2.85 ; +rise_capacitance : 2.77 ; +fall_capacitance : 2.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd4v0x05 */ +} +pin(c) { /* nd4v0x05 FO4 effort 1.75 logical effort 1.88 */ +direction : input ; +capacitance : 2.83 ; +rise_capacitance : 2.82 ; +fall_capacitance : 2.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd4v0x05 */ +} +pin(d) { /* nd4v0x05 FO4 effort 1.57 logical effort 1.74 */ +direction : input ; +capacitance : 2.62 ; +rise_capacitance : 2.69 ; +fall_capacitance : 2.56 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nd4v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 68 ; +max_fanout : 2 ; +function : "(a*b*c*d)'" ; +internal_power(a_z_n) { /* nd4v0x05 12.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 6.35, 6.36, 6.37, 6.37, 6.36", \ + " 6.24, 6.26, 6.29, 6.30, 6.30", \ + " 6.21, 6.23, 6.26, 6.28, 6.29", \ + " 6.21, 6.23, 6.25, 6.27, 6.28", \ + " 6.30, 6.29, 6.29, 6.29, 6.28", \ + " 6.53, 6.49, 6.43, 6.38, 6.34", \ + " 7.03, 6.93, 6.76, 6.60, 6.48", \ + " 7.99, 7.79, 7.45, 7.09, 6.81", \ + " 9.71, 9.39, 8.80, 8.10, 7.53", \ + " 12.65, 12.19, 11.28, 10.07, 9.02" ); }} +internal_power(b_z_n) { /* nd4v0x05 10.68 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 5.44, 5.46, 5.48, 5.48, 5.47", \ + " 5.30, 5.34, 5.39, 5.42, 5.43", \ + " 5.26, 5.30, 5.36, 5.40, 5.41", \ + " 5.27, 5.29, 5.34, 5.38, 5.40", \ + " 5.35, 5.35, 5.37, 5.39, 5.40", \ + " 5.60, 5.56, 5.50, 5.47, 5.45", \ + " 6.13, 6.01, 5.84, 5.69, 5.58", \ + " 7.10, 6.89, 6.54, 6.17, 5.91", \ + " 8.81, 8.47, 7.86, 7.16, 6.61", \ + " 11.68, 11.20, 10.26, 9.06, 8.04" ); }} +internal_power(c_z_n) { /* nd4v0x05 8.71 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_97_5x10) { +values( " 4.40, 4.43, 4.46, 4.47, 4.47", \ + " 4.29, 4.33, 4.39, 4.44, 4.46", \ + " 4.26, 4.30, 4.37, 4.42, 4.45", \ + " 4.28, 4.30, 4.36, 4.41, 4.44", \ + " 4.40, 4.39, 4.40, 4.42, 4.44", \ + " 4.68, 4.62, 4.55, 4.51, 4.49", \ + " 5.25, 5.11, 4.92, 4.74, 4.63", \ + " 6.26, 6.02, 5.63, 5.24, 4.96", \ + " 7.98, 7.60, 6.95, 6.23, 5.67", \ + " 10.78, 10.27, 9.29, 8.07, 7.06" ); }} +internal_power(d_z_n) { /* nd4v0x05 6.75 nW/MHz */ +related_pin : "d" ; +power(pwr_x05_97_5x10) { +values( " 3.34, 3.41, 3.48, 3.52, 3.53", \ + " 3.24, 3.31, 3.41, 3.49, 3.52", \ + " 3.24, 3.29, 3.38, 3.47, 3.51", \ + " 3.29, 3.31, 3.38, 3.45, 3.50", \ + " 3.46, 3.43, 3.43, 3.47, 3.51", \ + " 3.80, 3.71, 3.61, 3.57, 3.56", \ + " 4.44, 4.26, 4.01, 3.81, 3.70", \ + " 5.50, 5.21, 4.76, 4.33, 4.05", \ + " 7.26, 6.83, 6.11, 5.34, 4.76", \ + " 10.08, 9.51, 8.45, 7.20, 6.16" ); }} +timing() { /* ring osc delay nd4v0x05, path a to z 85.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 57.0 ; */ +/* rise_resistance : 5.99 ; */ +/* fall_resistance : 5.44 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.9, 77.3, 114.3, 195.6, 338.3, 640.3", \ + " 70.1, 84.5, 121.7, 203.0, 345.8, 647.8", \ + " 76.1, 90.5, 127.6, 208.9, 351.7, 653.7", \ + " 84.7, 98.9, 135.8, 217.0, 359.7, 661.6", \ + " 100.7, 114.4, 150.8, 231.5, 373.9, 675.8", \ + " 121.9, 137.1, 173.1, 253.0, 394.8, 696.2", \ + " 149.1, 166.5, 207.2, 286.3, 427.0, 727.4", \ + " 184.1, 203.9, 250.5, 336.8, 475.5, 774.2", \ + " 231.8, 253.9, 306.6, 406.5, 550.5, 846.0", \ + " 298.4, 323.3, 382.5, 496.1, 662.6, 957.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 140.1, 177.9, 278.9, 506.5, 911.2, 1771.2", \ + " 141.1, 178.3, 278.9, 506.5, 911.2, 1771.2", \ + " 145.3, 181.5, 280.1, 506.5, 911.2, 1771.2", \ + " 152.8, 188.0, 284.4, 507.4, 911.2, 1771.2", \ + " 168.7, 202.5, 295.6, 513.4, 911.8, 1771.2", \ + " 194.5, 226.8, 316.1, 527.6, 918.5, 1771.2", \ + " 236.3, 266.9, 352.1, 555.6, 936.9, 1775.7", \ + " 293.1, 327.8, 410.5, 604.8, 973.8, 1795.7", \ + " 369.7, 409.6, 502.0, 688.6, 1041.9, 1842.0", \ + " 476.8, 522.2, 628.2, 824.8, 1162.1, 1932.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.4, 64.8, 97.5, 170.7, 300.6, 576.6", \ + " 56.4, 68.9, 101.8, 175.2, 305.2, 581.2", \ + " 58.4, 71.0, 104.0, 177.4, 307.5, 583.5", \ + " 60.1, 73.1, 106.1, 179.7, 309.8, 585.8", \ + " 60.7, 74.6, 109.0, 182.6, 312.8, 588.9", \ + " 59.6, 74.5, 110.9, 186.0, 316.1, 592.2", \ + " 55.5, 71.9, 110.9, 189.9, 320.7, 596.7", \ + " 46.0, 65.0, 108.2, 192.0, 327.5, 603.2", \ + " 27.1, 49.9, 99.8, 191.1, 333.9, 613.5", \ + " -6.5, 20.8, 80.1, 183.5, 336.8, 628.8" ); } +fall_transition(x05_97_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 97.4, 134.6, 234.5, 460.0, 861.7, 1715.4", \ + " 97.9, 135.0, 234.6, 460.1, 861.7, 1715.4", \ + " 99.9, 136.5, 235.6, 460.3, 861.7, 1715.4", \ + " 103.2, 139.4, 237.8, 461.8, 862.1, 1715.5", \ + " 111.3, 146.1, 243.1, 465.7, 864.7, 1715.9", \ + " 126.4, 160.0, 253.5, 473.4, 870.2, 1719.1", \ + " 148.3, 183.1, 275.0, 489.4, 882.1, 1726.7", \ + " 185.8, 219.4, 312.4, 520.7, 905.8, 1742.8", \ + " 249.2, 281.6, 371.3, 579.6, 952.1, 1775.7", \ + " 354.4, 384.6, 470.7, 672.9, 1038.1, 1840.9" ); }} +timing() { /* ring osc delay nd4v0x05, path b to z 80.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 55.0 ; */ +/* rise_resistance : 5.96 ; */ +/* fall_resistance : 5.44 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.3, 71.2, 107.6, 188.1, 330.3, 632.0", \ + " 64.3, 78.3, 114.9, 195.6, 338.0, 639.7", \ + " 70.2, 84.2, 120.7, 201.4, 343.8, 645.6", \ + " 78.7, 92.5, 128.8, 209.4, 351.8, 653.6", \ + " 94.3, 108.0, 143.7, 223.9, 366.0, 667.6", \ + " 113.4, 129.4, 166.0, 245.2, 386.8, 688.0", \ + " 138.1, 156.5, 198.9, 278.4, 418.8, 719.1", \ + " 170.2, 191.0, 239.7, 328.6, 467.1, 765.7", \ + " 213.9, 237.4, 292.6, 395.7, 541.8, 837.3", \ + " 274.4, 301.3, 364.0, 481.8, 652.0, 948.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 119.5, 157.4, 258.5, 486.3, 891.1, 1750.9", \ + " 120.8, 158.0, 258.6, 486.3, 891.1, 1750.9", \ + " 125.1, 161.3, 259.8, 486.3, 891.1, 1750.9", \ + " 132.9, 167.9, 264.2, 487.1, 891.1, 1750.9", \ + " 149.3, 182.7, 275.5, 493.3, 891.6, 1750.9", \ + " 175.7, 207.4, 296.1, 507.6, 898.3, 1750.9", \ + " 217.4, 248.2, 332.5, 535.6, 916.8, 1755.4", \ + " 270.7, 307.3, 391.3, 584.9, 953.8, 1775.5", \ + " 343.6, 385.4, 481.5, 668.9, 1022.0, 1821.9", \ + " 446.3, 493.9, 603.8, 805.2, 1142.3, 1912.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.6, 62.0, 94.8, 168.0, 297.9, 573.9", \ + " 53.5, 66.1, 99.1, 172.5, 302.5, 578.5", \ + " 55.7, 68.4, 101.4, 174.9, 305.0, 581.0", \ + " 57.5, 71.0, 104.2, 177.7, 307.9, 583.9", \ + " 58.6, 73.3, 108.6, 182.2, 312.4, 588.5", \ + " 58.8, 74.9, 112.8, 188.6, 318.7, 594.8", \ + " 56.7, 75.1, 116.7, 197.5, 328.4, 604.4", \ + " 49.9, 71.6, 119.1, 206.5, 343.1, 618.7", \ + " 35.4, 61.2, 117.1, 214.8, 361.0, 640.8", \ + " 9.1, 39.3, 105.5, 219.0, 379.4, 674.4" ); } +fall_transition(x05_97_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 93.5, 129.5, 226.1, 444.3, 832.7, 1658.2", \ + " 94.5, 130.2, 226.4, 444.4, 832.7, 1658.2", \ + " 97.6, 132.8, 228.0, 444.7, 832.7, 1658.2", \ + " 102.5, 136.9, 231.3, 446.9, 833.0, 1658.2", \ + " 114.2, 146.7, 238.8, 452.2, 836.3, 1658.3", \ + " 133.6, 165.9, 253.3, 462.6, 843.2, 1661.6", \ + " 159.0, 193.8, 281.8, 483.8, 858.1, 1670.1", \ + " 200.6, 234.9, 326.5, 523.1, 887.8, 1688.7", \ + " 267.6, 301.4, 391.6, 592.8, 943.8, 1727.8", \ + " 372.5, 405.8, 494.8, 694.8, 1043.1, 1804.7" ); }} +timing() { /* ring osc delay nd4v0x05, path c to z 73.2 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 49.6 ; */ +/* rise_resistance : 5.94 ; */ +/* fall_resistance : 5.44 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.4, 62.2, 98.2, 178.4, 320.4, 621.9", \ + " 55.7, 69.5, 105.7, 186.2, 328.4, 630.0", \ + " 61.8, 75.5, 111.6, 192.1, 334.4, 636.0", \ + " 70.4, 83.9, 119.7, 200.1, 342.3, 644.0", \ + " 84.7, 99.4, 134.6, 214.5, 356.5, 658.1", \ + " 101.6, 118.8, 156.9, 235.8, 377.2, 678.5", \ + " 123.7, 143.4, 188.1, 268.9, 409.1, 709.5", \ + " 152.5, 175.0, 226.4, 318.5, 457.3, 756.0", \ + " 191.8, 217.4, 276.1, 383.1, 531.9, 827.4", \ + " 245.8, 275.6, 343.0, 465.7, 640.0, 938.6" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.1, 137.1, 238.4, 466.1, 870.8, 1730.4", \ + " 101.0, 137.9, 238.4, 466.1, 870.8, 1730.4", \ + " 105.7, 141.6, 239.8, 466.1, 870.8, 1730.4", \ + " 113.9, 148.6, 244.4, 467.0, 870.8, 1730.4", \ + " 130.9, 163.7, 256.0, 473.3, 871.3, 1730.4", \ + " 158.1, 189.1, 277.0, 487.9, 878.1, 1730.4", \ + " 197.2, 230.5, 313.8, 516.1, 896.9, 1734.9", \ + " 247.4, 286.1, 373.0, 565.9, 934.1, 1755.2", \ + " 316.9, 360.9, 461.1, 650.2, 1002.7, 1801.9", \ + " 416.0, 465.7, 579.6, 786.5, 1123.4, 1893.3" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 55.0, 87.7, 161.0, 290.9, 566.8", \ + " 46.5, 59.1, 92.0, 165.4, 295.4, 571.4", \ + " 49.2, 61.9, 94.9, 168.4, 298.4, 574.4", \ + " 51.5, 65.6, 98.8, 172.3, 302.4, 578.5", \ + " 54.2, 69.6, 105.8, 179.3, 309.4, 585.5", \ + " 55.9, 73.5, 113.4, 189.5, 319.6, 595.7", \ + " 55.5, 76.1, 121.4, 204.4, 335.2, 611.1", \ + " 51.3, 75.5, 128.1, 220.9, 358.4, 634.0", \ + " 40.9, 69.2, 131.0, 237.6, 389.1, 668.9", \ + " 20.2, 53.4, 125.9, 250.7, 422.7, 722.1" ); } +fall_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 90.3, 125.3, 219.3, 431.4, 808.8, 1611.0", \ + " 92.6, 127.0, 219.8, 431.4, 808.8, 1611.0", \ + " 96.7, 130.4, 222.3, 432.0, 808.8, 1611.0", \ + " 103.7, 136.4, 226.7, 434.7, 809.1, 1611.0", \ + " 119.5, 150.1, 237.3, 441.4, 812.6, 1611.1", \ + " 140.9, 174.1, 256.7, 455.4, 820.9, 1613.6", \ + " 168.9, 204.4, 290.7, 482.3, 839.7, 1622.6", \ + " 212.8, 248.1, 339.8, 528.9, 876.2, 1644.8", \ + " 279.8, 315.4, 407.6, 606.1, 941.9, 1691.9", \ + " 378.1, 415.7, 510.4, 713.5, 1052.9, 1781.9" ); }} +timing() { /* ring osc delay nd4v0x05, path d to z 64.2 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.8 ; */ +/* intrinsic_fall : 43.3 ; */ +/* rise_resistance : 5.95 ; */ +/* fall_resistance : 5.43 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 52.6, 89.0, 169.4, 311.5, 613.1", \ + " 46.0, 59.9, 96.5, 177.3, 319.7, 621.4", \ + " 52.3, 66.0, 102.3, 183.1, 325.6, 627.4", \ + " 60.7, 74.5, 110.4, 191.0, 333.5, 635.4", \ + " 72.6, 89.1, 125.3, 205.3, 347.6, 649.4", \ + " 87.0, 106.2, 147.5, 226.5, 368.2, 669.7", \ + " 106.1, 128.1, 176.4, 259.5, 400.0, 700.6", \ + " 131.2, 156.5, 211.9, 308.2, 448.0, 746.9", \ + " 165.1, 194.5, 258.2, 370.1, 522.2, 818.1", \ + " 211.7, 246.3, 320.2, 449.1, 628.1, 929.0" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 78.8, 116.7, 217.7, 445.2, 849.5, 1708.8", \ + " 81.3, 117.7, 217.7, 445.2, 849.5, 1708.8", \ + " 86.7, 121.9, 219.3, 445.2, 849.6, 1708.8", \ + " 95.4, 129.4, 224.2, 446.1, 849.6, 1708.8", \ + " 113.2, 145.2, 236.3, 452.6, 850.0, 1708.8", \ + " 141.3, 171.2, 257.8, 467.4, 857.0, 1708.8", \ + " 176.0, 212.4, 295.1, 496.2, 875.9, 1713.3", \ + " 223.2, 264.3, 355.0, 546.4, 913.6, 1733.6", \ + " 290.5, 336.1, 440.5, 631.3, 982.8, 1780.7", \ + " 388.2, 438.7, 555.5, 768.2, 1104.2, 1872.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 45.3, 78.1, 151.4, 281.4, 557.3", \ + " 37.7, 50.2, 83.1, 156.6, 286.6, 562.6", \ + " 41.6, 54.2, 87.0, 160.5, 290.5, 566.6", \ + " 44.8, 59.3, 92.4, 165.8, 295.8, 571.9", \ + " 48.4, 65.2, 102.1, 175.3, 305.2, 581.2", \ + " 50.8, 70.3, 112.9, 189.2, 318.9, 594.6", \ + " 51.3, 74.0, 123.5, 209.7, 339.8, 615.1", \ + " 48.5, 75.0, 132.3, 232.1, 371.0, 645.5", \ + " 40.0, 70.9, 137.7, 253.5, 413.1, 692.1", \ + " 21.8, 58.2, 136.4, 271.2, 456.8, 763.4" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.7, 120.5, 213.0, 420.4, 788.6, 1571.2", \ + " 89.8, 122.8, 212.9, 420.1, 788.6, 1571.2", \ + " 96.0, 128.0, 216.1, 420.0, 788.6, 1571.2", \ + " 105.4, 136.6, 222.5, 422.8, 788.3, 1571.2", \ + " 124.7, 153.5, 236.8, 432.0, 791.1, 1571.2", \ + " 144.2, 181.1, 260.3, 450.3, 801.8, 1571.9", \ + " 171.6, 209.7, 298.3, 483.5, 825.9, 1582.2", \ + " 214.0, 252.9, 349.2, 536.7, 870.9, 1610.2", \ + " 276.7, 318.1, 417.8, 620.4, 947.9, 1668.4", \ + " 368.4, 414.1, 520.2, 732.8, 1071.1, 1776.4" ); }} +} +} +cell(nd4v0x1) { /* 2008-01-06:07h44 characteristic delay 14.4 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1016 ; /* nd4v0x1 */ +cell_footprint : nd4 ; +pin(a) { /* nd4v0x1 FO4 effort 1.77 logical effort 1.67 */ +direction : input ; +capacitance : 4.23 ; +rise_capacitance : 4.01 ; +fall_capacitance : 4.45 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd4v0x1 */ +} +pin(b) { /* nd4v0x1 FO4 effort 1.74 logical effort 1.73 */ +direction : input ; +capacitance : 4.39 ; +rise_capacitance : 4.27 ; +fall_capacitance : 4.52 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd4v0x1 */ +} +pin(c) { /* nd4v0x1 FO4 effort 1.62 logical effort 1.69 */ +direction : input ; +capacitance : 4.30 ; +rise_capacitance : 4.29 ; +fall_capacitance : 4.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd4v0x1 */ +} +pin(d) { /* nd4v0x1 FO4 effort 1.48 logical effort 1.62 */ +direction : input ; +capacitance : 4.12 ; +rise_capacitance : 4.23 ; +fall_capacitance : 4.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nd4v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 118 ; +max_fanout : 4 ; +function : "(a*b*c*d)'" ; +internal_power(a_z_n) { /* nd4v0x1 19.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 10.03, 10.05, 10.07, 10.07, 10.05", \ + " 9.83, 9.88, 9.93, 9.96, 9.96", \ + " 9.78, 9.83, 9.88, 9.92, 9.93", \ + " 9.80, 9.83, 9.87, 9.91, 9.91", \ + " 9.95, 9.94, 9.93, 9.93, 9.92", \ + " 10.37, 10.29, 10.18, 10.08, 10.01", \ + " 11.25, 11.05, 10.75, 10.46, 10.26", \ + " 12.92, 12.56, 11.95, 11.31, 10.83", \ + " 15.87, 15.31, 14.27, 13.04, 12.07", \ + " 20.89, 20.09, 18.51, 16.41, 14.60" ); }} +internal_power(b_z_n) { /* nd4v0x1 16.73 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 8.52, 8.55, 8.58, 8.59, 8.58", \ + " 8.29, 8.36, 8.44, 8.50, 8.51", \ + " 8.23, 8.30, 8.39, 8.46, 8.48", \ + " 8.25, 8.29, 8.36, 8.43, 8.46", \ + " 8.41, 8.41, 8.42, 8.45, 8.47", \ + " 8.85, 8.77, 8.66, 8.59, 8.55", \ + " 9.78, 9.56, 9.25, 8.97, 8.79", \ + " 11.47, 11.08, 10.45, 9.81, 9.35", \ + " 14.41, 13.80, 12.72, 11.51, 10.56", \ + " 19.30, 18.46, 16.82, 14.74, 12.99" ); }} +internal_power(c_z_n) { /* nd4v0x1 13.53 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_162_5x10) { +values( " 6.83, 6.88, 6.93, 6.96, 6.96", \ + " 6.64, 6.72, 6.83, 6.91, 6.94", \ + " 6.60, 6.67, 6.78, 6.88, 6.92", \ + " 6.64, 6.68, 6.77, 6.86, 6.90", \ + " 6.86, 6.84, 6.84, 6.88, 6.91", \ + " 7.37, 7.25, 7.12, 7.04, 7.00", \ + " 8.36, 8.11, 7.75, 7.44, 7.25", \ + " 10.11, 9.67, 8.98, 8.29, 7.81", \ + " 13.05, 12.38, 11.23, 9.98, 9.01", \ + " 17.82, 16.92, 15.22, 13.12, 11.39" ); }} +internal_power(d_z_n) { /* nd4v0x1 10.31 nW/MHz */ +related_pin : "d" ; +power(pwr_x1_162_5x10) { +values( " 5.08, 5.19, 5.31, 5.38, 5.40", \ + " 4.91, 5.03, 5.20, 5.33, 5.39", \ + " 4.92, 5.01, 5.16, 5.30, 5.37", \ + " 5.02, 5.06, 5.16, 5.28, 5.36", \ + " 5.33, 5.28, 5.26, 5.32, 5.37", \ + " 5.94, 5.77, 5.58, 5.49, 5.46", \ + " 7.04, 6.71, 6.27, 5.91, 5.72", \ + " 8.88, 8.36, 7.56, 6.81, 6.30", \ + " 11.88, 11.13, 9.87, 8.53, 7.53", \ + " 16.68, 15.68, 13.85, 11.68, 9.91" ); }} +timing() { /* ring osc delay nd4v0x1, path a to z 79.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.7 ; */ +/* intrinsic_fall : 54.4 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 3.26 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 72.9, 109.3, 189.0, 328.9, 625.0", \ + " 66.0, 80.2, 116.7, 196.4, 336.4, 632.5", \ + " 72.0, 86.2, 122.6, 202.3, 342.3, 638.4", \ + " 80.6, 94.6, 130.9, 210.4, 350.3, 646.4", \ + " 96.7, 110.2, 145.9, 225.0, 364.6, 660.5", \ + " 117.2, 132.6, 168.3, 246.5, 385.5, 681.0", \ + " 143.6, 161.2, 202.0, 279.9, 417.7, 712.2", \ + " 177.8, 197.6, 244.3, 330.4, 466.2, 758.9", \ + " 224.4, 246.6, 299.3, 398.8, 541.3, 830.8", \ + " 289.6, 314.7, 373.9, 486.9, 652.2, 942.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 130.0, 167.2, 266.2, 489.2, 886.0, 1729.1", \ + " 131.5, 167.8, 266.1, 489.3, 886.0, 1729.1", \ + " 135.9, 171.2, 267.6, 489.3, 886.0, 1729.1", \ + " 143.7, 178.0, 272.2, 490.4, 886.0, 1729.1", \ + " 160.2, 193.0, 283.8, 496.8, 886.8, 1729.1", \ + " 186.4, 217.9, 304.8, 511.4, 893.9, 1729.1", \ + " 228.8, 258.6, 341.5, 540.0, 912.9, 1734.2", \ + " 284.3, 319.2, 400.7, 590.1, 950.5, 1755.0", \ + " 359.9, 400.0, 492.2, 674.9, 1019.8, 1802.3", \ + " 466.3, 511.9, 617.5, 812.3, 1141.5, 1894.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.0, 62.4, 95.1, 168.3, 298.3, 574.2", \ + " 53.8, 66.4, 99.4, 172.8, 302.8, 578.7", \ + " 55.8, 68.4, 101.5, 174.9, 305.0, 581.0", \ + " 57.3, 70.5, 103.6, 177.1, 307.2, 583.3", \ + " 57.7, 71.7, 106.4, 180.0, 310.2, 586.3", \ + " 56.4, 71.4, 108.1, 183.4, 313.5, 589.6", \ + " 51.9, 68.7, 108.0, 187.2, 318.1, 594.1", \ + " 41.9, 61.4, 105.1, 189.3, 324.9, 600.6", \ + " 22.5, 45.9, 96.5, 188.3, 331.3, 610.9", \ + " -11.4, 16.5, 76.7, 180.7, 334.2, 626.3" ); } +fall_transition(x1_162_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 90.8, 128.0, 227.8, 453.4, 855.1, 1708.9", \ + " 91.4, 128.4, 228.0, 453.5, 855.1, 1708.9", \ + " 93.5, 130.1, 229.0, 453.7, 855.1, 1708.9", \ + " 96.9, 133.0, 231.4, 455.3, 855.5, 1708.9", \ + " 105.5, 140.0, 236.7, 459.2, 858.2, 1709.4", \ + " 120.5, 154.5, 247.4, 467.1, 863.8, 1712.5", \ + " 142.7, 177.4, 269.4, 483.3, 875.7, 1720.3", \ + " 180.9, 214.2, 306.8, 515.0, 899.7, 1736.4", \ + " 245.2, 277.2, 366.3, 574.3, 946.4, 1769.5", \ + " 352.2, 381.5, 466.7, 668.0, 1032.9, 1835.1" ); }} +timing() { /* ring osc delay nd4v0x1, path b to z 75.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 52.3 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 67.0, 102.7, 181.6, 321.1, 616.8", \ + " 60.3, 74.2, 110.0, 189.2, 328.7, 624.5", \ + " 66.3, 80.1, 115.9, 195.0, 334.6, 630.4", \ + " 74.9, 88.5, 124.0, 203.0, 342.6, 638.4", \ + " 90.2, 104.0, 139.0, 217.5, 356.8, 652.5", \ + " 108.7, 124.8, 161.3, 238.9, 377.6, 672.9", \ + " 132.6, 151.1, 193.6, 272.1, 409.6, 704.0", \ + " 163.9, 184.8, 233.5, 322.2, 458.0, 750.7", \ + " 206.4, 230.1, 285.3, 388.0, 532.8, 822.3", \ + " 265.5, 292.7, 355.4, 472.6, 641.7, 933.8" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.3, 147.5, 246.6, 469.9, 866.7, 1709.7", \ + " 112.0, 148.3, 246.7, 469.9, 866.7, 1709.7", \ + " 116.6, 151.9, 248.2, 469.9, 866.7, 1709.7", \ + " 124.7, 158.8, 252.8, 470.9, 866.7, 1709.7", \ + " 141.7, 174.1, 264.5, 477.5, 867.4, 1709.7", \ + " 168.7, 199.4, 285.7, 492.2, 874.6, 1709.7", \ + " 209.7, 240.9, 322.8, 520.8, 893.7, 1714.7", \ + " 262.2, 298.9, 382.3, 571.0, 931.4, 1735.6", \ + " 334.2, 376.2, 472.1, 656.1, 1000.7, 1783.1", \ + " 436.2, 483.9, 593.5, 793.4, 1122.5, 1875.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 59.5, 92.2, 165.5, 295.4, 571.3", \ + " 50.9, 63.5, 96.5, 169.9, 299.9, 575.9", \ + " 53.0, 65.7, 98.8, 172.3, 302.4, 578.4", \ + " 54.5, 68.3, 101.5, 175.1, 305.2, 581.3", \ + " 55.5, 70.3, 105.9, 179.6, 309.7, 585.9", \ + " 55.5, 71.8, 110.0, 185.9, 316.1, 592.2", \ + " 52.9, 71.7, 113.8, 194.8, 325.8, 601.8", \ + " 45.6, 67.9, 116.0, 203.8, 340.5, 616.1", \ + " 30.8, 57.2, 113.8, 212.1, 358.4, 638.3", \ + " 4.2, 35.0, 102.1, 216.1, 376.8, 672.0" ); } +fall_transition(x1_162_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 87.2, 123.2, 219.8, 437.9, 826.3, 1651.8", \ + " 88.4, 123.9, 220.1, 438.0, 826.3, 1651.8", \ + " 91.6, 126.6, 221.8, 438.4, 826.3, 1651.8", \ + " 96.6, 130.9, 225.1, 440.6, 826.7, 1651.8", \ + " 108.9, 140.9, 232.7, 445.9, 830.0, 1652.0", \ + " 127.5, 160.8, 247.6, 456.5, 837.0, 1655.3", \ + " 153.2, 187.9, 276.4, 478.0, 852.0, 1663.8", \ + " 195.3, 229.4, 320.8, 517.6, 881.9, 1682.5", \ + " 262.7, 296.3, 386.2, 587.5, 938.2, 1721.8", \ + " 368.4, 401.3, 489.9, 689.4, 1037.7, 1798.9" ); }} +timing() { /* ring osc delay nd4v0x1, path c to z 67.8 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 63.0 ; */ +/* intrinsic_fall : 47.2 ; */ +/* rise_resistance : 3.49 ; */ +/* fall_resistance : 3.27 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.0, 58.5, 93.8, 172.5, 311.7, 607.3", \ + " 52.3, 65.8, 101.4, 180.3, 319.7, 615.4", \ + " 58.4, 71.8, 107.3, 186.2, 325.6, 621.4", \ + " 67.1, 80.3, 115.4, 194.2, 333.6, 629.4", \ + " 80.7, 95.6, 130.4, 208.6, 347.8, 643.5", \ + " 97.0, 114.4, 152.7, 229.9, 368.6, 663.9", \ + " 118.4, 138.2, 183.1, 263.1, 400.5, 694.9", \ + " 146.4, 169.0, 220.5, 312.4, 448.7, 741.4", \ + " 184.4, 210.4, 269.1, 375.7, 523.3, 812.9", \ + " 236.8, 267.0, 334.7, 456.9, 630.0, 924.1" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.7, 127.9, 227.2, 450.5, 847.2, 1690.0", \ + " 93.0, 129.1, 227.2, 450.5, 847.2, 1690.0", \ + " 98.0, 133.0, 228.9, 450.5, 847.2, 1690.0", \ + " 106.5, 140.3, 233.8, 451.6, 847.2, 1690.0", \ + " 124.0, 155.9, 245.8, 458.3, 847.9, 1690.0", \ + " 151.9, 181.8, 267.3, 473.2, 855.2, 1690.0", \ + " 189.6, 223.6, 304.7, 502.1, 874.5, 1695.1", \ + " 239.0, 278.0, 364.6, 552.6, 912.5, 1716.1", \ + " 307.8, 352.0, 452.0, 637.9, 982.1, 1763.8", \ + " 406.1, 456.1, 569.7, 775.3, 1104.3, 1856.6" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.3, 52.7, 85.5, 158.7, 288.6, 564.5", \ + " 44.2, 56.8, 89.7, 163.1, 293.1, 569.1", \ + " 46.8, 59.6, 92.6, 166.1, 296.1, 572.1", \ + " 48.8, 63.1, 96.5, 170.0, 300.1, 576.2", \ + " 51.2, 66.9, 103.4, 177.0, 307.2, 583.2", \ + " 52.5, 70.6, 110.8, 187.3, 317.3, 593.4", \ + " 51.6, 72.8, 118.7, 202.0, 332.9, 608.8", \ + " 47.0, 71.8, 125.1, 218.4, 356.2, 631.8", \ + " 36.2, 65.2, 127.7, 235.0, 386.8, 666.7", \ + " 15.3, 49.1, 122.5, 248.0, 420.3, 719.9" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.1, 119.1, 213.1, 425.2, 802.6, 1604.8", \ + " 86.7, 121.0, 213.6, 425.3, 802.6, 1604.9", \ + " 90.9, 124.5, 216.3, 425.9, 802.6, 1604.9", \ + " 98.3, 130.7, 220.8, 428.6, 802.9, 1604.9", \ + " 114.7, 144.7, 231.5, 435.5, 806.5, 1604.9", \ + " 134.7, 169.3, 251.1, 449.6, 814.9, 1607.4", \ + " 162.8, 198.4, 285.5, 476.7, 833.8, 1616.5", \ + " 207.0, 242.2, 334.0, 523.4, 870.4, 1638.8", \ + " 274.0, 309.6, 401.8, 600.8, 936.3, 1686.0", \ + " 371.8, 409.6, 504.6, 707.7, 1047.4, 1776.1" ); }} +timing() { /* ring osc delay nd4v0x1, path d to z 58.6 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.9 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 3.26 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.3, 49.2, 84.9, 163.7, 303.0, 598.6", \ + " 42.9, 56.5, 92.4, 171.5, 311.1, 606.9", \ + " 49.3, 62.6, 98.2, 177.4, 317.1, 612.9", \ + " 57.2, 71.2, 106.4, 185.4, 325.0, 620.9", \ + " 68.5, 85.3, 121.3, 199.7, 339.1, 635.0", \ + " 82.3, 101.8, 143.3, 220.9, 359.8, 655.3", \ + " 100.6, 123.0, 171.5, 254.0, 391.6, 686.2", \ + " 124.8, 150.5, 206.2, 302.2, 439.6, 732.6", \ + " 157.4, 187.4, 251.4, 362.9, 514.0, 803.8", \ + " 202.2, 237.5, 312.0, 440.5, 618.3, 914.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.2, 108.3, 207.4, 430.4, 826.8, 1669.2", \ + " 74.3, 109.7, 207.4, 430.4, 826.8, 1669.2", \ + " 79.9, 114.2, 209.3, 430.4, 826.8, 1669.2", \ + " 89.0, 121.9, 214.5, 431.5, 826.8, 1669.2", \ + " 107.4, 138.2, 226.9, 438.4, 827.5, 1669.2", \ + " 134.5, 164.7, 248.9, 453.6, 834.9, 1669.2", \ + " 168.4, 205.3, 286.8, 483.0, 854.4, 1674.3", \ + " 215.1, 256.4, 347.5, 534.0, 892.8, 1695.4", \ + " 281.9, 327.6, 431.6, 619.8, 963.0, 1743.5", \ + " 379.0, 429.8, 546.0, 757.2, 1085.8, 1836.9" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 43.1, 75.9, 149.2, 279.1, 555.1", \ + " 35.5, 47.9, 80.9, 154.3, 284.4, 560.4", \ + " 39.1, 52.0, 84.8, 158.3, 288.3, 564.3", \ + " 42.0, 56.8, 90.2, 163.6, 293.6, 569.6", \ + " 45.2, 62.4, 99.9, 173.1, 303.0, 579.0", \ + " 47.2, 67.2, 110.4, 187.1, 316.7, 592.4", \ + " 47.3, 70.6, 120.7, 207.5, 337.6, 612.9", \ + " 44.0, 71.2, 129.3, 229.6, 368.9, 643.3", \ + " 35.1, 66.8, 134.4, 250.9, 410.8, 690.0", \ + " 16.6, 53.8, 133.0, 268.4, 454.5, 761.4" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 79.6, 114.4, 206.9, 414.4, 782.6, 1565.2", \ + " 84.2, 117.1, 207.0, 414.1, 782.6, 1565.2", \ + " 90.5, 122.5, 210.3, 414.1, 782.6, 1565.2", \ + " 100.2, 131.2, 216.8, 417.0, 782.2, 1565.2", \ + " 120.3, 148.3, 231.3, 426.3, 785.2, 1565.2", \ + " 137.3, 175.2, 254.9, 444.7, 796.0, 1565.9", \ + " 164.9, 203.2, 293.2, 478.0, 820.2, 1576.3", \ + " 207.1, 246.4, 343.1, 531.3, 865.3, 1604.5", \ + " 269.3, 311.3, 411.5, 615.0, 942.4, 1662.8", \ + " 360.3, 406.7, 513.6, 726.6, 1065.4, 1770.7" ); }} +} +} +cell(nd4v0x2) { /* 2008-01-06:07h44 characteristic delay 14.7 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1502 ; /* nd4v0x2 */ +cell_footprint : nd4 ; +pin(a) { /* nd4v0x2 FO4 effort 1.81 logical effort 1.76 */ +direction : input ; +capacitance : 6.60 ; +rise_capacitance : 6.25 ; +fall_capacitance : 6.95 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd4v0x2 */ +} +pin(b) { /* nd4v0x2 FO4 effort 1.75 logical effort 1.78 */ +direction : input ; +capacitance : 6.70 ; +rise_capacitance : 6.51 ; +fall_capacitance : 6.89 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nd4v0x2 */ +} +pin(c) { /* nd4v0x2 FO4 effort 1.60 logical effort 1.70 */ +direction : input ; +capacitance : 6.40 ; +rise_capacitance : 6.37 ; +fall_capacitance : 6.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd4v0x2 */ +} +pin(d) { /* nd4v0x2 FO4 effort 1.45 logical effort 1.61 */ +direction : input ; +capacitance : 6.07 ; +rise_capacitance : 6.24 ; +fall_capacitance : 5.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nd4v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 173 ; +max_fanout : 6 ; +function : "(a*b*c*d)'" ; +internal_power(a_z_n) { /* nd4v0x2 28.87 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 14.70, 14.74, 14.77, 14.78, 14.75", \ + " 14.36, 14.45, 14.55, 14.61, 14.60", \ + " 14.27, 14.36, 14.47, 14.55, 14.56", \ + " 14.28, 14.34, 14.44, 14.51, 14.53", \ + " 14.49, 14.49, 14.50, 14.53, 14.53", \ + " 15.11, 14.99, 14.84, 14.73, 14.65", \ + " 16.42, 16.11, 15.66, 15.26, 14.98", \ + " 18.90, 18.33, 17.40, 16.46, 15.77", \ + " 23.29, 22.39, 20.78, 18.94, 17.52", \ + " 30.74, 29.47, 26.99, 23.82, 21.15" ); }} +internal_power(b_z_n) { /* nd4v0x2 24.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 12.28, 12.34, 12.39, 12.40, 12.38", \ + " 11.94, 12.05, 12.18, 12.26, 12.27", \ + " 11.86, 11.96, 12.10, 12.21, 12.24", \ + " 11.88, 11.95, 12.07, 12.17, 12.21", \ + " 12.13, 12.12, 12.15, 12.19, 12.21", \ + " 12.80, 12.66, 12.50, 12.40, 12.33", \ + " 14.18, 13.84, 13.36, 12.94, 12.66", \ + " 16.71, 16.09, 15.11, 14.14, 13.46", \ + " 21.09, 20.12, 18.43, 16.59, 15.19", \ + " 28.37, 27.01, 24.44, 21.28, 18.68" ); }} +internal_power(c_z_n) { /* nd4v0x2 19.29 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 9.71, 9.80, 9.88, 9.92, 9.91", \ + " 9.43, 9.56, 9.73, 9.85, 9.89", \ + " 9.39, 9.50, 9.67, 9.80, 9.86", \ + " 9.45, 9.52, 9.65, 9.78, 9.84", \ + " 9.79, 9.75, 9.76, 9.82, 9.85", \ + " 10.56, 10.37, 10.16, 10.03, 9.98", \ + " 12.06, 11.64, 11.07, 10.61, 10.32", \ + " 14.67, 13.95, 12.87, 11.84, 11.13", \ + " 19.06, 17.98, 16.18, 14.28, 12.85", \ + " 26.16, 24.72, 22.04, 18.85, 16.26" ); }} +internal_power(d_z_n) { /* nd4v0x2 14.34 nW/MHz */ +related_pin : "d" ; +power(pwr_x2_260_5x10) { +values( " 7.01, 7.19, 7.36, 7.46, 7.48", \ + " 6.80, 6.97, 7.22, 7.40, 7.48", \ + " 6.83, 6.95, 7.16, 7.36, 7.46", \ + " 6.99, 7.03, 7.17, 7.34, 7.44", \ + " 7.47, 7.37, 7.34, 7.40, 7.46", \ + " 8.40, 8.11, 7.80, 7.65, 7.60", \ + " 10.07, 9.52, 8.82, 8.27, 7.97", \ + " 12.83, 11.97, 10.72, 9.57, 8.81", \ + " 17.32, 16.09, 14.10, 12.07, 10.57", \ + " 24.47, 22.85, 19.97, 16.66, 14.01" ); }} +timing() { /* ring osc delay nd4v0x2, path a to z 78.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 53.8 ; */ +/* rise_resistance : 2.40 ; */ +/* fall_resistance : 2.18 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.3, 74.8, 114.4, 201.0, 353.1, 675.2", \ + " 66.4, 81.9, 121.7, 208.4, 360.6, 682.7", \ + " 72.4, 87.9, 127.5, 214.2, 366.5, 688.6", \ + " 80.9, 96.2, 135.7, 222.3, 374.5, 696.5", \ + " 97.1, 111.8, 150.7, 236.8, 388.7, 710.6", \ + " 117.6, 134.3, 173.0, 258.2, 409.5, 731.1", \ + " 144.0, 163.1, 207.0, 291.4, 441.5, 762.2", \ + " 178.3, 199.8, 250.1, 341.8, 489.9, 808.7", \ + " 225.2, 249.3, 306.0, 412.2, 564.6, 880.3", \ + " 290.9, 318.1, 381.8, 502.5, 677.8, 991.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 129.2, 169.5, 277.3, 520.0, 951.7, 1869.0", \ + " 130.4, 170.0, 277.2, 520.0, 951.7, 1869.0", \ + " 134.8, 173.3, 278.4, 520.1, 951.7, 1869.0", \ + " 142.6, 180.0, 282.7, 520.7, 951.7, 1869.0", \ + " 159.1, 194.8, 293.9, 526.5, 952.1, 1869.0", \ + " 185.2, 219.5, 314.4, 540.4, 958.1, 1869.0", \ + " 227.6, 260.0, 350.6, 567.9, 975.7, 1872.3", \ + " 282.6, 320.7, 409.1, 616.6, 1011.6, 1890.7", \ + " 357.6, 401.2, 500.8, 699.9, 1078.3, 1935.0", \ + " 463.0, 512.7, 626.7, 835.7, 1196.8, 2023.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.6, 62.9, 97.8, 175.9, 314.5, 608.8", \ + " 53.4, 66.9, 102.1, 180.3, 319.0, 613.4", \ + " 55.3, 68.8, 104.1, 182.5, 321.2, 615.7", \ + " 56.7, 70.9, 106.3, 184.7, 323.5, 618.0", \ + " 56.9, 72.0, 109.1, 187.6, 326.5, 621.0", \ + " 55.4, 71.6, 110.8, 190.9, 329.8, 624.3", \ + " 50.6, 68.7, 110.7, 194.9, 334.3, 628.8", \ + " 40.2, 61.3, 107.8, 197.2, 341.2, 635.2", \ + " 20.1, 45.4, 99.3, 196.5, 348.0, 645.4", \ + " -14.8, 15.4, 79.6, 189.3, 351.6, 661.2" ); } +fall_transition(x2_260_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 87.0, 126.6, 233.2, 473.8, 902.3, 1813.0", \ + " 87.5, 127.0, 233.3, 473.9, 902.3, 1813.0", \ + " 89.7, 128.7, 234.3, 474.1, 902.3, 1813.0", \ + " 93.3, 131.7, 236.7, 475.6, 902.6, 1813.0", \ + " 102.3, 138.8, 242.0, 479.6, 905.2, 1813.3", \ + " 117.4, 153.6, 252.5, 487.2, 910.7, 1816.4", \ + " 139.3, 176.3, 274.4, 503.1, 922.3, 1823.8", \ + " 177.2, 212.8, 312.0, 534.2, 945.5, 1839.4", \ + " 241.0, 275.3, 370.9, 593.0, 991.1, 1871.2", \ + " 347.5, 378.8, 470.3, 686.3, 1075.9, 1934.8" ); }} +timing() { /* ring osc delay nd4v0x2, path b to z 73.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.6 ; */ +/* intrinsic_fall : 50.9 ; */ +/* rise_resistance : 2.38 ; */ +/* fall_resistance : 2.18 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.8, 67.8, 106.6, 192.5, 344.1, 665.9", \ + " 59.9, 74.9, 113.9, 200.0, 351.8, 673.6", \ + " 65.9, 80.9, 119.8, 205.8, 357.7, 679.5", \ + " 74.5, 89.2, 127.9, 213.9, 365.6, 687.5", \ + " 89.8, 104.8, 142.8, 228.3, 379.8, 701.5", \ + " 108.3, 125.8, 165.1, 249.6, 400.6, 721.9", \ + " 132.3, 152.3, 198.0, 282.8, 432.5, 753.0", \ + " 163.7, 186.3, 238.7, 333.0, 480.7, 799.5", \ + " 206.5, 232.2, 291.5, 400.9, 555.3, 870.9", \ + " 266.0, 295.4, 362.9, 487.9, 666.9, 982.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 108.9, 149.3, 257.2, 500.1, 931.9, 1849.1", \ + " 110.6, 150.1, 257.3, 500.1, 931.9, 1849.1", \ + " 115.3, 153.7, 258.6, 500.2, 931.9, 1849.1", \ + " 123.4, 160.5, 263.0, 500.9, 931.9, 1849.1", \ + " 140.4, 175.7, 274.4, 506.8, 932.2, 1849.1", \ + " 167.3, 200.8, 295.1, 520.8, 938.3, 1849.1", \ + " 208.0, 242.0, 331.6, 548.4, 956.1, 1852.4", \ + " 260.0, 300.0, 390.4, 597.3, 992.1, 1870.9", \ + " 331.4, 377.2, 480.6, 680.8, 1059.0, 1915.4", \ + " 432.5, 484.5, 602.7, 816.5, 1177.7, 2003.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.8, 59.1, 94.0, 172.1, 310.7, 605.0", \ + " 49.7, 63.2, 98.3, 176.6, 315.2, 609.6", \ + " 51.8, 65.4, 100.7, 179.0, 317.7, 612.1", \ + " 53.2, 68.0, 103.4, 181.8, 320.6, 615.0", \ + " 54.1, 70.0, 107.8, 186.3, 325.1, 619.6", \ + " 53.9, 71.4, 112.0, 192.7, 331.5, 626.0", \ + " 50.9, 71.2, 116.0, 201.8, 341.1, 635.5", \ + " 43.1, 67.2, 118.4, 211.2, 355.8, 649.8", \ + " 27.5, 56.0, 116.3, 220.0, 374.6, 671.9", \ + " -0.1, 33.3, 104.7, 224.9, 394.1, 706.0" ); } +fall_transition(x2_260_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 83.6, 122.0, 225.0, 457.7, 872.0, 1752.5", \ + " 84.9, 122.7, 225.3, 457.8, 872.0, 1752.5", \ + " 88.1, 125.4, 227.0, 458.1, 872.0, 1752.5", \ + " 93.2, 129.7, 230.2, 460.2, 872.3, 1752.5", \ + " 105.8, 139.7, 237.7, 465.4, 875.4, 1752.6", \ + " 123.9, 159.7, 252.4, 475.7, 882.0, 1755.5", \ + " 149.5, 186.5, 280.8, 496.7, 896.5, 1763.5", \ + " 191.3, 227.8, 325.4, 535.6, 925.5, 1781.3", \ + " 258.4, 294.5, 390.7, 604.5, 980.5, 1819.0", \ + " 363.7, 399.0, 494.0, 707.2, 1078.5, 1893.9" ); }} +timing() { /* ring osc delay nd4v0x2, path c to z 65.5 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.9 ; */ +/* intrinsic_fall : 45.6 ; */ +/* rise_resistance : 2.38 ; */ +/* fall_resistance : 2.18 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.2, 59.0, 97.4, 182.9, 334.4, 655.9", \ + " 51.5, 66.3, 105.0, 190.7, 342.4, 664.1", \ + " 57.7, 72.3, 110.8, 196.6, 348.3, 670.1", \ + " 66.4, 80.8, 119.0, 204.6, 356.3, 678.1", \ + " 80.0, 96.2, 133.9, 219.0, 370.5, 692.2", \ + " 96.2, 115.1, 156.2, 240.3, 391.2, 712.5", \ + " 117.5, 139.1, 187.3, 273.4, 423.0, 743.5", \ + " 145.6, 170.2, 225.5, 323.2, 471.1, 789.9", \ + " 183.8, 212.0, 275.2, 388.6, 545.5, 861.1", \ + " 236.6, 269.4, 342.0, 472.2, 655.3, 972.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 88.8, 129.3, 237.3, 480.2, 911.9, 1828.8", \ + " 91.2, 130.4, 237.4, 480.2, 911.9, 1828.8", \ + " 96.3, 134.3, 238.9, 480.2, 911.9, 1828.8", \ + " 104.8, 141.5, 243.5, 481.0, 911.9, 1828.8", \ + " 122.4, 157.1, 255.2, 487.1, 912.2, 1828.8", \ + " 150.3, 182.8, 276.2, 501.4, 918.4, 1828.8", \ + " 187.4, 224.5, 313.1, 529.3, 936.4, 1832.2", \ + " 236.3, 278.8, 372.3, 578.5, 972.7, 1850.9", \ + " 304.4, 352.6, 460.3, 662.2, 1040.0, 1895.6", \ + " 401.8, 456.3, 578.8, 798.1, 1159.0, 1984.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.9, 52.2, 87.1, 165.2, 303.7, 598.1", \ + " 42.8, 56.3, 91.4, 169.6, 308.3, 602.6", \ + " 45.4, 59.1, 94.3, 172.6, 311.3, 605.7", \ + " 47.2, 62.6, 98.2, 176.5, 315.3, 609.7", \ + " 49.4, 66.4, 105.2, 183.6, 322.3, 616.8", \ + " 50.5, 69.9, 112.8, 193.8, 332.5, 627.0", \ + " 49.1, 72.0, 120.8, 208.9, 348.1, 642.4", \ + " 43.9, 70.7, 127.5, 225.9, 371.3, 665.3", \ + " 32.3, 63.7, 130.3, 243.3, 403.0, 700.1", \ + " 10.3, 46.9, 125.2, 257.4, 438.2, 753.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 80.6, 118.0, 218.2, 444.4, 847.0, 1702.7", \ + " 83.3, 119.8, 218.7, 444.5, 847.0, 1702.7", \ + " 87.6, 123.3, 221.3, 445.0, 847.0, 1702.7", \ + " 95.0, 129.5, 225.6, 447.6, 847.2, 1702.7", \ + " 111.8, 143.5, 236.3, 454.2, 850.4, 1702.8", \ + " 130.8, 168.2, 255.7, 467.9, 858.3, 1704.7", \ + " 158.9, 197.0, 289.8, 494.5, 876.5, 1713.0", \ + " 203.1, 240.8, 338.7, 540.7, 912.1, 1734.0", \ + " 269.8, 308.1, 406.6, 617.2, 976.9, 1779.4", \ + " 367.3, 407.9, 509.4, 725.8, 1086.9, 1867.2" ); }} +timing() { /* ring osc delay nd4v0x2, path d to z 55.7 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 38.9 ; */ +/* rise_resistance : 2.38 ; */ +/* fall_resistance : 2.17 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.9, 49.0, 87.7, 173.4, 324.9, 646.6", \ + " 41.6, 56.4, 95.3, 181.3, 333.1, 654.9", \ + " 48.0, 62.5, 101.2, 187.2, 339.0, 660.9", \ + " 55.8, 71.1, 109.3, 195.2, 347.0, 668.9", \ + " 67.0, 85.3, 124.3, 209.5, 361.1, 683.0", \ + " 80.7, 101.9, 146.5, 230.7, 381.8, 703.3", \ + " 99.0, 123.3, 175.3, 263.7, 413.5, 734.2", \ + " 123.1, 151.1, 210.9, 312.8, 461.4, 780.4", \ + " 155.8, 188.5, 257.1, 375.6, 535.5, 851.5", \ + " 200.9, 239.3, 319.1, 455.7, 643.4, 962.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.8, 109.2, 217.1, 459.7, 891.0, 1807.5", \ + " 72.2, 110.7, 217.1, 459.7, 891.0, 1807.5", \ + " 77.9, 115.2, 218.8, 459.7, 891.0, 1807.5", \ + " 87.1, 122.9, 223.8, 460.5, 891.0, 1807.5", \ + " 105.5, 139.1, 235.9, 466.8, 891.3, 1807.5", \ + " 132.0, 165.4, 257.5, 481.4, 897.6, 1807.5", \ + " 165.6, 205.8, 294.9, 509.7, 915.8, 1810.9", \ + " 211.8, 256.9, 354.7, 559.5, 952.5, 1829.7", \ + " 278.0, 327.8, 440.0, 643.7, 1020.4, 1874.8", \ + " 374.4, 429.7, 555.0, 780.1, 1140.1, 1964.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.7, 42.0, 76.9, 155.1, 293.7, 588.0", \ + " 33.8, 46.9, 82.0, 160.3, 298.9, 593.3", \ + " 37.1, 51.0, 85.9, 164.2, 302.9, 597.3", \ + " 39.9, 55.8, 91.3, 169.5, 308.2, 602.6", \ + " 42.8, 61.4, 101.1, 179.1, 317.6, 611.9", \ + " 44.5, 66.1, 111.9, 193.0, 331.3, 625.4", \ + " 44.1, 69.3, 122.6, 213.8, 352.2, 645.8", \ + " 40.3, 69.6, 131.4, 236.9, 383.4, 676.2", \ + " 30.4, 64.8, 136.8, 259.4, 426.8, 722.8", \ + " 10.7, 51.0, 135.4, 278.1, 473.0, 794.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.9, 113.1, 211.8, 433.1, 826.0, 1660.7", \ + " 80.9, 115.9, 211.9, 432.8, 825.9, 1660.7", \ + " 87.3, 121.4, 215.1, 432.7, 825.9, 1660.7", \ + " 97.1, 130.1, 221.6, 435.4, 825.6, 1660.7", \ + " 117.0, 147.2, 236.0, 444.3, 827.9, 1660.7", \ + " 133.0, 173.5, 259.5, 462.4, 838.0, 1660.9", \ + " 160.8, 201.8, 297.4, 495.2, 861.4, 1670.0", \ + " 202.9, 245.1, 347.9, 548.2, 905.5, 1696.5", \ + " 264.7, 310.0, 416.8, 631.2, 981.7, 1752.8", \ + " 355.1, 405.2, 519.2, 745.2, 1104.3, 1858.4" ); }} +} +} +cell(nd4v0x3) { /* 2008-01-06:07h44 characteristic delay 14.5 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1178 ; /* nd4v0x3 */ +cell_footprint : nd4 ; +pin(a) { /* nd4v0x3 FO4 effort 1.79 logical effort 1.74 */ +direction : input ; +capacitance : 8.31 ; +rise_capacitance : 7.87 ; +fall_capacitance : 8.74 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin a of nd4v0x3 */ +} +pin(b) { /* nd4v0x3 FO4 effort 1.76 logical effort 1.80 */ +direction : input ; +capacitance : 8.65 ; +rise_capacitance : 8.40 ; +fall_capacitance : 8.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nd4v0x3 */ +} +pin(c) { /* nd4v0x3 FO4 effort 1.60 logical effort 1.67 */ +direction : input ; +capacitance : 8.02 ; +rise_capacitance : 7.98 ; +fall_capacitance : 8.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nd4v0x3 */ +} +pin(d) { /* nd4v0x3 FO4 effort 1.43 logical effort 1.57 */ +direction : input ; +capacitance : 7.53 ; +rise_capacitance : 7.73 ; +fall_capacitance : 7.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nd4v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 222 ; +max_fanout : 8 ; +function : "(a*b*c*d)'" ; +internal_power(a_z_n) { /* nd4v0x3 36.77 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 18.71, 18.75, 18.80, 18.80, 18.77", \ + " 18.30, 18.40, 18.51, 18.58, 18.59", \ + " 18.20, 18.29, 18.42, 18.51, 18.53", \ + " 18.22, 18.28, 18.39, 18.47, 18.50", \ + " 18.51, 18.49, 18.50, 18.51, 18.51", \ + " 19.30, 19.15, 18.96, 18.80, 18.68", \ + " 20.99, 20.63, 20.07, 19.53, 19.15", \ + " 24.16, 23.50, 22.37, 21.16, 20.25", \ + " 29.78, 28.74, 26.81, 24.50, 22.65", \ + " 39.29, 37.83, 34.90, 30.98, 27.54" ); }} +internal_power(b_z_n) { /* nd4v0x3 30.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 15.80, 15.87, 15.94, 15.97, 15.95", \ + " 15.35, 15.48, 15.65, 15.77, 15.81", \ + " 15.23, 15.36, 15.55, 15.70, 15.76", \ + " 15.25, 15.34, 15.49, 15.65, 15.72", \ + " 15.57, 15.56, 15.60, 15.68, 15.72", \ + " 16.42, 16.26, 16.07, 15.94, 15.88", \ + " 18.19, 17.79, 17.21, 16.68, 16.33", \ + " 21.41, 20.69, 19.51, 18.30, 17.42", \ + " 27.00, 25.89, 23.87, 21.57, 19.76", \ + " 36.29, 34.74, 31.70, 27.79, 24.46" ); }} +internal_power(c_z_n) { /* nd4v0x3 24.81 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_292_5x10) { +values( " 12.51, 12.61, 12.71, 12.77, 12.77", \ + " 12.15, 12.30, 12.51, 12.67, 12.73", \ + " 12.09, 12.22, 12.42, 12.60, 12.69", \ + " 12.18, 12.25, 12.40, 12.57, 12.66", \ + " 12.61, 12.56, 12.56, 12.63, 12.68", \ + " 13.59, 13.37, 13.10, 12.93, 12.86", \ + " 15.51, 15.02, 14.33, 13.72, 13.34", \ + " 18.85, 18.02, 16.70, 15.39, 14.46", \ + " 24.44, 23.20, 21.04, 18.65, 16.80", \ + " 33.49, 31.84, 28.67, 24.71, 21.39" ); }} +internal_power(d_z_n) { /* nd4v0x3 18.59 nW/MHz */ +related_pin : "d" ; +power(pwr_x3_292_5x10) { +values( " 9.12, 9.32, 9.55, 9.67, 9.71", \ + " 8.84, 9.04, 9.34, 9.58, 9.70", \ + " 8.88, 9.02, 9.28, 9.53, 9.67", \ + " 9.09, 9.13, 9.29, 9.51, 9.65", \ + " 9.70, 9.58, 9.53, 9.60, 9.68", \ + " 10.88, 10.55, 10.16, 9.94, 9.87", \ + " 13.01, 12.38, 11.51, 10.80, 10.38", \ + " 16.53, 15.53, 14.02, 12.55, 11.55", \ + " 22.25, 20.84, 18.45, 15.90, 13.95", \ + " 31.35, 29.50, 26.08, 21.97, 18.58" ); }} +timing() { /* ring osc delay nd4v0x3, path a to z 78.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.2 ; */ +/* intrinsic_fall : 53.8 ; */ +/* rise_resistance : 1.87 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 71.8, 106.7, 183.0, 316.9, 600.1", \ + " 65.3, 79.0, 114.0, 190.4, 324.4, 607.6", \ + " 71.4, 85.0, 120.0, 196.3, 330.3, 613.5", \ + " 80.0, 93.4, 128.2, 204.4, 338.3, 621.4", \ + " 96.1, 109.0, 143.2, 219.0, 352.6, 635.6", \ + " 116.4, 131.3, 165.6, 240.5, 373.5, 656.0", \ + " 142.7, 159.7, 199.1, 273.9, 405.7, 687.3", \ + " 176.8, 195.9, 241.0, 324.4, 454.4, 734.1", \ + " 223.4, 244.8, 295.6, 391.8, 529.7, 806.2", \ + " 288.6, 312.8, 369.8, 479.0, 639.2, 918.4" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 127.6, 163.1, 257.8, 471.1, 850.5, 1656.7", \ + " 129.0, 163.7, 257.7, 471.1, 850.5, 1656.7", \ + " 133.5, 167.3, 259.3, 471.1, 850.5, 1656.7", \ + " 141.4, 174.2, 264.0, 472.3, 850.5, 1656.7", \ + " 158.0, 189.3, 275.8, 479.1, 851.5, 1656.7", \ + " 184.3, 214.3, 297.1, 494.2, 859.2, 1656.8", \ + " 226.6, 255.2, 334.2, 523.2, 878.9, 1662.8", \ + " 281.7, 315.4, 393.6, 574.0, 917.3, 1684.8", \ + " 356.7, 395.4, 484.7, 659.4, 987.7, 1733.5", \ + " 462.3, 506.3, 608.6, 797.2, 1110.7, 1827.6" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 61.0, 92.1, 161.5, 284.5, 546.0", \ + " 53.0, 65.0, 96.3, 165.9, 289.0, 550.5", \ + " 54.9, 67.0, 98.4, 168.0, 291.3, 552.8", \ + " 56.3, 69.0, 100.5, 170.2, 293.5, 555.1", \ + " 56.6, 70.1, 103.3, 173.1, 296.5, 558.1", \ + " 55.1, 69.6, 104.6, 176.4, 299.8, 561.4", \ + " 50.4, 66.5, 104.2, 179.9, 304.3, 565.8", \ + " 40.0, 58.9, 100.9, 181.5, 311.0, 572.3", \ + " 20.1, 42.8, 91.7, 179.9, 316.5, 582.7", \ + " -14.5, 12.5, 70.8, 171.2, 318.4, 597.3" ); } +fall_transition(x3_292_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 87.0, 122.2, 216.7, 430.4, 810.9, 1619.7", \ + " 87.5, 122.6, 216.9, 430.4, 810.9, 1619.7", \ + " 89.7, 124.3, 218.0, 430.7, 810.9, 1619.7", \ + " 93.3, 127.3, 220.4, 432.4, 811.4, 1619.7", \ + " 102.2, 134.5, 225.9, 436.5, 814.2, 1620.4", \ + " 117.1, 149.6, 236.8, 444.5, 820.1, 1623.8", \ + " 139.2, 172.0, 259.5, 461.2, 832.4, 1631.9", \ + " 177.3, 208.8, 296.5, 493.5, 856.9, 1648.7", \ + " 241.4, 271.7, 356.1, 553.3, 904.6, 1682.7", \ + " 348.4, 375.8, 456.5, 647.0, 992.7, 1749.9" ); }} +timing() { /* ring osc delay nd4v0x3, path b to z 73.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.7 ; */ +/* intrinsic_fall : 51.6 ; */ +/* rise_resistance : 1.86 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.6, 65.8, 100.0, 175.5, 308.9, 591.7", \ + " 59.5, 72.8, 107.2, 183.0, 316.5, 599.4", \ + " 65.5, 78.7, 113.1, 188.8, 322.4, 605.3", \ + " 74.1, 87.1, 121.2, 196.8, 330.3, 613.3", \ + " 89.4, 102.7, 136.2, 211.3, 344.6, 627.4", \ + " 107.7, 123.3, 158.5, 232.7, 365.4, 647.8", \ + " 131.5, 149.3, 190.5, 266.1, 397.5, 678.9", \ + " 162.6, 182.8, 229.9, 315.9, 446.0, 725.6", \ + " 205.1, 227.9, 281.2, 380.7, 521.0, 797.5", \ + " 264.1, 290.3, 351.0, 464.3, 628.3, 909.4" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.7, 143.2, 238.0, 451.5, 831.0, 1637.1", \ + " 109.4, 144.1, 238.1, 451.5, 831.0, 1637.1", \ + " 114.1, 147.8, 239.7, 451.6, 831.0, 1637.1", \ + " 122.3, 154.8, 244.5, 452.8, 831.0, 1637.1", \ + " 139.4, 170.3, 256.5, 459.6, 832.0, 1637.1", \ + " 166.5, 195.8, 278.0, 474.8, 839.7, 1637.2", \ + " 207.3, 237.4, 315.4, 503.9, 859.5, 1643.2", \ + " 259.2, 294.8, 375.2, 554.8, 898.1, 1665.3", \ + " 330.7, 371.3, 464.2, 640.5, 968.5, 1714.2", \ + " 431.9, 478.1, 584.1, 778.2, 1091.6, 1808.5" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.2, 58.0, 89.1, 158.5, 281.6, 543.0", \ + " 50.0, 62.0, 93.3, 162.9, 286.1, 547.5", \ + " 52.1, 64.2, 95.6, 165.3, 288.5, 550.1", \ + " 53.4, 66.7, 98.3, 168.0, 291.4, 552.9", \ + " 54.3, 68.5, 102.6, 172.5, 295.9, 557.5", \ + " 54.0, 69.7, 106.3, 178.9, 302.2, 563.8", \ + " 51.1, 69.2, 109.7, 187.3, 311.9, 573.4", \ + " 43.4, 64.9, 111.4, 195.7, 326.5, 587.7", \ + " 27.9, 53.5, 108.3, 203.2, 343.4, 610.0", \ + " 0.7, 30.5, 95.4, 205.9, 360.5, 642.8" ); } +fall_transition(x3_292_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 83.5, 117.6, 209.0, 415.7, 783.6, 1565.7", \ + " 84.8, 118.3, 209.4, 415.8, 783.6, 1565.7", \ + " 88.0, 121.1, 211.2, 416.2, 783.6, 1565.7", \ + " 93.2, 125.5, 214.6, 418.6, 784.2, 1565.7", \ + " 105.9, 135.8, 222.4, 424.1, 787.7, 1566.0", \ + " 124.1, 156.4, 237.6, 435.0, 795.0, 1569.7", \ + " 149.7, 182.6, 267.2, 457.0, 810.5, 1578.6", \ + " 191.6, 224.0, 310.8, 497.3, 841.2, 1598.2", \ + " 258.8, 290.8, 376.2, 567.3, 898.7, 1638.9", \ + " 364.4, 395.5, 479.8, 669.0, 999.8, 1717.9" ); }} +timing() { /* ring osc delay nd4v0x3, path c to z 65.6 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 1.86 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 56.7, 90.6, 165.8, 298.9, 581.6", \ + " 51.1, 64.1, 98.1, 173.6, 306.9, 589.7", \ + " 57.2, 70.1, 104.0, 179.5, 312.9, 595.7", \ + " 66.0, 78.6, 112.2, 187.5, 320.8, 603.7", \ + " 79.4, 93.8, 127.2, 201.9, 335.1, 617.8", \ + " 95.5, 112.3, 149.6, 223.3, 355.8, 638.2", \ + " 116.7, 135.9, 179.4, 256.6, 387.9, 669.3", \ + " 144.6, 166.5, 216.3, 305.5, 436.3, 715.9", \ + " 182.5, 207.6, 264.5, 367.8, 511.1, 787.6", \ + " 234.8, 264.1, 329.5, 447.9, 616.0, 899.2" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 88.0, 123.6, 218.5, 432.0, 811.4, 1617.3", \ + " 90.4, 124.8, 218.5, 432.0, 811.4, 1617.3", \ + " 95.6, 128.9, 220.5, 432.0, 811.4, 1617.3", \ + " 104.2, 136.3, 225.5, 433.4, 811.4, 1617.3", \ + " 121.8, 152.2, 237.8, 440.4, 812.4, 1617.3", \ + " 149.8, 178.3, 259.6, 455.9, 820.3, 1617.3", \ + " 186.9, 219.8, 297.4, 485.3, 840.3, 1623.4", \ + " 235.8, 273.6, 357.5, 536.5, 879.2, 1645.7", \ + " 304.1, 346.8, 443.7, 622.4, 950.0, 1695.0", \ + " 401.7, 450.0, 560.0, 759.5, 1073.5, 1789.7" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.0, 50.8, 81.8, 151.2, 274.3, 535.7", \ + " 42.9, 54.8, 86.1, 155.6, 278.8, 540.2", \ + " 45.4, 57.6, 88.9, 158.6, 281.8, 543.3", \ + " 47.3, 61.0, 92.8, 162.5, 285.8, 547.3", \ + " 49.5, 64.7, 99.7, 169.5, 292.8, 554.4", \ + " 50.6, 68.0, 106.7, 179.8, 303.0, 564.6", \ + " 49.3, 69.8, 114.1, 194.1, 318.6, 580.0", \ + " 44.2, 68.2, 119.8, 209.8, 341.9, 602.9", \ + " 32.9, 60.9, 121.4, 225.2, 371.2, 637.9", \ + " 11.2, 43.9, 115.0, 236.5, 402.9, 690.5" ); } +fall_transition(x3_292_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 80.6, 113.7, 202.7, 403.6, 761.2, 1521.1", \ + " 83.3, 115.7, 203.4, 403.7, 761.2, 1521.2", \ + " 87.5, 119.2, 206.1, 404.5, 761.2, 1521.2", \ + " 95.0, 125.6, 210.7, 407.3, 761.7, 1521.2", \ + " 111.7, 139.8, 221.7, 414.4, 765.5, 1521.2", \ + " 130.8, 164.6, 241.7, 429.0, 774.3, 1524.3", \ + " 158.9, 192.8, 276.6, 456.7, 794.0, 1534.0", \ + " 203.1, 236.7, 323.9, 504.1, 831.6, 1557.6", \ + " 269.9, 303.9, 391.6, 581.1, 898.7, 1606.4", \ + " 367.3, 403.5, 494.2, 687.4, 1010.9, 1698.7" ); }} +timing() { /* ring osc delay nd4v0x3, path d to z 56.0 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.5 ; */ +/* intrinsic_fall : 39.4 ; */ +/* rise_resistance : 1.86 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 46.9, 81.1, 156.5, 289.7, 572.4", \ + " 41.3, 54.4, 88.6, 164.3, 297.8, 580.7", \ + " 47.8, 60.5, 94.5, 170.2, 303.8, 586.7", \ + " 55.5, 69.1, 102.7, 178.2, 311.7, 594.7", \ + " 66.6, 83.0, 117.7, 192.6, 325.9, 608.8", \ + " 80.2, 99.1, 139.6, 213.9, 346.6, 629.1", \ + " 98.4, 120.1, 167.2, 247.1, 378.5, 660.1", \ + " 122.3, 147.3, 201.3, 294.7, 426.8, 706.6", \ + " 154.7, 183.9, 246.1, 354.3, 501.4, 778.1", \ + " 199.4, 233.7, 306.1, 430.8, 603.6, 889.4" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.3, 103.8, 198.6, 411.8, 790.9, 1596.5", \ + " 71.8, 105.5, 198.6, 411.8, 790.9, 1596.5", \ + " 77.5, 110.2, 200.8, 411.8, 790.9, 1596.5", \ + " 86.7, 118.0, 206.2, 413.3, 790.9, 1596.5", \ + " 105.3, 134.5, 219.0, 420.6, 791.9, 1596.5", \ + " 131.8, 161.3, 241.3, 436.3, 800.0, 1596.5", \ + " 165.4, 201.1, 279.6, 466.2, 820.3, 1602.6", \ + " 211.6, 251.6, 340.5, 518.0, 859.6, 1625.1", \ + " 278.1, 322.2, 423.0, 604.3, 931.0, 1674.7", \ + " 374.6, 423.7, 536.0, 740.9, 1055.0, 1770.1" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 40.7, 71.8, 141.2, 264.3, 525.7", \ + " 33.9, 45.6, 76.8, 146.4, 269.6, 531.0", \ + " 37.3, 49.7, 80.7, 150.3, 273.5, 535.0", \ + " 40.1, 54.3, 86.2, 155.7, 278.8, 540.3", \ + " 43.0, 59.7, 95.7, 165.2, 288.2, 549.7", \ + " 44.8, 64.1, 105.7, 179.2, 302.0, 563.1", \ + " 44.5, 67.0, 115.4, 199.1, 323.0, 583.6", \ + " 40.8, 67.0, 123.2, 220.1, 354.2, 614.2", \ + " 31.2, 61.9, 127.3, 239.9, 394.5, 661.0", \ + " 11.8, 47.9, 124.6, 255.6, 435.5, 731.9" ); } +fall_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.9, 108.9, 196.7, 393.3, 742.2, 1483.6", \ + " 80.9, 112.0, 197.0, 392.9, 742.2, 1483.6", \ + " 87.3, 117.5, 200.5, 393.1, 742.1, 1483.6", \ + " 97.0, 126.3, 207.2, 396.4, 741.8, 1483.6", \ + " 117.0, 143.6, 222.0, 406.1, 745.3, 1483.5", \ + " 133.0, 169.1, 245.9, 425.2, 756.9, 1484.8", \ + " 160.7, 197.3, 284.4, 459.0, 782.1, 1496.4", \ + " 202.8, 240.4, 332.6, 512.5, 828.2, 1526.1", \ + " 264.7, 305.1, 400.8, 595.4, 906.1, 1586.4", \ + " 355.1, 399.8, 502.5, 705.8, 1029.6, 1696.4" ); }} +} +} +cell(nr2v0x05) { /* 2008-01-06:07h45 characteristic delay 14.1 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 370 ; /* nr2v0x05 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x05 FO4 effort 1.55 logical effort 1.67 */ +direction : input ; +capacitance : 2.96 ; +rise_capacitance : 3.01 ; +fall_capacitance : 2.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x05 */ +} +pin(b) { /* nr2v0x05 FO4 effort 1.41 logical effort 1.63 */ +direction : input ; +capacitance : 2.90 ; +rise_capacitance : 2.70 ; +fall_capacitance : 3.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 75 ; +max_fanout : 2 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x05 7.78 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 4.00, 4.02, 4.05, 4.05, 4.05", \ + " 3.86, 3.89, 3.94, 3.97, 3.97", \ + " 3.83, 3.86, 3.90, 3.94, 3.95", \ + " 3.84, 3.86, 3.89, 3.92, 3.94", \ + " 3.96, 3.93, 3.92, 3.93, 3.94", \ + " 4.25, 4.17, 4.08, 4.01, 3.98", \ + " 4.85, 4.69, 4.46, 4.25, 4.13", \ + " 5.93, 5.66, 5.23, 4.79, 4.48", \ + " 7.76, 7.37, 6.67, 5.87, 5.25", \ + " 10.69, 10.18, 9.19, 7.90, 6.78" ); }} +internal_power(b_z_n) { /* nr2v0x05 5.00 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 2.42, 2.53, 2.62, 2.67, 2.69", \ + " 2.31, 2.40, 2.53, 2.63, 2.68", \ + " 2.33, 2.39, 2.50, 2.61, 2.66", \ + " 2.43, 2.44, 2.50, 2.59, 2.65", \ + " 2.68, 2.62, 2.59, 2.62, 2.66", \ + " 3.15, 3.00, 2.84, 2.75, 2.73", \ + " 3.96, 3.69, 3.35, 3.07, 2.92", \ + " 5.26, 4.86, 4.27, 3.72, 3.35", \ + " 7.32, 6.79, 5.90, 4.95, 4.23", \ + " 10.56, 9.89, 8.66, 7.16, 5.93" ); }} +timing() { /* ring osc delay nr2v0x05, path a to z 69.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 48.6 ; */ +/* rise_resistance : 5.82 ; */ +/* fall_resistance : 3.84 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 51.9, 87.0, 165.5, 304.6, 600.2", \ + " 45.8, 59.2, 94.5, 173.1, 312.4, 608.1", \ + " 50.6, 64.2, 99.4, 178.1, 317.4, 613.2", \ + " 55.6, 70.4, 105.7, 184.4, 323.8, 619.5", \ + " 62.0, 78.9, 116.6, 195.1, 334.5, 630.3", \ + " 69.6, 88.7, 130.8, 210.6, 349.8, 645.5", \ + " 79.5, 101.2, 148.4, 234.3, 373.2, 668.6", \ + " 92.6, 117.5, 170.5, 265.6, 408.1, 702.8", \ + " 110.2, 139.1, 199.6, 305.5, 460.5, 755.2", \ + " 133.6, 167.6, 237.7, 357.5, 529.1, 836.1" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 69.9, 106.8, 206.4, 431.5, 832.3, 1684.0", \ + " 71.8, 107.9, 206.7, 431.5, 832.3, 1684.0", \ + " 75.8, 111.2, 208.4, 431.7, 832.3, 1684.0", \ + " 82.2, 116.6, 212.4, 433.4, 832.3, 1684.0", \ + " 96.5, 128.5, 221.7, 439.4, 834.3, 1684.0", \ + " 117.4, 150.0, 238.5, 451.6, 841.5, 1684.9", \ + " 146.7, 182.0, 269.0, 475.0, 857.8, 1692.2", \ + " 190.5, 226.5, 318.8, 516.0, 889.2, 1711.2", \ + " 254.1, 291.3, 387.1, 585.9, 946.2, 1751.4", \ + " 342.6, 382.9, 484.1, 695.2, 1045.3, 1828.4" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.4, 39.8, 63.6, 115.6, 206.9, 400.4", \ + " 37.3, 46.7, 70.6, 122.6, 214.0, 407.5", \ + " 42.8, 52.2, 76.1, 128.1, 219.5, 413.0", \ + " 48.7, 59.6, 83.6, 135.6, 227.0, 420.5", \ + " 56.0, 69.3, 97.0, 148.9, 240.2, 433.6", \ + " 63.2, 78.9, 112.5, 168.3, 259.3, 452.6", \ + " 70.6, 89.1, 129.1, 196.1, 288.5, 481.3", \ + " 77.8, 99.3, 146.2, 226.5, 331.9, 523.9", \ + " 84.3, 109.4, 164.2, 259.2, 386.5, 588.6", \ + " 89.1, 118.5, 182.6, 294.0, 446.0, 685.6" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.7, 70.2, 127.4, 256.3, 485.7, 973.2", \ + " 53.3, 73.4, 128.5, 256.4, 485.7, 973.2", \ + " 60.1, 79.2, 132.5, 257.4, 485.7, 973.2", \ + " 71.0, 89.0, 139.9, 261.5, 486.2, 973.2", \ + " 89.8, 108.6, 156.5, 273.0, 491.6, 973.3", \ + " 113.0, 135.3, 183.9, 294.5, 505.9, 977.0", \ + " 144.6, 170.5, 226.5, 333.0, 535.1, 993.0", \ + " 187.1, 217.2, 282.0, 395.1, 587.4, 1028.9", \ + " 246.5, 281.8, 356.7, 487.2, 676.8, 1098.3", \ + " 330.9, 372.8, 460.0, 610.6, 820.4, 1223.1" ); }} +timing() { /* ring osc delay nr2v0x05, path b to z 58.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.7 ; */ +/* intrinsic_fall : 40.1 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.86 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 43.7, 79.3, 158.0, 297.3, 593.0", \ + " 36.0, 49.4, 85.0, 163.9, 303.4, 599.2", \ + " 41.1, 54.7, 89.9, 168.7, 308.2, 604.0", \ + " 46.2, 62.1, 96.9, 175.4, 314.8, 610.6", \ + " 53.8, 72.1, 110.1, 187.7, 326.7, 622.3", \ + " 63.4, 83.9, 127.9, 206.3, 344.4, 639.4", \ + " 75.8, 99.2, 149.3, 235.3, 371.8, 665.7", \ + " 91.7, 118.6, 175.4, 274.3, 413.7, 705.4", \ + " 112.5, 143.8, 208.8, 321.5, 478.1, 766.9", \ + " 140.0, 176.8, 252.3, 380.9, 561.2, 863.5" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.5, 103.1, 201.0, 421.3, 812.9, 1645.3", \ + " 72.6, 106.6, 201.4, 421.2, 812.9, 1645.3", \ + " 79.9, 112.6, 204.9, 421.2, 812.9, 1645.3", \ + " 90.6, 121.8, 211.5, 423.9, 812.9, 1645.3", \ + " 111.8, 139.8, 225.8, 432.7, 814.9, 1645.3", \ + " 131.9, 168.3, 249.4, 449.9, 824.5, 1645.4", \ + " 159.0, 200.6, 288.1, 481.2, 846.3, 1653.4", \ + " 199.3, 243.3, 345.4, 533.6, 887.1, 1677.7", \ + " 258.6, 305.9, 415.9, 617.7, 959.6, 1729.1", \ + " 345.5, 397.4, 516.3, 742.1, 1081.8, 1826.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.3, 32.5, 55.8, 107.2, 198.3, 391.5", \ + " 30.2, 39.4, 62.9, 114.7, 205.9, 399.3", \ + " 33.9, 44.9, 68.4, 120.2, 211.5, 404.9", \ + " 37.3, 50.4, 75.8, 127.6, 219.0, 412.4", \ + " 41.2, 57.0, 88.3, 140.8, 232.1, 425.5", \ + " 44.6, 63.3, 101.1, 160.1, 251.1, 444.4", \ + " 47.3, 69.5, 114.3, 186.1, 280.0, 473.0", \ + " 48.5, 74.6, 127.5, 213.5, 322.9, 515.3", \ + " 46.8, 77.8, 140.3, 242.2, 374.7, 579.8", \ + " 40.2, 76.9, 151.0, 271.8, 430.4, 675.4" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 53.0, 110.3, 239.3, 468.6, 956.0", \ + " 37.0, 56.4, 111.2, 239.3, 468.6, 956.0", \ + " 44.6, 62.6, 115.1, 240.0, 468.6, 956.0", \ + " 55.3, 72.8, 122.7, 244.0, 468.9, 956.0", \ + " 70.6, 92.2, 139.5, 255.4, 474.0, 956.0", \ + " 91.1, 115.8, 167.2, 277.0, 488.1, 959.4", \ + " 120.3, 148.4, 208.2, 315.6, 517.2, 975.1", \ + " 160.7, 193.0, 261.0, 377.9, 569.7, 1010.8", \ + " 217.9, 255.6, 333.3, 468.0, 659.3, 1080.2", \ + " 300.7, 344.6, 434.9, 588.6, 802.8, 1205.5" ); }} +} +} +cell(nr2v0x1) { /* 2008-01-06:07h45 characteristic delay 13.7 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 508 ; /* nr2v0x1 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x1 FO4 effort 1.53 logical effort 1.62 */ +direction : input ; +capacitance : 3.96 ; +rise_capacitance : 4.02 ; +fall_capacitance : 3.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x1 */ +} +pin(b) { /* nr2v0x1 FO4 effort 1.39 logical effort 1.58 */ +direction : input ; +capacitance : 3.87 ; +rise_capacitance : 3.60 ; +fall_capacitance : 4.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 105 ; +max_fanout : 4 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x1 10.73 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 5.52, 5.55, 5.58, 5.59, 5.58", \ + " 5.32, 5.37, 5.43, 5.47, 5.48", \ + " 5.29, 5.32, 5.38, 5.43, 5.45", \ + " 5.31, 5.32, 5.36, 5.41, 5.43", \ + " 5.46, 5.43, 5.41, 5.42, 5.43", \ + " 5.86, 5.75, 5.63, 5.54, 5.49", \ + " 6.68, 6.46, 6.15, 5.87, 5.69", \ + " 8.16, 7.79, 7.21, 6.61, 6.18", \ + " 10.65, 10.12, 9.19, 8.10, 7.25", \ + " 14.65, 13.97, 12.64, 10.90, 9.36" ); }} +internal_power(b_z_n) { /* nr2v0x1 6.86 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 3.32, 3.46, 3.59, 3.66, 3.68", \ + " 3.17, 3.28, 3.46, 3.60, 3.66", \ + " 3.20, 3.27, 3.42, 3.57, 3.64", \ + " 3.33, 3.34, 3.43, 3.55, 3.63", \ + " 3.68, 3.60, 3.55, 3.59, 3.65", \ + " 4.32, 4.11, 3.89, 3.77, 3.74", \ + " 5.43, 5.07, 4.60, 4.22, 4.01", \ + " 7.21, 6.67, 5.88, 5.12, 4.60", \ + " 10.03, 9.32, 8.11, 6.81, 5.83", \ + " 14.47, 13.57, 11.90, 9.86, 8.17" ); }} +timing() { /* ring osc delay nr2v0x1, path a to z 68.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 49.5 ; */ +/* rise_resistance : 4.16 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.8, 50.5, 84.0, 158.7, 291.2, 572.7", \ + " 45.1, 57.9, 91.5, 166.3, 299.0, 580.6", \ + " 49.9, 62.8, 96.4, 171.3, 304.0, 585.6", \ + " 54.8, 69.0, 102.7, 177.6, 310.3, 592.0", \ + " 61.1, 77.3, 113.5, 188.4, 321.1, 602.8", \ + " 68.4, 86.8, 127.4, 203.8, 336.4, 618.0", \ + " 77.9, 98.9, 144.5, 227.4, 359.7, 641.1", \ + " 90.3, 114.5, 165.9, 257.8, 394.6, 675.3", \ + " 106.8, 134.9, 193.8, 296.5, 446.1, 727.6", \ + " 128.5, 161.5, 230.0, 346.5, 512.7, 808.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 68.5, 103.7, 198.5, 412.9, 794.5, 1605.7", \ + " 70.7, 104.9, 198.8, 412.9, 794.5, 1605.7", \ + " 74.6, 108.2, 200.7, 413.1, 794.5, 1605.7", \ + " 81.1, 113.7, 204.8, 415.0, 794.6, 1605.7", \ + " 95.5, 125.7, 214.3, 421.3, 796.9, 1605.7", \ + " 116.2, 147.5, 231.3, 433.8, 804.5, 1606.9", \ + " 145.5, 179.2, 262.3, 457.7, 821.4, 1614.9", \ + " 189.5, 223.8, 312.0, 499.5, 853.6, 1634.9", \ + " 253.5, 288.9, 380.4, 570.6, 912.0, 1676.5", \ + " 342.5, 381.0, 477.8, 679.8, 1012.8, 1755.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.3, 40.7, 64.5, 116.4, 207.8, 401.3", \ + " 38.1, 47.5, 71.4, 123.4, 214.9, 408.4", \ + " 43.7, 53.0, 76.9, 129.0, 220.4, 413.9", \ + " 49.7, 60.5, 84.4, 136.4, 227.9, 421.4", \ + " 57.3, 70.4, 97.9, 149.8, 241.1, 434.5", \ + " 64.9, 80.4, 113.6, 169.2, 260.2, 453.5", \ + " 72.9, 91.1, 130.5, 197.2, 289.4, 482.2", \ + " 80.9, 102.0, 148.3, 228.0, 332.9, 524.8", \ + " 88.6, 113.2, 167.2, 261.2, 387.9, 589.7", \ + " 95.1, 123.9, 187.0, 297.2, 448.2, 686.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.1, 71.6, 128.8, 257.7, 487.1, 974.7", \ + " 54.5, 74.6, 129.9, 257.8, 487.1, 974.7", \ + " 61.2, 80.4, 133.7, 258.8, 487.2, 974.7", \ + " 72.0, 90.1, 141.1, 262.8, 487.6, 974.7", \ + " 90.8, 109.5, 157.5, 274.2, 492.9, 974.7", \ + " 114.1, 136.2, 184.8, 295.6, 507.1, 978.4", \ + " 145.7, 171.5, 227.2, 333.8, 536.2, 994.3", \ + " 188.3, 218.1, 282.7, 395.6, 588.2, 1030.0", \ + " 247.6, 282.5, 357.1, 487.4, 677.2, 1099.1", \ + " 331.9, 373.3, 460.1, 610.4, 820.1, 1223.4" ); }} +timing() { /* ring osc delay nr2v0x1, path b to z 57.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.1 ; */ +/* intrinsic_fall : 40.5 ; */ +/* rise_resistance : 4.15 ; */ +/* fall_resistance : 2.89 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.2, 42.3, 76.2, 151.1, 283.8, 565.4", \ + " 35.3, 48.1, 81.9, 157.1, 289.9, 571.6", \ + " 40.3, 53.4, 86.8, 161.9, 294.7, 576.4", \ + " 45.3, 60.6, 93.9, 168.6, 301.3, 583.0", \ + " 52.7, 70.3, 107.2, 181.0, 313.3, 594.8", \ + " 61.9, 81.8, 124.4, 199.5, 331.0, 611.9", \ + " 73.9, 96.5, 145.0, 228.5, 358.4, 638.2", \ + " 89.0, 115.1, 170.2, 266.2, 400.3, 677.9", \ + " 108.6, 139.0, 202.3, 311.8, 463.9, 739.5", \ + " 134.3, 170.1, 243.8, 369.0, 544.1, 836.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.1, 100.1, 193.2, 403.0, 776.1, 1568.8", \ + " 71.4, 103.7, 193.8, 403.0, 776.1, 1568.8", \ + " 78.8, 109.8, 197.5, 403.1, 776.1, 1568.8", \ + " 89.7, 119.2, 204.3, 406.1, 776.0, 1568.8", \ + " 111.2, 137.6, 218.9, 415.3, 778.5, 1568.8", \ + " 130.8, 166.6, 243.0, 433.0, 788.8, 1569.2", \ + " 158.1, 198.0, 282.4, 465.1, 811.4, 1578.3", \ + " 198.9, 241.1, 338.9, 518.6, 853.5, 1604.0", \ + " 258.7, 304.1, 409.6, 604.0, 927.8, 1657.4", \ + " 346.1, 396.2, 510.5, 727.6, 1052.0, 1757.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.7, 32.8, 56.2, 107.6, 198.7, 391.9", \ + " 30.6, 39.7, 63.3, 115.1, 206.4, 399.7", \ + " 34.4, 45.2, 68.7, 120.6, 211.9, 405.3", \ + " 37.9, 50.8, 76.2, 128.0, 219.4, 412.8", \ + " 42.0, 57.6, 88.7, 141.2, 232.5, 426.0", \ + " 45.8, 64.2, 101.6, 160.4, 251.5, 444.8", \ + " 49.0, 70.7, 115.2, 186.6, 280.4, 473.4", \ + " 50.9, 76.5, 128.8, 214.3, 323.4, 515.8", \ + " 50.3, 80.7, 142.4, 243.6, 375.5, 580.3", \ + " 45.5, 81.4, 154.5, 274.1, 431.8, 676.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.3, 53.7, 111.1, 240.1, 469.4, 956.8", \ + " 37.6, 57.1, 111.9, 240.1, 469.4, 956.8", \ + " 45.0, 63.2, 115.8, 240.8, 469.4, 956.8", \ + " 55.9, 73.3, 123.3, 244.7, 469.6, 956.8", \ + " 71.2, 92.7, 140.0, 256.0, 474.7, 956.8", \ + " 91.7, 116.3, 167.5, 277.5, 488.7, 960.1", \ + " 120.9, 148.8, 208.4, 315.9, 517.7, 975.7", \ + " 161.3, 193.3, 261.1, 377.9, 569.9, 1011.3", \ + " 218.7, 255.9, 333.2, 467.7, 659.1, 1080.5", \ + " 301.6, 344.9, 434.5, 587.8, 802.1, 1205.2" ); }} +} +} +cell(nr2v0x2) { /* 2008-01-06:07h45 characteristic delay 13.9 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 970 ; /* nr2v0x2 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x2 FO4 effort 1.51 logical effort 1.67 */ +direction : input ; +capacitance : 7.77 ; +rise_capacitance : 7.89 ; +fall_capacitance : 7.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x2 */ +} +pin(b) { /* nr2v0x2 FO4 effort 1.33 logical effort 1.58 */ +direction : input ; +capacitance : 7.33 ; +rise_capacitance : 6.85 ; +fall_capacitance : 7.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 204 ; +max_fanout : 6 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x2 18.18 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.37, 9.45, 9.52, 9.54, 9.53", \ + " 8.98, 9.09, 9.22, 9.31, 9.33", \ + " 8.92, 9.00, 9.13, 9.24, 9.27", \ + " 8.96, 9.00, 9.09, 9.19, 9.23", \ + " 9.29, 9.22, 9.18, 9.21, 9.23", \ + " 10.09, 9.85, 9.58, 9.42, 9.34", \ + " 11.72, 11.24, 10.58, 10.04, 9.71", \ + " 14.61, 13.82, 12.60, 11.42, 10.61", \ + " 19.42, 18.31, 16.38, 14.23, 12.59", \ + " 27.11, 25.68, 22.96, 19.49, 16.54" ); }} +internal_power(b_z_n) { /* nr2v0x2 10.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 5.00, 5.32, 5.59, 5.73, 5.77", \ + " 4.74, 4.99, 5.36, 5.63, 5.75", \ + " 4.85, 4.99, 5.29, 5.57, 5.72", \ + " 5.15, 5.15, 5.31, 5.55, 5.70", \ + " 5.89, 5.67, 5.56, 5.63, 5.72", \ + " 7.18, 6.69, 6.21, 5.96, 5.90", \ + " 9.39, 8.57, 7.56, 6.80, 6.39", \ + " 12.87, 11.70, 10.01, 8.50, 7.51", \ + " 18.35, 16.80, 14.29, 11.70, 9.80", \ + " 26.89, 24.97, 21.52, 17.46, 14.19" ); }} +timing() { /* ring osc delay nr2v0x2, path a to z 53.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.0 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.2, 47.6, 82.3, 159.8, 297.2, 589.2", \ + " 41.5, 54.9, 89.8, 167.4, 305.0, 597.0", \ + " 46.1, 59.8, 94.7, 172.4, 310.0, 602.1", \ + " 50.3, 65.9, 101.0, 178.7, 316.3, 608.4", \ + " 56.0, 73.6, 111.8, 189.4, 327.1, 619.2", \ + " 62.5, 82.6, 125.5, 204.9, 342.4, 634.4", \ + " 70.9, 93.9, 142.2, 228.4, 365.7, 657.4", \ + " 81.8, 108.4, 163.1, 258.8, 400.4, 691.6", \ + " 96.4, 127.5, 190.2, 297.2, 452.1, 743.8", \ + " 115.7, 152.1, 225.2, 346.9, 518.9, 824.1" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.3, 94.7, 192.9, 415.2, 811.0, 1652.3", \ + " 60.8, 96.0, 193.2, 415.2, 811.0, 1652.3", \ + " 65.1, 99.5, 195.2, 415.5, 811.0, 1652.3", \ + " 72.0, 105.2, 199.3, 417.3, 811.1, 1652.3", \ + " 87.6, 117.7, 209.0, 423.6, 813.3, 1652.3", \ + " 106.6, 140.3, 226.2, 436.1, 820.7, 1653.2", \ + " 135.5, 170.7, 257.5, 459.9, 837.4, 1660.8", \ + " 179.4, 215.1, 307.2, 501.7, 869.3, 1680.4", \ + " 243.1, 280.2, 375.5, 572.9, 927.4, 1721.2", \ + " 331.5, 371.9, 473.1, 682.6, 1028.0, 1799.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 39.5, 64.9, 120.4, 217.8, 424.2", \ + " 36.1, 46.2, 71.8, 127.3, 224.8, 431.2", \ + " 41.5, 51.7, 77.3, 132.8, 230.4, 436.8", \ + " 47.2, 59.1, 84.8, 140.3, 237.8, 444.2", \ + " 54.2, 68.7, 98.3, 153.6, 251.0, 457.3", \ + " 61.3, 78.4, 114.1, 173.0, 270.1, 476.3", \ + " 68.8, 88.8, 131.1, 201.4, 299.3, 505.0", \ + " 76.4, 99.5, 149.1, 233.2, 342.8, 547.5", \ + " 83.7, 110.7, 168.4, 267.6, 399.9, 612.3", \ + " 90.1, 121.6, 189.0, 305.1, 462.9, 711.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.1, 68.0, 129.1, 266.6, 511.3, 1031.4", \ + " 49.9, 71.2, 130.1, 266.7, 511.3, 1031.4", \ + " 56.8, 77.1, 133.9, 267.5, 511.3, 1031.4", \ + " 67.8, 86.9, 141.3, 271.3, 511.6, 1031.4", \ + " 85.8, 106.5, 157.6, 282.4, 516.4, 1031.4", \ + " 108.2, 132.6, 184.8, 303.4, 529.9, 1034.2", \ + " 138.7, 167.2, 227.2, 341.1, 558.1, 1048.7", \ + " 180.0, 213.1, 282.5, 402.5, 609.0, 1082.9", \ + " 237.8, 276.5, 356.8, 494.7, 696.8, 1149.9", \ + " 320.0, 366.1, 459.5, 618.6, 838.9, 1271.4" ); }} +timing() { /* ring osc delay nr2v0x2, path b to z 42.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.9 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 2.15 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 38.9, 74.0, 151.7, 289.3, 581.3", \ + " 31.6, 44.7, 79.8, 157.7, 295.4, 587.5", \ + " 35.6, 50.2, 84.8, 162.5, 300.2, 592.4", \ + " 40.0, 56.8, 91.9, 169.3, 306.9, 599.0", \ + " 46.8, 66.0, 105.1, 181.6, 318.8, 610.7", \ + " 55.1, 76.8, 121.9, 200.1, 336.5, 627.8", \ + " 65.8, 90.8, 142.2, 229.1, 363.9, 654.1", \ + " 79.4, 108.2, 166.8, 266.7, 405.6, 693.7", \ + " 96.9, 130.6, 198.0, 312.2, 469.3, 755.0", \ + " 119.9, 159.6, 238.2, 368.9, 550.0, 851.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.9, 91.2, 187.8, 405.4, 792.2, 1614.3", \ + " 62.3, 95.4, 188.5, 405.3, 792.2, 1614.3", \ + " 70.2, 101.8, 192.3, 405.4, 792.2, 1614.3", \ + " 81.8, 111.5, 199.3, 408.4, 792.2, 1614.3", \ + " 102.3, 130.4, 214.1, 417.6, 794.5, 1614.3", \ + " 118.8, 159.1, 238.5, 435.3, 804.5, 1614.5", \ + " 145.9, 188.6, 278.2, 467.4, 826.9, 1623.0", \ + " 186.2, 231.3, 334.1, 521.0, 868.6, 1648.0", \ + " 245.0, 293.9, 404.8, 606.7, 942.7, 1700.5", \ + " 331.4, 385.4, 505.9, 731.2, 1067.1, 1799.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.1, 31.0, 56.0, 110.9, 208.0, 414.1", \ + " 27.8, 37.9, 63.1, 118.4, 215.7, 421.9", \ + " 31.0, 43.2, 68.5, 123.9, 221.3, 427.5", \ + " 33.9, 48.5, 76.0, 131.3, 228.7, 435.0", \ + " 37.3, 54.8, 88.5, 144.4, 241.8, 448.2", \ + " 40.3, 61.0, 101.4, 163.7, 260.8, 467.0", \ + " 42.7, 67.1, 115.0, 190.5, 289.7, 495.6", \ + " 43.8, 72.6, 128.9, 219.1, 332.9, 537.9", \ + " 42.4, 76.4, 142.8, 249.5, 387.3, 602.4", \ + " 36.8, 77.0, 155.6, 281.6, 446.3, 700.1" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 26.9, 49.8, 110.9, 248.5, 493.1, 1013.0", \ + " 33.0, 53.4, 111.7, 248.5, 493.1, 1013.0", \ + " 41.0, 59.8, 115.6, 249.1, 493.1, 1013.0", \ + " 50.6, 70.1, 123.2, 252.8, 493.2, 1013.0", \ + " 65.0, 89.0, 139.9, 263.8, 497.7, 1013.0", \ + " 84.7, 112.0, 167.4, 284.9, 511.1, 1015.5", \ + " 112.9, 143.9, 208.2, 322.9, 539.3, 1029.8", \ + " 152.0, 187.7, 260.7, 384.5, 590.4, 1063.8", \ + " 207.9, 249.3, 332.6, 475.0, 678.5, 1130.9", \ + " 289.6, 337.2, 433.6, 596.0, 821.0, 1252.9" ); }} +} +} +cell(nr2v0x3) { /* 2008-01-06:07h45 characteristic delay 13.4 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1525 ; /* nr2v0x3 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x3 FO4 effort 1.50 logical effort 1.61 */ +direction : input ; +capacitance : 11.80 ; +rise_capacitance : 12.02 ; +fall_capacitance : 11.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x3 */ +} +pin(b) { /* nr2v0x3 FO4 effort 1.33 logical effort 1.53 */ +direction : input ; +capacitance : 11.22 ; +rise_capacitance : 10.40 ; +fall_capacitance : 12.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 5 ; +max_capacitance : 317 ; +max_fanout : 8 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x3 29.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_585_5x10) { +values( " 15.26, 15.39, 15.48, 15.49, 15.44", \ + " 14.67, 14.86, 15.06, 15.16, 15.15", \ + " 14.55, 14.72, 14.93, 15.06, 15.07", \ + " 14.61, 14.69, 14.86, 14.99, 15.02", \ + " 15.08, 14.97, 14.95, 15.00, 15.00", \ + " 16.27, 15.85, 15.45, 15.24, 15.12", \ + " 18.76, 17.85, 16.79, 16.01, 15.56", \ + " 23.21, 21.67, 19.59, 17.81, 16.68", \ + " 30.69, 28.46, 24.99, 21.58, 19.21", \ + " 42.72, 39.78, 34.66, 28.88, 24.43" ); }} +internal_power(b_z_n) { /* nr2v0x3 18.24 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_585_5x10) { +values( " 8.64, 9.17, 9.55, 9.70, 9.71", \ + " 8.17, 8.67, 9.26, 9.61, 9.72", \ + " 8.28, 8.60, 9.14, 9.54, 9.69", \ + " 8.68, 8.76, 9.12, 9.49, 9.66", \ + " 9.75, 9.43, 9.38, 9.56, 9.68", \ + " 11.68, 10.85, 10.19, 9.94, 9.88", \ + " 15.02, 13.54, 11.99, 10.97, 10.46", \ + " 20.38, 18.15, 15.39, 13.17, 11.83", \ + " 28.88, 25.84, 21.48, 17.49, 14.77", \ + " 42.21, 38.33, 32.04, 25.51, 20.61" ); }} +timing() { /* ring osc delay nr2v0x3, path a to z 54.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.5 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.38 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x3_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 56.6, 106.6, 218.6, 417.3, 839.6", \ + " 44.8, 64.0, 114.2, 226.3, 425.1, 847.4", \ + " 49.6, 68.9, 119.1, 231.3, 430.2, 852.5", \ + " 54.4, 75.2, 125.4, 237.6, 436.5, 858.9", \ + " 60.6, 84.4, 136.2, 248.3, 447.3, 869.6", \ + " 67.9, 94.7, 151.7, 263.7, 462.5, 884.9", \ + " 77.3, 107.9, 171.4, 287.2, 485.7, 907.8", \ + " 89.5, 124.6, 195.8, 321.9, 520.2, 941.7", \ + " 105.9, 146.6, 227.4, 367.2, 573.0, 993.4", \ + " 127.4, 175.2, 268.5, 425.3, 651.0, 1073.1" ); } +rise_transition(x3_585_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.1, 120.0, 262.5, 584.4, 1157.0, 2373.8", \ + " 69.3, 120.9, 262.7, 584.4, 1157.0, 2373.8", \ + " 73.3, 124.0, 263.7, 584.4, 1157.0, 2373.8", \ + " 79.8, 129.2, 267.2, 585.0, 1157.0, 2373.8", \ + " 94.4, 140.6, 275.5, 589.4, 1157.2, 2373.8", \ + " 114.9, 161.1, 291.0, 599.5, 1161.6, 2373.8", \ + " 144.2, 194.4, 319.1, 619.8, 1173.9, 2376.1", \ + " 188.0, 239.4, 367.3, 656.7, 1199.9, 2388.5", \ + " 251.9, 304.9, 439.6, 721.2, 1249.7, 2419.3", \ + " 340.7, 398.2, 540.0, 829.9, 1339.7, 2483.5" ); } +cell_fall(x3_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.1, 45.0, 80.3, 157.7, 294.4, 584.4", \ + " 37.8, 51.8, 87.2, 164.7, 301.5, 591.5", \ + " 43.4, 57.3, 92.7, 170.2, 307.0, 597.0", \ + " 49.4, 64.9, 100.2, 177.7, 314.5, 604.5", \ + " 56.8, 75.9, 113.6, 191.0, 327.7, 617.6", \ + " 64.3, 86.9, 132.1, 210.2, 346.7, 636.5", \ + " 72.2, 98.7, 152.9, 239.6, 375.6, 665.0", \ + " 80.0, 110.9, 174.8, 279.2, 418.6, 707.3", \ + " 87.6, 123.5, 198.2, 322.7, 483.8, 771.4", \ + " 94.0, 136.0, 223.3, 370.0, 564.6, 869.9" ); } +fall_transition(x3_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.3, 81.5, 167.4, 360.9, 705.0, 1436.3", \ + " 53.8, 84.1, 167.9, 360.9, 705.0, 1436.3", \ + " 60.6, 89.5, 170.7, 361.0, 705.0, 1436.3", \ + " 71.3, 98.7, 176.9, 363.1, 705.1, 1436.3", \ + " 90.2, 117.6, 191.5, 371.4, 706.5, 1436.3", \ + " 113.4, 145.5, 216.9, 389.2, 715.4, 1436.4", \ + " 144.8, 182.3, 259.2, 422.9, 738.0, 1442.8", \ + " 187.2, 230.7, 319.7, 480.5, 781.9, 1467.1", \ + " 246.4, 297.1, 399.9, 574.1, 861.3, 1521.8", \ + " 330.5, 390.5, 509.5, 710.9, 997.4, 1627.8" ); }} +timing() { /* ring osc delay nr2v0x3, path b to z 43.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.9 ; */ +/* intrinsic_fall : 39.5 ; */ +/* rise_resistance : 1.38 ; */ +/* fall_resistance : 0.96 ; */ +cell_rise(x3_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 48.4, 98.8, 210.9, 409.7, 832.0", \ + " 35.0, 54.1, 104.6, 216.9, 415.9, 838.3", \ + " 39.9, 59.3, 109.4, 221.7, 420.7, 843.1", \ + " 44.7, 66.8, 116.4, 228.4, 427.4, 849.7", \ + " 52.1, 77.6, 129.2, 240.5, 439.2, 861.4", \ + " 61.3, 90.2, 148.4, 258.5, 456.5, 878.4", \ + " 73.1, 106.0, 172.8, 286.7, 483.3, 904.3", \ + " 88.1, 125.9, 201.9, 329.5, 523.9, 943.1", \ + " 107.5, 151.6, 238.3, 384.9, 587.1, 1002.9", \ + " 133.1, 184.8, 285.1, 452.8, 683.2, 1096.5" ); } +rise_transition(x3_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.8, 116.2, 256.0, 570.7, 1130.3, 2319.4", \ + " 70.2, 119.0, 255.9, 570.7, 1130.3, 2319.4", \ + " 77.6, 124.6, 258.3, 570.7, 1130.3, 2319.4", \ + " 88.5, 133.5, 263.8, 571.3, 1130.3, 2319.4", \ + " 110.3, 151.0, 276.4, 577.3, 1130.2, 2319.4", \ + " 129.2, 178.5, 298.4, 591.3, 1134.9, 2319.4", \ + " 156.5, 215.0, 335.4, 618.6, 1151.0, 2320.4", \ + " 197.1, 259.3, 393.5, 666.6, 1184.8, 2334.3", \ + " 256.7, 323.5, 473.2, 747.4, 1248.6, 2373.3", \ + " 343.9, 417.4, 579.7, 876.5, 1362.0, 2454.8" ); } +cell_fall(x3_585_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.3, 36.9, 71.6, 148.6, 285.0, 574.8", \ + " 30.2, 43.8, 78.9, 156.2, 292.8, 582.6", \ + " 33.9, 49.3, 84.3, 161.7, 298.4, 588.3", \ + " 37.3, 55.9, 91.7, 169.1, 305.9, 595.8", \ + " 41.3, 63.9, 105.0, 182.3, 319.0, 608.9", \ + " 44.9, 71.6, 121.7, 201.3, 337.9, 627.8", \ + " 48.0, 79.5, 139.4, 230.6, 366.6, 656.2", \ + " 49.7, 86.8, 157.5, 267.8, 409.3, 698.3", \ + " 49.0, 92.9, 176.2, 307.9, 473.8, 762.1", \ + " 43.9, 95.9, 194.5, 350.6, 551.4, 860.2" ); } +fall_transition(x3_585_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.6, 63.8, 149.8, 343.3, 687.3, 1418.3", \ + " 37.0, 66.4, 149.9, 343.3, 687.3, 1418.3", \ + " 44.6, 72.2, 152.7, 343.3, 687.3, 1418.3", \ + " 55.3, 81.7, 159.0, 345.0, 687.3, 1418.3", \ + " 70.5, 101.3, 173.8, 353.2, 688.4, 1418.3", \ + " 90.9, 126.6, 199.4, 371.0, 697.1, 1418.3", \ + " 120.0, 160.6, 242.0, 404.8, 719.5, 1424.5", \ + " 160.4, 206.7, 300.1, 462.6, 763.4, 1448.5", \ + " 217.6, 271.3, 377.5, 556.6, 843.1, 1503.1", \ + " 300.4, 362.9, 485.0, 690.8, 979.5, 1609.2" ); }} +} +} +cell(nr2v0x4) { /* 2008-01-06:07h45 characteristic delay 14.0 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1987 ; /* nr2v0x4 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x4 FO4 effort 1.52 logical effort 1.67 */ +direction : input ; +capacitance : 15.87 ; +rise_capacitance : 16.14 ; +fall_capacitance : 15.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x4 */ +} +pin(b) { /* nr2v0x4 FO4 effort 1.35 logical effort 1.61 */ +direction : input ; +capacitance : 15.24 ; +rise_capacitance : 14.27 ; +fall_capacitance : 16.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 423 ; +max_fanout : 10 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x4 37.60 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 19.39, 19.55, 19.69, 19.74, 19.71", \ + " 18.59, 18.80, 19.08, 19.26, 19.31", \ + " 18.45, 18.61, 18.89, 19.11, 19.19", \ + " 18.54, 18.61, 18.80, 19.01, 19.11", \ + " 19.19, 19.05, 18.99, 19.04, 19.09", \ + " 20.80, 20.33, 19.80, 19.47, 19.31", \ + " 24.10, 23.15, 21.84, 20.74, 20.07", \ + " 29.95, 28.39, 25.96, 23.57, 21.92", \ + " 39.74, 37.52, 33.65, 29.31, 25.98", \ + " 55.38, 52.53, 47.08, 40.07, 34.08" ); }} +internal_power(b_z_n) { /* nr2v0x4 21.96 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 10.36, 11.04, 11.62, 11.92, 12.00", \ + " 9.81, 10.34, 11.12, 11.70, 11.95", \ + " 10.02, 10.32, 10.96, 11.57, 11.88", \ + " 10.61, 10.63, 10.98, 11.50, 11.83", \ + " 12.10, 11.68, 11.48, 11.65, 11.87", \ + " 14.74, 13.77, 12.80, 12.33, 12.22", \ + " 19.22, 17.59, 15.57, 14.04, 13.23", \ + " 26.32, 23.96, 20.57, 17.51, 15.51", \ + " 37.48, 34.37, 29.30, 24.07, 20.20", \ + " 54.89, 51.02, 44.06, 35.86, 29.20" ); }} +timing() { /* ring osc delay nr2v0x4, path a to z 64.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.77 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.0, 46.9, 80.4, 155.1, 287.6, 569.1", \ + " 41.3, 54.2, 87.9, 162.7, 295.4, 577.0", \ + " 45.9, 59.2, 92.8, 167.7, 300.4, 582.1", \ + " 50.1, 65.2, 99.1, 174.0, 306.8, 588.4", \ + " 55.6, 72.8, 109.9, 184.8, 317.5, 599.2", \ + " 62.0, 81.5, 123.2, 200.2, 332.8, 614.4", \ + " 70.0, 92.5, 139.6, 223.6, 356.1, 637.4", \ + " 80.4, 106.5, 159.9, 253.3, 390.8, 671.6", \ + " 94.2, 124.6, 186.1, 290.8, 441.7, 723.6", \ + " 112.2, 147.9, 219.6, 339.0, 507.0, 803.8" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 57.8, 92.8, 187.5, 401.9, 783.5, 1594.7", \ + " 60.3, 94.2, 187.9, 401.9, 783.5, 1594.7", \ + " 64.6, 97.7, 189.9, 402.1, 783.5, 1594.7", \ + " 71.5, 103.5, 194.1, 404.2, 783.6, 1594.7", \ + " 87.3, 116.0, 203.9, 410.6, 786.0, 1594.7", \ + " 106.1, 138.8, 221.3, 423.3, 793.8, 1596.0", \ + " 135.1, 169.0, 253.0, 447.5, 810.9, 1604.1", \ + " 179.0, 213.5, 302.5, 489.9, 843.5, 1624.3", \ + " 243.0, 278.8, 370.9, 562.0, 902.4, 1666.2", \ + " 331.9, 371.0, 468.8, 671.5, 1004.3, 1746.0" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 40.4, 65.8, 121.3, 218.7, 425.1", \ + " 36.9, 47.1, 72.7, 128.2, 225.8, 432.2", \ + " 42.4, 52.6, 78.1, 133.7, 231.3, 437.7", \ + " 48.2, 59.9, 85.6, 141.2, 238.7, 445.1", \ + " 55.5, 69.8, 99.1, 154.5, 251.9, 458.3", \ + " 62.9, 79.8, 115.1, 173.9, 271.0, 477.2", \ + " 70.9, 90.5, 132.5, 202.4, 300.2, 505.9", \ + " 79.1, 101.9, 150.9, 234.5, 343.7, 548.5", \ + " 87.4, 113.9, 170.9, 269.4, 401.2, 613.4", \ + " 95.1, 126.1, 192.7, 307.7, 464.8, 712.2" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.3, 69.2, 130.3, 267.8, 512.5, 1032.6", \ + " 50.8, 72.3, 131.3, 267.9, 512.6, 1032.6", \ + " 57.6, 78.0, 135.0, 268.7, 512.6, 1032.6", \ + " 68.5, 87.7, 142.3, 272.5, 512.9, 1032.6", \ + " 86.7, 107.1, 158.5, 283.4, 517.5, 1032.7", \ + " 109.2, 133.4, 185.5, 304.2, 530.9, 1035.4", \ + " 139.7, 168.0, 227.8, 341.8, 559.0, 1049.8", \ + " 181.0, 213.8, 283.0, 402.9, 609.7, 1083.9", \ + " 238.8, 277.2, 357.1, 494.9, 697.1, 1150.6", \ + " 321.0, 366.5, 459.5, 618.5, 838.7, 1271.7" ); }} +timing() { /* ring osc delay nr2v0x4, path b to z 52.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.9 ; */ +/* intrinsic_fall : 38.3 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.77 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 38.4, 72.3, 147.2, 279.9, 561.5", \ + " 31.5, 44.2, 78.0, 153.2, 286.0, 567.7", \ + " 35.4, 49.6, 83.0, 158.0, 290.8, 572.6", \ + " 39.8, 56.2, 90.1, 164.8, 297.5, 579.2", \ + " 46.3, 65.0, 103.3, 177.1, 309.4, 590.9", \ + " 54.4, 75.6, 119.7, 195.6, 327.1, 608.0", \ + " 64.8, 89.1, 139.4, 224.5, 354.5, 634.3", \ + " 77.7, 106.0, 163.3, 261.1, 396.2, 673.9", \ + " 94.4, 127.4, 193.5, 305.3, 459.1, 735.1", \ + " 116.1, 155.0, 232.2, 360.3, 537.7, 831.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.4, 89.3, 182.5, 392.3, 765.3, 1558.0", \ + " 61.8, 93.7, 183.3, 392.3, 765.3, 1558.0", \ + " 69.8, 100.1, 187.2, 392.5, 765.3, 1558.0", \ + " 81.6, 110.0, 194.3, 395.7, 765.3, 1558.0", \ + " 102.1, 129.2, 209.4, 405.1, 768.0, 1558.0", \ + " 118.6, 157.7, 234.2, 423.2, 778.4, 1558.5", \ + " 145.9, 187.2, 274.4, 456.0, 801.5, 1567.9", \ + " 186.4, 230.1, 329.9, 510.3, 844.2, 1593.9", \ + " 245.5, 293.1, 400.7, 597.0, 919.6, 1647.9", \ + " 332.3, 384.8, 502.0, 720.8, 1045.5, 1749.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.7, 31.7, 56.7, 111.6, 208.7, 414.8", \ + " 28.4, 38.4, 63.7, 119.1, 216.4, 422.6", \ + " 31.7, 43.8, 69.1, 124.5, 222.0, 428.3", \ + " 34.7, 49.2, 76.6, 131.9, 229.4, 435.8", \ + " 38.2, 55.6, 89.1, 145.1, 242.5, 448.9", \ + " 41.5, 62.0, 102.2, 164.3, 261.5, 467.7", \ + " 44.4, 68.4, 116.0, 191.2, 290.4, 496.3", \ + " 46.0, 74.4, 130.2, 220.0, 333.6, 538.6", \ + " 45.5, 79.0, 144.8, 250.8, 388.2, 603.2", \ + " 41.2, 80.8, 158.6, 283.6, 447.7, 701.0" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.5, 50.4, 111.5, 249.1, 493.7, 1013.6", \ + " 33.4, 53.8, 112.2, 249.1, 493.7, 1013.6", \ + " 41.3, 60.1, 116.1, 249.6, 493.7, 1013.6", \ + " 51.0, 70.4, 123.5, 253.3, 493.8, 1013.6", \ + " 65.5, 89.3, 140.2, 264.2, 498.2, 1013.6", \ + " 85.1, 112.3, 167.5, 285.2, 511.5, 1016.0", \ + " 113.2, 144.1, 208.3, 323.0, 539.5, 1030.2", \ + " 152.4, 187.8, 260.6, 384.4, 590.5, 1064.1", \ + " 208.4, 249.4, 332.3, 474.7, 678.2, 1131.0", \ + " 290.2, 337.2, 433.1, 595.2, 820.4, 1252.6" ); }} +} +} +cell(nr2v0x6) { /* 2008-01-06:07h45 characteristic delay 14.0 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 2980 ; /* nr2v0x6 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x6 FO4 effort 1.52 logical effort 1.66 */ +direction : input ; +capacitance : 23.70 ; +rise_capacitance : 24.11 ; +fall_capacitance : 23.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x6 */ +} +pin(b) { /* nr2v0x6 FO4 effort 1.35 logical effort 1.60 */ +direction : input ; +capacitance : 22.82 ; +rise_capacitance : 21.35 ; +fall_capacitance : 24.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 635 ; +max_fanout : 15 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x6 56.60 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 29.19, 29.43, 29.65, 29.72, 29.68", \ + " 27.98, 28.30, 28.72, 29.00, 29.07", \ + " 27.76, 28.02, 28.43, 28.77, 28.89", \ + " 27.90, 28.01, 28.30, 28.62, 28.76", \ + " 28.87, 28.66, 28.57, 28.67, 28.74", \ + " 31.28, 30.58, 29.79, 29.31, 29.07", \ + " 36.23, 34.81, 32.85, 31.20, 30.20", \ + " 45.00, 42.66, 39.02, 35.44, 32.98", \ + " 59.67, 56.35, 50.56, 44.05, 39.07", \ + " 83.12, 78.86, 70.68, 60.19, 51.20" ); }} +internal_power(b_z_n) { /* nr2v0x6 33.15 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 15.65, 16.66, 17.55, 17.99, 18.11", \ + " 14.81, 15.61, 16.78, 17.65, 18.04", \ + " 15.12, 15.57, 16.54, 17.46, 17.93", \ + " 16.01, 16.03, 16.57, 17.36, 17.85", \ + " 18.24, 17.60, 17.31, 17.58, 17.92", \ + " 22.18, 20.74, 19.30, 18.59, 18.43", \ + " 28.90, 26.46, 23.44, 21.15, 19.94", \ + " 39.54, 36.01, 30.94, 26.36, 23.36", \ + " 56.27, 51.62, 44.03, 36.19, 30.39", \ + " 82.37, 76.59, 66.16, 53.87, 43.88" ); }} +timing() { /* ring osc delay nr2v0x6, path a to z 64.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.0 ; */ +/* intrinsic_fall : 48.4 ; */ +/* rise_resistance : 0.69 ; */ +/* fall_resistance : 0.51 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.1, 47.0, 80.5, 155.2, 287.7, 569.3", \ + " 41.4, 54.3, 88.0, 162.9, 295.5, 577.1", \ + " 46.0, 59.3, 92.9, 167.9, 300.6, 582.2", \ + " 50.2, 65.3, 99.2, 174.1, 306.9, 588.5", \ + " 55.8, 72.9, 110.0, 184.9, 317.6, 599.3", \ + " 62.1, 81.6, 123.4, 200.3, 332.9, 614.5", \ + " 70.2, 92.7, 139.7, 223.7, 356.2, 637.5", \ + " 80.6, 106.6, 160.0, 253.4, 390.9, 671.7", \ + " 94.4, 124.8, 186.2, 290.9, 441.8, 723.7", \ + " 112.5, 148.1, 219.8, 339.1, 507.1, 803.9" ); } +rise_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.0, 93.0, 187.7, 402.1, 783.8, 1594.9", \ + " 60.5, 94.4, 188.1, 402.1, 783.8, 1594.9", \ + " 64.8, 98.0, 190.1, 402.4, 783.8, 1594.9", \ + " 71.7, 103.7, 194.3, 404.4, 783.8, 1594.9", \ + " 87.5, 116.2, 204.1, 410.8, 786.3, 1595.0", \ + " 106.3, 139.0, 221.5, 423.5, 794.0, 1596.2", \ + " 135.3, 169.3, 253.2, 447.8, 811.1, 1604.3", \ + " 179.2, 213.7, 302.8, 490.1, 843.7, 1624.5", \ + " 243.2, 279.0, 371.1, 562.2, 902.7, 1666.5", \ + " 332.1, 371.3, 469.0, 671.7, 1004.6, 1746.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 40.5, 65.9, 121.4, 218.8, 425.2", \ + " 37.0, 47.2, 72.8, 128.3, 225.9, 432.3", \ + " 42.5, 52.7, 78.2, 133.8, 231.4, 437.8", \ + " 48.3, 60.0, 85.7, 141.3, 238.8, 445.2", \ + " 55.6, 69.9, 99.2, 154.6, 252.0, 458.4", \ + " 63.1, 79.9, 115.2, 174.0, 271.1, 477.3", \ + " 71.1, 90.7, 132.6, 202.5, 300.3, 506.0", \ + " 79.3, 102.0, 151.0, 234.6, 343.8, 548.6", \ + " 87.6, 114.1, 171.1, 269.5, 401.3, 613.4", \ + " 95.4, 126.3, 192.8, 307.9, 464.9, 712.3" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.5, 69.4, 130.5, 268.0, 512.7, 1032.8", \ + " 50.9, 72.4, 131.5, 268.1, 512.7, 1032.8", \ + " 57.8, 78.1, 135.1, 268.9, 512.7, 1032.8", \ + " 68.6, 87.8, 142.4, 272.6, 513.0, 1032.8", \ + " 86.8, 107.2, 158.6, 283.5, 517.7, 1032.8", \ + " 109.3, 133.5, 185.6, 304.4, 531.1, 1035.5", \ + " 139.9, 168.2, 227.9, 341.9, 559.1, 1050.0", \ + " 181.2, 214.0, 283.2, 403.0, 609.8, 1084.0", \ + " 239.0, 277.4, 357.3, 495.0, 697.2, 1150.8", \ + " 321.3, 366.8, 459.7, 618.6, 838.8, 1271.9" ); }} +timing() { /* ring osc delay nr2v0x6, path b to z 52.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.0 ; */ +/* intrinsic_fall : 38.4 ; */ +/* rise_resistance : 0.69 ; */ +/* fall_resistance : 0.52 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.2, 38.5, 72.4, 147.4, 280.0, 561.6", \ + " 31.6, 44.3, 78.2, 153.3, 286.1, 567.8", \ + " 35.6, 49.7, 83.1, 158.2, 291.0, 572.7", \ + " 39.9, 56.3, 90.2, 164.9, 297.6, 579.3", \ + " 46.5, 65.2, 103.4, 177.2, 309.6, 591.0", \ + " 54.6, 75.8, 119.8, 195.7, 327.2, 608.1", \ + " 65.0, 89.3, 139.5, 224.6, 354.6, 634.4", \ + " 78.0, 106.2, 163.4, 261.2, 396.3, 674.0", \ + " 94.6, 127.6, 193.7, 305.4, 459.2, 735.3", \ + " 116.4, 155.2, 232.4, 360.5, 537.8, 831.2" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.6, 89.5, 182.7, 392.5, 765.6, 1558.3", \ + " 62.1, 93.9, 183.5, 392.5, 765.6, 1558.3", \ + " 70.0, 100.3, 187.5, 392.7, 765.6, 1558.3", \ + " 81.7, 110.2, 194.5, 395.9, 765.5, 1558.3", \ + " 102.4, 129.3, 209.6, 405.3, 768.2, 1558.3", \ + " 118.9, 158.0, 234.4, 423.4, 778.7, 1558.8", \ + " 146.2, 187.5, 274.6, 456.2, 801.7, 1568.1", \ + " 186.7, 230.4, 330.2, 510.5, 844.4, 1594.1", \ + " 245.9, 293.4, 400.9, 597.2, 919.8, 1648.1", \ + " 332.6, 385.2, 502.3, 721.0, 1045.7, 1749.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 31.8, 56.8, 111.7, 208.8, 414.9", \ + " 28.5, 38.5, 63.8, 119.2, 216.5, 422.7", \ + " 31.8, 43.9, 69.2, 124.6, 222.1, 428.4", \ + " 34.8, 49.3, 76.6, 132.0, 229.5, 435.9", \ + " 38.4, 55.8, 89.2, 145.1, 242.6, 449.0", \ + " 41.7, 62.1, 102.3, 164.4, 261.5, 467.8", \ + " 44.6, 68.6, 116.1, 191.3, 290.5, 496.3", \ + " 46.3, 74.6, 130.3, 220.1, 333.7, 538.7", \ + " 45.8, 79.2, 144.9, 250.9, 388.3, 603.3", \ + " 41.6, 81.1, 158.7, 283.8, 447.8, 701.1" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.6, 50.5, 111.6, 249.2, 493.9, 1013.7", \ + " 33.5, 53.9, 112.4, 249.2, 493.9, 1013.7", \ + " 41.3, 60.3, 116.2, 249.7, 493.9, 1013.7", \ + " 51.2, 70.5, 123.7, 253.4, 493.9, 1013.7", \ + " 65.6, 89.5, 140.3, 264.3, 498.4, 1013.7", \ + " 85.3, 112.5, 167.6, 285.3, 511.6, 1016.1", \ + " 113.5, 144.3, 208.4, 323.2, 539.7, 1030.3", \ + " 152.7, 188.0, 260.8, 384.5, 590.6, 1064.2", \ + " 208.7, 249.6, 332.5, 474.8, 678.3, 1131.1", \ + " 290.6, 337.4, 433.3, 595.4, 820.5, 1252.7" ); }} +} +} +cell(nr2v0x8) { /* 2008-01-06:07h45 characteristic delay 13.9 ps */ +area : 19 ; /* tracks */ +cell_leakage_power : 3835 ; /* nr2v0x8 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v0x8 FO4 effort 1.52 logical effort 1.66 */ +direction : input ; +capacitance : 30.43 ; +rise_capacitance : 30.96 ; +fall_capacitance : 29.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v0x8 */ +} +pin(b) { /* nr2v0x8 FO4 effort 1.35 logical effort 1.59 */ +direction : input ; +capacitance : 29.14 ; +rise_capacitance : 27.23 ; +fall_capacitance : 31.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 812 ; +max_fanout : 20 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v0x8 72.63 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 37.44, 37.76, 38.03, 38.13, 38.06", \ + " 35.89, 36.31, 36.86, 37.21, 37.29", \ + " 35.61, 35.95, 36.49, 36.92, 37.06", \ + " 35.78, 35.93, 36.31, 36.72, 36.90", \ + " 37.02, 36.75, 36.65, 36.78, 36.87", \ + " 40.11, 39.20, 38.18, 37.57, 37.28", \ + " 46.46, 44.58, 42.04, 39.94, 38.69", \ + " 57.71, 54.63, 49.88, 45.29, 42.17", \ + " 76.54, 72.15, 64.57, 56.18, 49.82", \ + " 106.65, 101.01, 90.27, 76.66, 65.15" ); }} +internal_power(b_z_n) { /* nr2v0x8 42.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 20.11, 21.42, 22.54, 23.08, 23.22", \ + " 19.03, 20.08, 21.58, 22.68, 23.14", \ + " 19.42, 20.02, 21.27, 22.43, 23.02", \ + " 20.56, 20.60, 21.31, 22.31, 22.92", \ + " 23.41, 22.58, 22.23, 22.58, 23.00", \ + " 28.46, 26.57, 24.72, 23.85, 23.65", \ + " 37.06, 33.86, 29.96, 27.05, 25.53", \ + " 50.71, 46.07, 39.49, 33.62, 29.82", \ + " 72.18, 66.04, 56.15, 46.07, 38.67", \ + " 105.68, 98.02, 84.39, 68.50, 55.72" ); }} +timing() { /* ring osc delay nr2v0x8, path a to z 54.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.1 ; */ +/* intrinsic_fall : 48.4 ; */ +/* rise_resistance : 0.54 ; */ +/* fall_resistance : 0.40 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.4, 47.8, 82.7, 160.5, 298.6, 591.9", \ + " 41.6, 55.1, 90.1, 168.1, 306.3, 599.7", \ + " 46.3, 60.0, 95.1, 173.1, 311.4, 604.8", \ + " 50.5, 66.1, 101.3, 179.4, 317.7, 611.1", \ + " 56.1, 73.8, 112.2, 190.2, 328.4, 621.9", \ + " 62.6, 82.7, 125.8, 205.6, 343.7, 637.2", \ + " 70.7, 93.9, 142.5, 229.1, 367.0, 660.1", \ + " 81.2, 108.2, 163.2, 259.4, 401.7, 694.3", \ + " 95.3, 126.6, 189.8, 297.6, 453.2, 746.3", \ + " 113.7, 150.5, 224.2, 346.8, 519.7, 826.4" ); } +rise_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.6, 95.1, 193.8, 417.2, 814.8, 1660.0", \ + " 61.1, 96.5, 194.2, 417.2, 814.8, 1660.0", \ + " 65.4, 100.0, 196.1, 417.4, 814.8, 1660.0", \ + " 72.3, 105.7, 200.2, 419.3, 814.9, 1660.0", \ + " 87.9, 118.1, 209.9, 425.5, 817.1, 1660.0", \ + " 106.9, 140.8, 227.1, 438.0, 824.5, 1660.9", \ + " 135.9, 171.2, 258.5, 461.9, 841.1, 1668.5", \ + " 179.8, 215.7, 308.3, 503.7, 873.1, 1688.0", \ + " 243.8, 281.1, 376.8, 575.0, 931.2, 1728.8", \ + " 332.7, 373.4, 474.9, 685.2, 1031.9, 1807.1" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 40.8, 67.1, 124.4, 225.2, 438.7", \ + " 37.0, 47.5, 73.9, 131.4, 232.2, 445.7", \ + " 42.5, 53.0, 79.4, 136.8, 237.7, 451.2", \ + " 48.3, 60.4, 86.9, 144.3, 245.2, 458.7", \ + " 55.6, 70.3, 100.4, 157.6, 258.4, 471.8", \ + " 63.1, 80.4, 116.7, 177.0, 277.5, 490.8", \ + " 71.0, 91.2, 134.3, 205.8, 306.6, 519.4", \ + " 79.1, 102.6, 153.0, 238.5, 350.1, 562.0", \ + " 87.3, 114.6, 173.3, 274.2, 408.7, 626.8", \ + " 94.9, 126.8, 195.3, 313.2, 473.8, 726.0" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 46.5, 70.3, 133.4, 275.7, 528.9, 1066.9", \ + " 51.0, 73.2, 134.4, 275.8, 528.9, 1066.9", \ + " 57.9, 79.0, 138.0, 276.5, 528.9, 1066.9", \ + " 68.7, 88.6, 145.1, 280.1, 529.1, 1066.9", \ + " 87.0, 108.0, 161.2, 290.8, 533.4, 1066.9", \ + " 109.5, 134.5, 188.1, 311.3, 546.4, 1069.2", \ + " 140.1, 169.2, 230.6, 348.5, 574.0, 1082.9", \ + " 181.5, 215.3, 286.3, 409.4, 624.0, 1116.0", \ + " 239.4, 278.9, 360.9, 502.0, 710.8, 1181.7", \ + " 321.8, 368.6, 463.9, 626.7, 852.1, 1301.4" ); }} +timing() { /* ring osc delay nr2v0x8, path b to z 43.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.1 ; */ +/* intrinsic_fall : 38.4 ; */ +/* rise_resistance : 0.54 ; */ +/* fall_resistance : 0.40 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.4, 39.2, 74.5, 152.6, 290.8, 584.1", \ + " 31.8, 45.1, 80.3, 158.6, 296.9, 590.4", \ + " 35.9, 50.5, 85.2, 163.4, 301.8, 595.2", \ + " 40.2, 57.1, 92.3, 170.1, 308.4, 601.8", \ + " 46.9, 66.2, 105.5, 182.5, 320.3, 613.6", \ + " 55.0, 77.0, 122.3, 200.9, 337.9, 630.7", \ + " 65.6, 90.7, 142.4, 229.7, 365.2, 656.9", \ + " 78.7, 107.8, 166.8, 267.3, 406.8, 696.4", \ + " 95.6, 129.7, 197.6, 312.5, 470.3, 757.5", \ + " 117.8, 157.8, 237.1, 368.7, 550.9, 853.3" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 55.3, 91.6, 188.7, 407.3, 795.9, 1621.8", \ + " 62.6, 95.8, 189.4, 407.3, 795.9, 1621.8", \ + " 70.5, 102.2, 193.2, 407.4, 795.9, 1621.8", \ + " 82.2, 112.0, 200.1, 410.3, 795.9, 1621.8", \ + " 103.0, 131.0, 215.0, 419.4, 798.2, 1621.8", \ + " 119.6, 160.0, 239.5, 437.1, 808.1, 1622.1", \ + " 146.9, 189.7, 279.4, 469.4, 830.5, 1630.5", \ + " 187.4, 232.7, 335.8, 523.2, 872.3, 1655.4", \ + " 246.6, 295.7, 407.0, 609.3, 946.6, 1707.9", \ + " 333.3, 387.7, 508.7, 734.7, 1071.6, 1807.3" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 32.1, 57.9, 114.8, 215.2, 428.4", \ + " 28.6, 38.9, 65.0, 122.2, 222.9, 436.2", \ + " 31.9, 44.4, 70.4, 127.7, 228.5, 441.9", \ + " 34.9, 49.8, 77.9, 135.1, 235.9, 449.4", \ + " 38.5, 56.4, 90.6, 148.2, 249.0, 462.5", \ + " 41.8, 62.8, 103.9, 167.5, 268.0, 481.3", \ + " 44.7, 69.4, 118.1, 194.8, 296.9, 509.8", \ + " 46.3, 75.5, 132.6, 224.3, 340.1, 552.2", \ + " 45.8, 80.2, 147.5, 255.9, 396.0, 616.6", \ + " 41.4, 82.1, 161.7, 289.6, 457.1, 715.1" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.8, 51.5, 114.7, 257.1, 510.1, 1047.9", \ + " 33.7, 54.9, 115.4, 257.1, 510.1, 1047.9", \ + " 41.5, 61.1, 119.1, 257.5, 510.1, 1047.9", \ + " 51.4, 71.4, 126.5, 261.0, 510.1, 1047.9", \ + " 65.9, 90.5, 143.0, 271.7, 514.3, 1047.9", \ + " 85.6, 113.6, 170.2, 292.4, 527.1, 1049.9", \ + " 113.9, 145.6, 211.3, 329.9, 554.6, 1063.4", \ + " 153.2, 189.5, 264.2, 391.0, 604.9, 1096.4", \ + " 209.2, 251.3, 336.3, 482.1, 692.0, 1162.1", \ + " 291.1, 339.5, 437.7, 603.9, 833.8, 1282.3" ); }} +} +} +cell(nr2v1x05) { /* 2008-01-06:07h45 characteristic delay 14.0 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 335 ; /* nr2v1x05 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x05 FO4 effort 1.51 logical effort 1.65 */ +direction : input ; +capacitance : 2.56 ; +rise_capacitance : 2.56 ; +fall_capacitance : 2.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x05 */ +} +pin(b) { /* nr2v1x05 FO4 effort 1.41 logical effort 1.65 */ +direction : input ; +capacitance : 2.56 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.76 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x05 6.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 3.34, 3.36, 3.39, 3.40, 3.40", \ + " 3.22, 3.25, 3.29, 3.33, 3.34", \ + " 3.21, 3.23, 3.27, 3.30, 3.32", \ + " 3.23, 3.23, 3.26, 3.29, 3.31", \ + " 3.35, 3.32, 3.30, 3.30, 3.31", \ + " 3.64, 3.56, 3.46, 3.39, 3.36", \ + " 4.23, 4.08, 3.85, 3.64, 3.51", \ + " 5.27, 5.02, 4.61, 4.18, 3.87", \ + " 6.97, 6.63, 6.01, 5.26, 4.64", \ + " 9.69, 9.25, 8.39, 7.23, 6.16" ); }} +internal_power(b_z_n) { /* nr2v1x05 4.49 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.16, 2.24, 2.33, 2.37, 2.39", \ + " 2.08, 2.14, 2.24, 2.33, 2.37", \ + " 2.11, 2.15, 2.23, 2.31, 2.36", \ + " 2.21, 2.21, 2.24, 2.31, 2.35", \ + " 2.45, 2.39, 2.35, 2.35, 2.37", \ + " 2.89, 2.75, 2.60, 2.49, 2.45", \ + " 3.64, 3.41, 3.09, 2.82, 2.65", \ + " 4.82, 4.50, 3.98, 3.46, 3.09", \ + " 6.70, 6.27, 5.51, 4.65, 3.97", \ + " 9.64, 9.10, 8.08, 6.76, 5.61" ); }} +timing() { /* ring osc delay nr2v1x05, path a to z 70.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.1 ; */ +/* intrinsic_fall : 40.3 ; */ +/* rise_resistance : 7.77 ; */ +/* fall_resistance : 3.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 58.1, 97.3, 184.5, 339.2, 667.7", \ + " 50.1, 65.1, 104.4, 192.0, 346.9, 675.5", \ + " 55.0, 69.9, 109.2, 196.8, 351.8, 680.5", \ + " 60.6, 76.3, 115.4, 203.0, 358.1, 686.8", \ + " 68.1, 85.9, 126.4, 213.7, 368.7, 697.5", \ + " 77.7, 97.4, 142.0, 229.5, 384.1, 712.8", \ + " 91.6, 113.4, 162.3, 254.0, 407.9, 736.0", \ + " 111.2, 135.6, 189.2, 289.1, 444.0, 770.9", \ + " 138.8, 166.6, 226.5, 335.6, 500.0, 824.9", \ + " 177.0, 209.5, 278.0, 398.8, 578.2, 909.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.5, 120.8, 231.6, 481.9, 927.2, 1873.6", \ + " 81.1, 121.5, 231.8, 481.9, 927.2, 1873.6", \ + " 84.9, 124.6, 233.1, 482.0, 927.2, 1873.6", \ + " 90.9, 129.8, 236.8, 483.1, 927.2, 1873.6", \ + " 104.0, 140.9, 245.6, 488.5, 928.4, 1873.6", \ + " 125.7, 160.6, 261.2, 499.7, 934.6, 1873.8", \ + " 154.2, 193.0, 289.3, 521.1, 949.2, 1879.5", \ + " 195.2, 235.1, 336.6, 558.7, 977.5, 1896.0", \ + " 252.7, 294.1, 401.0, 622.4, 1029.4, 1931.7", \ + " 334.9, 378.2, 490.1, 724.7, 1119.4, 2000.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.1, 28.9, 46.0, 83.1, 148.4, 286.7", \ + " 29.4, 36.1, 53.2, 90.4, 155.7, 293.9", \ + " 33.8, 41.6, 58.8, 96.0, 161.3, 299.5", \ + " 37.8, 47.2, 66.4, 103.5, 168.8, 307.0", \ + " 42.2, 53.7, 77.5, 116.9, 182.1, 320.2", \ + " 45.7, 59.4, 88.4, 135.6, 201.3, 339.2", \ + " 47.8, 64.1, 98.8, 156.4, 230.4, 367.9", \ + " 47.3, 66.5, 107.8, 177.1, 267.4, 410.5", \ + " 42.5, 65.2, 114.1, 196.9, 306.2, 474.4", \ + " 30.5, 57.3, 115.2, 213.7, 345.1, 550.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.1, 51.3, 92.1, 184.1, 347.8, 696.0", \ + " 43.2, 56.9, 95.0, 184.6, 347.9, 696.0", \ + " 51.3, 64.2, 100.5, 187.4, 348.1, 696.0", \ + " 62.7, 75.4, 109.8, 193.7, 350.8, 696.0", \ + " 80.0, 95.2, 129.1, 208.4, 360.0, 697.8", \ + " 102.2, 120.0, 158.6, 234.0, 379.0, 707.5", \ + " 132.7, 153.6, 198.5, 277.1, 414.5, 731.1", \ + " 174.2, 198.7, 250.8, 341.4, 474.9, 776.7", \ + " 232.4, 261.6, 322.5, 427.3, 572.3, 859.3", \ + " 315.4, 350.7, 422.7, 544.2, 712.6, 1000.7" ); }} +timing() { /* ring osc delay nr2v1x05, path b to z 61.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.1 ; */ +/* intrinsic_fall : 34.6 ; */ +/* rise_resistance : 7.75 ; */ +/* fall_resistance : 3.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.5, 49.9, 89.7, 177.3, 332.2, 660.7", \ + " 40.4, 55.3, 94.9, 182.9, 338.0, 666.8", \ + " 46.4, 60.8, 99.8, 187.6, 342.8, 671.6", \ + " 52.6, 68.7, 107.0, 194.2, 349.3, 678.1", \ + " 62.1, 80.7, 120.6, 206.7, 361.2, 689.8", \ + " 74.4, 95.2, 140.5, 225.7, 379.1, 707.0", \ + " 91.2, 114.5, 165.6, 255.8, 407.2, 733.6", \ + " 113.8, 140.0, 197.4, 299.6, 450.5, 774.2", \ + " 144.7, 174.9, 239.5, 355.0, 518.0, 837.5", \ + " 187.9, 223.0, 296.9, 427.0, 613.4, 937.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 76.3, 117.0, 225.7, 470.5, 905.7, 1830.6", \ + " 81.2, 119.6, 225.6, 470.5, 905.7, 1830.6", \ + " 87.7, 125.0, 228.6, 470.5, 905.7, 1830.6", \ + " 97.0, 133.1, 234.5, 472.1, 905.7, 1830.6", \ + " 114.8, 148.9, 247.1, 479.6, 906.6, 1830.6", \ + " 137.9, 173.4, 267.9, 494.7, 914.3, 1830.6", \ + " 161.7, 207.1, 302.1, 522.3, 933.2, 1835.5", \ + " 197.7, 245.5, 355.3, 568.6, 969.0, 1855.7", \ + " 251.6, 301.7, 421.7, 643.6, 1032.9, 1900.5", \ + " 331.4, 384.8, 512.7, 759.9, 1141.6, 1985.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.5, 24.9, 41.4, 78.2, 143.2, 281.2", \ + " 24.9, 32.1, 48.8, 85.7, 150.8, 288.9", \ + " 27.6, 36.7, 54.4, 91.3, 156.4, 294.5", \ + " 29.7, 40.6, 61.8, 98.8, 164.0, 302.1", \ + " 31.5, 44.9, 71.3, 112.2, 177.2, 315.3", \ + " 31.9, 47.9, 80.0, 130.0, 196.3, 334.2", \ + " 29.9, 49.2, 87.8, 149.0, 225.3, 362.8", \ + " 24.1, 47.1, 93.4, 167.3, 260.7, 405.3", \ + " 12.0, 39.5, 95.0, 183.8, 297.3, 468.6", \ + " -10.3, 22.4, 88.8, 195.6, 332.8, 542.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 24.6, 39.9, 80.8, 172.9, 336.7, 684.9", \ + " 32.2, 45.4, 83.4, 173.2, 336.8, 684.9", \ + " 40.7, 52.8, 88.8, 175.9, 336.8, 684.9", \ + " 49.8, 64.3, 98.0, 182.0, 339.3, 684.9", \ + " 64.9, 81.8, 117.1, 196.4, 348.3, 686.4", \ + " 85.2, 104.5, 145.8, 221.9, 367.1, 695.8", \ + " 113.7, 136.3, 183.7, 264.8, 402.4, 719.1", \ + " 153.0, 179.6, 234.1, 327.8, 462.5, 764.6", \ + " 208.3, 240.1, 303.9, 411.5, 559.9, 847.0", \ + " 287.8, 325.7, 401.8, 526.3, 697.8, 988.2" ); }} +} +} +cell(nr2v1x1) { /* 2008-01-06:07h45 characteristic delay 13.4 ps */ +area : 4 ; /* tracks */ +cell_leakage_power : 647 ; /* nr2v1x1 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x1 FO4 effort 1.45 logical effort 1.60 */ +direction : input ; +capacitance : 4.69 ; +rise_capacitance : 4.68 ; +fall_capacitance : 4.69 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x1 */ +} +pin(b) { /* nr2v1x1 FO4 effort 1.34 logical effort 1.57 */ +direction : input ; +capacitance : 4.60 ; +rise_capacitance : 4.22 ; +fall_capacitance : 4.98 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 106 ; +max_fanout : 4 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x1 11.59 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 5.95, 6.00, 6.05, 6.09, 6.09", \ + " 5.72, 5.77, 5.86, 5.93, 5.96", \ + " 5.70, 5.73, 5.80, 5.88, 5.92", \ + " 5.75, 5.76, 5.79, 5.85, 5.90", \ + " 6.00, 5.95, 5.90, 5.89, 5.90", \ + " 6.60, 6.45, 6.25, 6.10, 6.02", \ + " 7.79, 7.51, 7.07, 6.64, 6.36", \ + " 9.83, 9.40, 8.65, 7.80, 7.15", \ + " 13.15, 12.57, 11.46, 10.03, 8.81", \ + " 18.41, 17.67, 16.17, 14.05, 12.00" ); }} +internal_power(b_z_n) { /* nr2v1x1 7.84 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 3.74, 3.88, 4.04, 4.14, 4.18", \ + " 3.61, 3.71, 3.88, 4.04, 4.13", \ + " 3.70, 3.74, 3.86, 4.01, 4.11", \ + " 3.90, 3.89, 3.92, 4.01, 4.10", \ + " 4.41, 4.28, 4.16, 4.13, 4.15", \ + " 5.28, 5.03, 4.70, 4.45, 4.33", \ + " 6.76, 6.35, 5.74, 5.17, 4.80", \ + " 9.07, 8.51, 7.55, 6.52, 5.76", \ + " 12.72, 11.97, 10.62, 8.97, 7.61", \ + " 18.40, 17.48, 15.69, 13.26, 11.03" ); }} +timing() { /* ring osc delay nr2v1x1, path a to z 53.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.4 ; */ +/* intrinsic_fall : 37.5 ; */ +/* rise_resistance : 4.17 ; */ +/* fall_resistance : 1.69 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.8, 53.8, 87.5, 162.4, 295.1, 576.7", \ + " 47.7, 60.6, 94.5, 169.7, 302.6, 584.4", \ + " 52.6, 65.5, 99.3, 174.5, 307.5, 589.4", \ + " 58.0, 71.8, 105.5, 180.7, 313.7, 595.6", \ + " 65.2, 80.9, 116.5, 191.4, 324.3, 606.3", \ + " 74.8, 92.1, 131.5, 207.3, 339.8, 621.6", \ + " 88.9, 108.0, 151.1, 232.2, 363.9, 644.9", \ + " 109.0, 130.4, 177.7, 265.9, 400.6, 680.3", \ + " 137.3, 161.9, 214.8, 311.1, 456.3, 735.1", \ + " 176.8, 205.5, 266.3, 373.4, 531.7, 820.9" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 73.3, 108.6, 203.5, 417.9, 799.6, 1610.8", \ + " 75.0, 109.4, 203.7, 418.0, 799.6, 1610.8", \ + " 79.0, 112.8, 205.4, 418.1, 799.6, 1610.8", \ + " 85.3, 118.3, 209.6, 419.9, 799.7, 1610.8", \ + " 98.9, 129.8, 218.8, 426.1, 801.9, 1610.8", \ + " 120.0, 150.4, 235.1, 438.2, 809.3, 1611.9", \ + " 148.0, 181.5, 264.3, 460.8, 825.5, 1619.7", \ + " 188.0, 222.5, 311.1, 499.8, 855.8, 1638.8", \ + " 244.0, 279.7, 372.6, 564.9, 910.0, 1677.9", \ + " 324.8, 361.8, 458.6, 663.9, 1002.1, 1751.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 25.3, 39.1, 69.0, 121.3, 232.0", \ + " 27.0, 32.6, 46.3, 76.2, 128.6, 239.2", \ + " 30.8, 37.6, 52.0, 81.8, 134.2, 244.8", \ + " 34.2, 42.4, 59.3, 89.4, 141.7, 252.3", \ + " 37.8, 47.8, 68.7, 102.9, 155.0, 265.6", \ + " 40.2, 52.1, 77.5, 119.4, 174.3, 284.6", \ + " 40.9, 55.1, 85.5, 136.4, 202.4, 313.4", \ + " 38.5, 55.3, 91.5, 152.7, 233.0, 356.1", \ + " 31.3, 51.0, 93.9, 167.0, 264.0, 414.2", \ + " 15.9, 39.2, 90.0, 177.0, 293.4, 476.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.7, 43.7, 76.3, 149.9, 280.8, 559.3", \ + " 39.4, 50.2, 80.2, 151.0, 280.9, 559.3", \ + " 48.0, 57.9, 86.3, 154.8, 281.9, 559.3", \ + " 58.8, 69.7, 96.4, 162.0, 285.9, 559.5", \ + " 75.3, 88.3, 116.6, 178.3, 297.2, 563.8", \ + " 96.8, 112.0, 145.1, 205.8, 318.6, 576.7", \ + " 126.5, 144.4, 183.0, 250.3, 357.4, 604.5", \ + " 166.9, 188.1, 233.0, 311.1, 420.9, 655.6", \ + " 223.7, 249.1, 301.9, 392.4, 518.1, 744.8", \ + " 304.9, 335.7, 398.7, 504.1, 649.8, 891.9" ); }} +timing() { /* ring osc delay nr2v1x1, path b to z 44.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.6 ; */ +/* intrinsic_fall : 31.6 ; */ +/* rise_resistance : 4.14 ; */ +/* fall_resistance : 1.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.2, 79.5, 154.7, 287.6, 569.3", \ + " 38.1, 50.8, 84.7, 160.2, 293.4, 575.3", \ + " 44.0, 56.4, 89.7, 165.0, 298.1, 580.1", \ + " 49.9, 64.5, 97.1, 171.8, 304.7, 586.6", \ + " 59.3, 75.8, 111.1, 184.5, 316.8, 598.4", \ + " 71.6, 89.9, 130.3, 204.0, 335.0, 615.7", \ + " 88.6, 109.0, 154.4, 234.7, 363.7, 642.8", \ + " 111.5, 134.7, 185.3, 276.6, 407.9, 684.1", \ + " 143.2, 169.8, 227.0, 329.7, 476.1, 748.8", \ + " 187.6, 218.7, 284.4, 399.8, 566.3, 850.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 70.1, 105.1, 198.3, 408.0, 781.1, 1573.8", \ + " 75.6, 108.3, 198.5, 408.0, 781.1, 1573.8", \ + " 82.1, 113.8, 202.1, 407.9, 781.1, 1573.8", \ + " 91.4, 122.1, 208.4, 410.8, 781.0, 1573.8", \ + " 109.4, 138.0, 221.5, 419.4, 783.2, 1573.8", \ + " 129.7, 162.8, 242.7, 435.5, 792.8, 1574.1", \ + " 152.8, 192.6, 277.0, 464.2, 813.7, 1582.6", \ + " 187.8, 229.5, 328.2, 511.3, 851.6, 1606.5", \ + " 240.4, 283.9, 389.3, 586.1, 917.7, 1655.2", \ + " 318.3, 364.6, 476.4, 695.6, 1027.2, 1745.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.4, 21.6, 34.9, 64.3, 116.3, 226.7", \ + " 22.4, 28.8, 42.3, 71.8, 124.0, 234.4", \ + " 24.6, 32.5, 47.9, 77.5, 129.6, 240.1", \ + " 26.1, 35.7, 54.4, 85.0, 137.1, 247.6", \ + " 27.1, 38.8, 62.1, 98.4, 150.4, 260.8", \ + " 26.4, 40.5, 68.8, 113.4, 169.6, 279.8", \ + " 23.0, 39.9, 74.1, 128.6, 196.9, 308.6", \ + " 15.3, 35.6, 76.7, 142.4, 225.8, 351.2", \ + " 0.8, 24.9, 74.1, 153.2, 254.4, 408.0", \ + " -25.0, 3.8, 62.7, 157.9, 280.2, 467.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 21.2, 33.2, 65.9, 139.6, 270.7, 549.2", \ + " 29.5, 39.7, 69.6, 140.5, 270.7, 549.2", \ + " 37.5, 47.7, 75.7, 144.2, 271.5, 549.2", \ + " 46.2, 58.7, 85.7, 151.3, 275.3, 549.2", \ + " 60.8, 75.2, 105.8, 167.4, 286.4, 553.3", \ + " 80.4, 97.0, 132.5, 194.6, 307.7, 566.0", \ + " 108.1, 127.7, 168.4, 238.8, 346.2, 593.6", \ + " 146.2, 169.5, 216.8, 297.6, 409.4, 644.4", \ + " 200.1, 227.9, 283.9, 376.9, 505.5, 733.5", \ + " 277.7, 310.8, 378.0, 486.7, 635.0, 880.3" ); }} +} +} +cell(nr2v1x2) { /* 2008-01-06:07h45 characteristic delay 13.7 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1224 ; /* nr2v1x2 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x2 FO4 effort 1.48 logical effort 1.67 */ +direction : input ; +capacitance : 9.33 ; +rise_capacitance : 9.30 ; +fall_capacitance : 9.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x2 */ +} +pin(b) { /* nr2v1x2 FO4 effort 1.32 logical effort 1.57 */ +direction : input ; +capacitance : 8.76 ; +rise_capacitance : 8.06 ; +fall_capacitance : 9.46 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 206 ; +max_fanout : 6 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x2 21.03 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 10.81, 10.93, 11.06, 11.13, 11.14", \ + " 10.36, 10.47, 10.66, 10.82, 10.90", \ + " 10.30, 10.38, 10.55, 10.72, 10.81", \ + " 10.40, 10.42, 10.52, 10.66, 10.76", \ + " 10.89, 10.78, 10.70, 10.71, 10.76", \ + " 12.04, 11.74, 11.35, 11.07, 10.95", \ + " 14.32, 13.74, 12.88, 12.07, 11.56", \ + " 18.22, 17.34, 15.84, 14.20, 13.00", \ + " 24.57, 23.37, 21.14, 18.37, 16.05", \ + " 34.59, 33.07, 30.06, 25.90, 21.96" ); }} +internal_power(b_z_n) { /* nr2v1x2 13.64 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 6.42, 6.72, 7.04, 7.23, 7.29", \ + " 6.21, 6.40, 6.75, 7.06, 7.22", \ + " 6.39, 6.48, 6.71, 7.00, 7.18", \ + " 6.81, 6.75, 6.82, 7.01, 7.16", \ + " 7.80, 7.52, 7.28, 7.21, 7.25", \ + " 9.50, 8.95, 8.29, 7.82, 7.59", \ + " 12.34, 11.49, 10.25, 9.14, 8.44", \ + " 16.79, 15.61, 13.67, 11.67, 10.21", \ + " 23.76, 22.22, 19.49, 16.26, 13.66", \ + " 34.61, 32.71, 29.09, 24.32, 20.03" ); }} +timing() { /* ring osc delay nr2v1x2, path a to z 51.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.8 ; */ +/* intrinsic_fall : 37.5 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.4, 52.9, 88.0, 165.7, 303.3, 595.3", \ + " 46.2, 59.7, 94.9, 172.9, 310.8, 603.0", \ + " 51.1, 64.6, 99.6, 177.7, 315.7, 608.0", \ + " 56.1, 70.8, 105.8, 183.8, 321.8, 614.2", \ + " 63.0, 79.7, 116.8, 194.5, 332.5, 624.9", \ + " 72.1, 90.5, 131.7, 210.3, 347.9, 640.1", \ + " 85.6, 105.9, 151.1, 235.1, 371.8, 663.4", \ + " 104.8, 127.7, 177.2, 268.8, 408.3, 698.5", \ + " 131.9, 158.1, 213.7, 313.7, 463.9, 753.0", \ + " 169.5, 200.2, 264.2, 375.4, 539.2, 838.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.5, 104.1, 202.6, 425.0, 820.8, 1662.1", \ + " 69.4, 105.0, 202.8, 425.0, 820.8, 1662.1", \ + " 73.6, 108.5, 204.4, 425.2, 820.8, 1662.1", \ + " 80.1, 114.1, 208.6, 426.8, 820.9, 1662.1", \ + " 94.3, 125.9, 218.0, 433.0, 822.8, 1662.1", \ + " 114.9, 147.0, 234.4, 445.0, 830.1, 1662.9", \ + " 142.7, 177.8, 263.8, 467.6, 846.1, 1670.3", \ + " 182.8, 218.7, 311.0, 506.6, 876.2, 1689.0", \ + " 239.1, 276.3, 372.8, 571.9, 930.2, 1727.5", \ + " 320.2, 358.8, 459.6, 672.1, 1022.5, 1800.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.7, 25.7, 40.6, 72.8, 129.1, 248.2", \ + " 26.9, 32.9, 47.8, 80.0, 136.3, 255.5", \ + " 30.6, 38.0, 53.4, 85.6, 141.9, 261.1", \ + " 33.9, 42.8, 60.8, 93.1, 149.4, 268.6", \ + " 37.4, 48.2, 70.5, 106.5, 162.7, 281.8", \ + " 39.9, 52.7, 79.7, 123.8, 182.0, 300.8", \ + " 40.6, 55.9, 88.2, 141.8, 210.6, 329.6", \ + " 38.5, 56.5, 94.9, 159.2, 243.1, 372.3", \ + " 31.8, 53.0, 98.4, 175.1, 276.4, 432.8", \ + " 17.2, 42.2, 96.0, 187.2, 308.7, 499.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.9, 43.8, 79.0, 158.2, 299.2, 599.2", \ + " 38.7, 50.1, 82.6, 159.1, 299.3, 599.2", \ + " 47.2, 57.8, 88.6, 162.6, 300.0, 599.2", \ + " 57.9, 69.6, 98.5, 169.6, 303.6, 599.3", \ + " 74.3, 88.3, 118.5, 185.4, 314.2, 602.7", \ + " 95.6, 112.0, 147.3, 212.3, 334.9, 614.6", \ + " 125.0, 144.3, 185.5, 256.6, 372.7, 641.1", \ + " 165.2, 188.0, 235.9, 318.5, 435.4, 690.4", \ + " 221.7, 249.1, 305.3, 400.9, 533.1, 777.6", \ + " 302.4, 335.6, 402.7, 513.9, 667.2, 923.0" ); }} +timing() { /* ring osc delay nr2v1x2, path b to z 42.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.4 ; */ +/* intrinsic_fall : 31.0 ; */ +/* rise_resistance : 2.15 ; */ +/* fall_resistance : 0.92 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 43.6, 79.1, 157.1, 294.8, 586.9", \ + " 36.1, 49.3, 84.4, 162.7, 300.7, 593.0", \ + " 41.7, 54.9, 89.5, 167.4, 305.4, 597.8", \ + " 47.4, 62.8, 96.8, 174.2, 312.0, 604.3", \ + " 56.4, 73.8, 110.7, 186.9, 324.1, 616.1", \ + " 68.3, 87.7, 129.8, 206.3, 342.2, 633.4", \ + " 84.6, 106.3, 153.7, 236.9, 370.8, 660.4", \ + " 106.6, 131.2, 184.3, 278.8, 414.7, 701.5", \ + " 136.9, 165.4, 225.4, 331.8, 482.8, 765.7", \ + " 179.4, 212.7, 281.7, 401.5, 573.4, 867.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.5, 100.8, 197.4, 415.0, 801.8, 1623.9", \ + " 70.5, 104.2, 197.8, 415.0, 801.8, 1623.9", \ + " 77.1, 109.9, 201.3, 414.9, 801.8, 1623.9", \ + " 86.7, 118.3, 207.6, 417.6, 801.8, 1623.9", \ + " 105.6, 134.5, 220.8, 426.1, 803.8, 1623.9", \ + " 123.3, 159.8, 242.2, 442.1, 813.0, 1624.0", \ + " 146.5, 188.4, 276.8, 470.8, 833.6, 1631.9", \ + " 181.8, 225.5, 328.2, 518.2, 871.4, 1655.1", \ + " 234.5, 280.3, 390.1, 593.6, 937.5, 1703.2", \ + " 312.6, 361.5, 478.1, 704.7, 1047.6, 1792.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.8, 21.4, 35.8, 67.4, 123.5, 242.4", \ + " 21.5, 28.6, 43.2, 75.0, 131.1, 250.1", \ + " 23.5, 32.2, 48.8, 80.6, 136.7, 255.7", \ + " 25.0, 35.4, 55.5, 88.1, 144.3, 263.2", \ + " 25.8, 38.5, 63.4, 101.5, 157.5, 276.5", \ + " 24.9, 40.3, 70.5, 117.4, 176.7, 295.4", \ + " 21.5, 39.9, 76.3, 133.5, 204.8, 324.2", \ + " 13.9, 35.9, 79.5, 148.5, 235.6, 366.7", \ + " -0.4, 25.8, 78.0, 160.9, 266.5, 426.2", \ + " -25.6, 5.5, 68.0, 167.8, 295.3, 490.4" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 20.0, 33.0, 68.3, 147.6, 288.7, 588.6", \ + " 28.7, 39.6, 71.8, 148.4, 288.7, 588.6", \ + " 36.4, 47.5, 77.8, 151.8, 289.3, 588.7", \ + " 45.0, 58.5, 87.7, 158.6, 292.8, 588.6", \ + " 59.4, 75.0, 107.6, 174.3, 303.3, 591.9", \ + " 78.9, 96.9, 134.7, 201.1, 323.8, 603.6", \ + " 106.3, 127.5, 170.9, 245.3, 361.5, 629.9", \ + " 144.1, 169.3, 219.6, 305.1, 423.8, 679.1", \ + " 197.6, 227.7, 287.2, 385.5, 520.8, 766.2", \ + " 275.2, 310.7, 382.0, 496.5, 652.6, 911.4" ); }} +} +} +cell(nr2v1x3) { /* 2008-01-06:07h45 characteristic delay 13.4 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1894 ; /* nr2v1x3 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x3 FO4 effort 1.44 logical effort 1.60 */ +direction : input ; +capacitance : 13.89 ; +rise_capacitance : 13.88 ; +fall_capacitance : 13.91 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x3 */ +} +pin(b) { /* nr2v1x3 FO4 effort 1.32 logical effort 1.57 */ +direction : input ; +capacitance : 13.62 ; +rise_capacitance : 12.52 ; +fall_capacitance : 14.71 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 321 ; +max_fanout : 8 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x3 32.49 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 16.69, 16.86, 17.04, 17.14, 17.16", \ + " 16.02, 16.18, 16.44, 16.67, 16.78", \ + " 15.94, 16.05, 16.28, 16.52, 16.66", \ + " 16.10, 16.12, 16.25, 16.44, 16.58", \ + " 16.86, 16.70, 16.56, 16.54, 16.59", \ + " 18.65, 18.19, 17.58, 17.13, 16.90", \ + " 22.18, 21.31, 19.97, 18.70, 17.88", \ + " 28.23, 26.89, 24.60, 22.06, 20.17", \ + " 38.06, 36.24, 32.85, 28.58, 24.98", \ + " 53.58, 51.29, 46.72, 40.34, 34.25" ); }} +internal_power(b_z_n) { /* nr2v1x3 21.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 9.97, 10.44, 10.96, 11.26, 11.37", \ + " 9.62, 9.93, 10.48, 10.98, 11.25", \ + " 9.90, 10.04, 10.42, 10.88, 11.18", \ + " 10.54, 10.47, 10.58, 10.89, 11.15", \ + " 12.08, 11.66, 11.30, 11.21, 11.28", \ + " 14.71, 13.89, 12.88, 12.15, 11.81", \ + " 19.11, 17.82, 15.93, 14.23, 13.14", \ + " 26.01, 24.22, 21.27, 18.18, 15.93", \ + " 36.81, 34.49, 30.33, 25.35, 21.32", \ + " 53.62, 50.75, 45.25, 37.93, 31.29" ); }} +timing() { /* ring osc delay nr2v1x3, path a to z 51.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.59 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.7, 51.7, 85.5, 160.4, 293.1, 574.7", \ + " 45.6, 58.6, 92.5, 167.7, 300.6, 582.4", \ + " 50.5, 63.5, 97.3, 172.5, 305.5, 587.4", \ + " 55.5, 69.8, 103.5, 178.7, 311.7, 593.6", \ + " 62.3, 78.4, 114.5, 189.4, 322.4, 604.3", \ + " 71.4, 89.2, 129.2, 205.3, 337.8, 619.6", \ + " 84.7, 104.5, 148.3, 230.0, 361.8, 642.9", \ + " 103.8, 125.9, 174.2, 263.2, 398.3, 678.1", \ + " 130.5, 156.0, 210.2, 307.6, 453.5, 732.6", \ + " 167.7, 197.6, 260.0, 368.5, 527.8, 818.0" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 67.1, 102.3, 197.2, 411.6, 793.3, 1604.5", \ + " 69.0, 103.2, 197.4, 411.7, 793.3, 1604.5", \ + " 73.2, 106.8, 199.2, 411.8, 793.3, 1604.5", \ + " 79.7, 112.4, 203.4, 413.7, 793.4, 1604.5", \ + " 93.9, 124.2, 212.8, 419.9, 795.6, 1604.5", \ + " 114.2, 145.5, 229.4, 432.2, 803.1, 1605.6", \ + " 142.2, 176.0, 259.1, 455.1, 819.5, 1613.5", \ + " 182.6, 217.1, 306.1, 494.6, 850.1, 1632.8", \ + " 239.1, 274.9, 368.0, 560.5, 904.9, 1672.2", \ + " 320.5, 357.6, 454.8, 660.3, 998.1, 1746.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.7, 25.5, 40.1, 71.4, 126.4, 242.5", \ + " 26.8, 32.8, 47.2, 78.7, 133.6, 249.8", \ + " 30.6, 37.8, 52.9, 84.2, 139.2, 255.4", \ + " 34.0, 42.6, 60.3, 91.8, 146.7, 262.9", \ + " 37.5, 48.0, 69.9, 105.2, 160.0, 276.1", \ + " 40.0, 52.5, 79.0, 122.2, 179.3, 295.1", \ + " 40.9, 55.8, 87.4, 140.0, 207.8, 323.9", \ + " 39.0, 56.5, 94.0, 157.1, 239.7, 366.7", \ + " 32.5, 53.1, 97.5, 172.7, 272.3, 426.5", \ + " 18.3, 42.6, 95.1, 184.5, 303.9, 491.5" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.9, 43.5, 77.8, 155.0, 292.5, 585.0", \ + " 38.7, 49.9, 81.5, 156.0, 292.6, 585.0", \ + " 47.2, 57.6, 87.5, 159.6, 293.4, 585.0", \ + " 57.8, 69.3, 97.5, 166.7, 297.1, 585.1", \ + " 74.2, 88.0, 117.5, 182.6, 308.0, 588.8", \ + " 95.5, 111.6, 146.2, 209.8, 329.0, 601.1", \ + " 124.9, 143.8, 184.2, 254.1, 367.0, 628.0", \ + " 165.0, 187.4, 234.3, 315.5, 429.9, 677.8", \ + " 221.4, 248.2, 303.4, 397.3, 527.4, 765.7", \ + " 302.0, 334.6, 400.4, 509.7, 660.4, 911.5" ); }} +timing() { /* ring osc delay nr2v1x3, path b to z 42.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.3 ; */ +/* intrinsic_fall : 31.2 ; */ +/* rise_resistance : 1.38 ; */ +/* fall_resistance : 0.60 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 43.0, 77.3, 152.6, 285.4, 567.1", \ + " 35.9, 48.6, 82.6, 158.1, 291.2, 573.1", \ + " 41.5, 54.3, 87.6, 162.8, 295.9, 577.9", \ + " 47.1, 62.1, 95.0, 169.6, 302.5, 584.5", \ + " 56.0, 72.9, 108.9, 182.4, 314.6, 596.2", \ + " 67.7, 86.6, 127.7, 201.8, 332.8, 613.6", \ + " 83.9, 105.0, 151.2, 232.4, 361.4, 640.5", \ + " 105.6, 129.7, 181.3, 273.6, 405.4, 681.7", \ + " 135.6, 163.4, 221.9, 325.7, 473.1, 746.0", \ + " 177.7, 210.1, 277.4, 394.4, 562.0, 847.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.0, 98.9, 192.1, 401.9, 774.9, 1567.6", \ + " 70.0, 102.4, 192.5, 401.9, 774.9, 1567.6", \ + " 76.7, 108.2, 196.1, 401.8, 774.9, 1567.6", \ + " 86.4, 116.7, 202.6, 404.7, 774.9, 1567.6", \ + " 105.5, 133.0, 216.0, 413.5, 777.2, 1567.6", \ + " 123.1, 158.8, 237.7, 429.9, 786.9, 1568.0", \ + " 146.3, 186.9, 272.6, 459.1, 808.0, 1576.6", \ + " 181.7, 223.9, 323.6, 507.0, 846.5, 1600.8", \ + " 234.5, 278.8, 385.1, 582.9, 913.5, 1650.0", \ + " 312.9, 360.1, 473.0, 693.1, 1024.6, 1741.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 16.0, 21.5, 35.5, 66.4, 121.0, 237.0", \ + " 21.7, 28.6, 42.9, 73.9, 128.6, 244.6", \ + " 23.8, 32.3, 48.5, 79.5, 134.3, 250.3", \ + " 25.2, 35.4, 55.1, 87.1, 141.8, 257.8", \ + " 26.0, 38.5, 62.9, 100.5, 155.1, 271.0", \ + " 25.2, 40.2, 69.8, 116.0, 174.2, 290.0", \ + " 21.9, 39.8, 75.5, 131.8, 202.1, 318.7", \ + " 14.4, 35.9, 78.6, 146.4, 232.3, 361.3", \ + " 0.3, 25.8, 77.0, 158.5, 262.5, 420.0", \ + " -24.6, 5.8, 67.0, 165.0, 290.5, 482.8" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.9, 32.6, 67.0, 144.3, 281.9, 574.3", \ + " 28.5, 39.2, 70.5, 145.1, 281.9, 574.3", \ + " 36.2, 47.0, 76.5, 148.6, 282.5, 574.3", \ + " 44.8, 58.0, 86.4, 155.5, 286.1, 574.3", \ + " 59.1, 74.4, 106.4, 171.3, 296.8, 577.8", \ + " 78.5, 96.1, 133.3, 198.2, 317.6, 589.9", \ + " 105.8, 126.6, 169.3, 242.4, 355.5, 616.6", \ + " 143.6, 168.2, 217.7, 301.7, 418.1, 666.3", \ + " 197.0, 226.5, 285.0, 381.5, 514.7, 754.0", \ + " 274.5, 309.3, 379.3, 492.0, 645.4, 899.5" ); }} +} +} +cell(nr2v1x4) { /* 2008-01-06:07h45 characteristic delay 13.5 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 2472 ; /* nr2v1x4 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x4 FO4 effort 1.45 logical effort 1.63 */ +direction : input ; +capacitance : 18.33 ; +rise_capacitance : 18.28 ; +fall_capacitance : 18.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x4 */ +} +pin(b) { /* nr2v1x4 FO4 effort 1.31 logical effort 1.56 */ +direction : input ; +capacitance : 17.57 ; +rise_capacitance : 16.15 ; +fall_capacitance : 18.99 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 414 ; +max_fanout : 10 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x4 41.21 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 21.17, 21.41, 21.67, 21.81, 21.84", \ + " 20.28, 20.51, 20.88, 21.20, 21.34", \ + " 20.17, 20.34, 20.65, 20.99, 21.18", \ + " 20.39, 20.43, 20.61, 20.87, 21.07", \ + " 21.41, 21.19, 21.00, 21.00, 21.07", \ + " 23.77, 23.14, 22.34, 21.75, 21.47", \ + " 28.42, 27.23, 25.45, 23.78, 22.73", \ + " 36.34, 34.53, 31.47, 28.13, 25.68", \ + " 49.18, 46.73, 42.21, 36.60, 31.88", \ + " 69.42, 66.34, 60.26, 51.86, 43.88" ); }} +internal_power(b_z_n) { /* nr2v1x4 26.58 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 12.43, 13.06, 13.74, 14.13, 14.26", \ + " 12.03, 12.43, 13.14, 13.78, 14.11", \ + " 12.41, 12.58, 13.06, 13.65, 14.02", \ + " 13.27, 13.16, 13.29, 13.67, 13.99", \ + " 15.30, 14.73, 14.23, 14.09, 14.17", \ + " 18.77, 17.65, 16.28, 15.32, 14.86", \ + " 24.53, 22.79, 20.26, 18.02, 16.59", \ + " 33.54, 31.13, 27.21, 23.14, 20.19", \ + " 47.62, 44.50, 38.98, 32.44, 27.17", \ + " 69.52, 65.67, 58.39, 48.75, 40.07" ); }} +timing() { /* ring osc delay nr2v1x4, path a to z 50.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.0 ; */ +/* intrinsic_fall : 36.7 ; */ +/* rise_resistance : 1.08 ; */ +/* fall_resistance : 0.45 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 52.1, 87.2, 164.9, 302.5, 594.5", \ + " 45.5, 58.9, 94.1, 172.2, 310.0, 602.2", \ + " 50.3, 63.8, 98.9, 177.0, 314.9, 607.2", \ + " 55.3, 70.1, 105.1, 183.1, 321.1, 613.5", \ + " 62.1, 78.8, 116.1, 193.8, 331.7, 624.1", \ + " 71.2, 89.7, 131.0, 209.6, 347.1, 639.3", \ + " 84.8, 105.2, 150.4, 234.4, 371.1, 662.6", \ + " 104.1, 127.0, 176.7, 268.2, 407.7, 697.9", \ + " 131.3, 157.7, 213.3, 313.4, 463.4, 752.5", \ + " 169.3, 200.2, 264.2, 375.4, 539.1, 837.9" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.9, 102.5, 200.9, 423.3, 819.2, 1660.4", \ + " 67.9, 103.4, 201.2, 423.4, 819.2, 1660.4", \ + " 72.1, 106.9, 202.8, 423.5, 819.2, 1660.4", \ + " 78.6, 112.5, 207.0, 425.2, 819.2, 1660.4", \ + " 93.0, 124.3, 216.4, 431.3, 821.2, 1660.4", \ + " 113.2, 145.6, 232.8, 443.4, 828.4, 1661.2", \ + " 141.0, 176.0, 262.2, 465.9, 844.4, 1668.6", \ + " 180.9, 216.8, 309.1, 504.9, 874.5, 1687.3", \ + " 236.9, 274.0, 370.6, 570.0, 928.4, 1725.7", \ + " 317.7, 356.2, 456.9, 669.7, 1020.3, 1798.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.1, 25.0, 39.6, 71.1, 126.4, 243.3", \ + " 26.2, 32.2, 46.8, 78.4, 133.7, 250.6", \ + " 29.7, 37.2, 52.4, 84.0, 139.3, 256.2", \ + " 32.9, 41.8, 59.8, 91.5, 146.8, 263.7", \ + " 36.2, 47.0, 69.2, 105.0, 160.1, 276.9", \ + " 38.3, 51.2, 78.1, 121.9, 179.3, 295.9", \ + " 38.7, 54.0, 86.2, 139.5, 207.8, 324.7", \ + " 36.1, 54.2, 92.5, 156.4, 239.7, 367.4", \ + " 28.7, 50.0, 95.3, 171.6, 272.1, 427.2", \ + " 13.3, 38.3, 91.9, 182.7, 303.4, 492.2" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.8, 42.5, 77.0, 154.6, 293.0, 587.3", \ + " 37.8, 49.0, 80.7, 155.6, 293.1, 587.3", \ + " 46.4, 56.8, 86.8, 159.2, 293.8, 587.3", \ + " 56.9, 68.6, 96.9, 166.4, 297.6, 587.4", \ + " 73.0, 87.1, 117.0, 182.4, 308.5, 591.1", \ + " 94.1, 110.5, 145.6, 209.6, 329.4, 603.3", \ + " 123.3, 142.6, 183.5, 254.0, 367.6, 630.2", \ + " 163.2, 186.0, 233.6, 315.4, 430.5, 680.0", \ + " 219.2, 246.7, 302.7, 397.4, 528.2, 767.9", \ + " 299.4, 332.8, 399.6, 509.8, 661.5, 913.9" ); }} +timing() { /* ring osc delay nr2v1x4, path b to z 41.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.9 ; */ +/* intrinsic_fall : 30.4 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.45 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.2, 43.1, 78.6, 156.7, 294.4, 586.5", \ + " 35.6, 48.8, 83.9, 162.2, 300.2, 592.6", \ + " 41.1, 54.4, 89.0, 166.9, 305.0, 597.4", \ + " 46.7, 62.3, 96.3, 173.7, 311.6, 603.9", \ + " 55.7, 73.2, 110.3, 186.5, 323.6, 615.7", \ + " 67.5, 87.1, 129.3, 205.9, 341.8, 633.0", \ + " 83.9, 105.7, 153.3, 236.5, 370.4, 659.9", \ + " 105.9, 130.8, 183.9, 278.5, 414.4, 701.1", \ + " 136.4, 165.1, 225.2, 331.7, 482.6, 765.5", \ + " 179.3, 212.8, 281.9, 401.7, 573.5, 867.0" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.9, 99.1, 195.8, 413.3, 800.2, 1622.2", \ + " 69.0, 102.6, 196.1, 413.3, 800.2, 1622.2", \ + " 75.6, 108.3, 199.7, 413.2, 800.2, 1622.2", \ + " 85.3, 116.7, 206.0, 415.9, 800.1, 1622.2", \ + " 104.4, 132.9, 219.2, 424.4, 802.1, 1622.2", \ + " 121.2, 158.4, 240.6, 440.5, 811.4, 1622.4", \ + " 144.2, 186.3, 275.1, 469.1, 832.0, 1630.2", \ + " 179.2, 223.1, 326.1, 516.4, 869.6, 1653.4", \ + " 231.5, 277.4, 387.4, 591.4, 935.4, 1701.4", \ + " 309.1, 358.0, 474.8, 701.9, 1045.1, 1790.5" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.4, 20.9, 35.0, 66.1, 121.1, 237.7", \ + " 21.0, 28.0, 42.4, 73.6, 128.7, 245.4", \ + " 22.8, 31.6, 48.0, 79.3, 134.3, 251.1", \ + " 24.1, 34.6, 54.6, 86.8, 141.9, 258.6", \ + " 24.6, 37.4, 62.3, 100.2, 155.1, 271.8", \ + " 23.5, 38.9, 69.1, 115.7, 174.3, 290.8", \ + " 19.7, 38.2, 74.5, 131.4, 202.2, 319.5", \ + " 11.6, 33.7, 77.3, 145.9, 232.3, 362.1", \ + " -3.4, 22.9, 75.1, 157.6, 262.5, 420.8", \ + " -29.5, 1.7, 64.1, 163.5, 290.2, 483.7" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.2, 31.8, 66.4, 144.3, 282.7, 577.0", \ + " 28.1, 38.6, 70.1, 145.1, 282.7, 577.0", \ + " 35.4, 46.6, 76.1, 148.6, 283.3, 577.0", \ + " 43.9, 57.4, 86.1, 155.6, 286.9, 577.0", \ + " 58.2, 73.8, 106.2, 171.4, 297.7, 580.5", \ + " 77.4, 95.4, 133.0, 198.4, 318.5, 592.5", \ + " 104.6, 125.8, 169.0, 242.6, 356.4, 619.2", \ + " 142.0, 167.3, 217.4, 302.1, 419.1, 668.9", \ + " 195.1, 225.3, 284.6, 382.0, 515.9, 756.6", \ + " 272.2, 307.7, 378.9, 492.5, 646.9, 902.3" ); }} +} +} +cell(nr2v1x6) { /* 2008-01-06:07h45 characteristic delay 13.5 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 3788 ; /* nr2v1x6 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x6 FO4 effort 1.45 logical effort 1.63 */ +direction : input ; +capacitance : 28.19 ; +rise_capacitance : 28.12 ; +fall_capacitance : 28.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x6 */ +} +pin(b) { /* nr2v1x6 FO4 effort 1.32 logical effort 1.57 */ +direction : input ; +capacitance : 27.15 ; +rise_capacitance : 24.97 ; +fall_capacitance : 29.34 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 643 ; +max_fanout : 15 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x6 64.41 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 33.10, 33.46, 33.86, 34.07, 34.11", \ + " 31.72, 32.07, 32.63, 33.11, 33.34", \ + " 31.55, 31.80, 32.28, 32.80, 33.09", \ + " 31.87, 31.94, 32.20, 32.62, 32.92", \ + " 33.41, 33.08, 32.81, 32.81, 32.93", \ + " 37.00, 36.07, 34.86, 33.97, 33.54", \ + " 44.09, 42.33, 39.65, 37.11, 35.49", \ + " 56.21, 53.51, 48.90, 43.83, 40.06", \ + " 75.90, 72.24, 65.43, 56.88, 49.66", \ + " 106.96, 102.36, 93.18, 80.41, 68.20" ); }} +internal_power(b_z_n) { /* nr2v1x6 41.64 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 19.55, 20.51, 21.55, 22.16, 22.38", \ + " 18.88, 19.51, 20.60, 21.61, 22.14", \ + " 19.44, 19.73, 20.48, 21.40, 22.00", \ + " 20.76, 20.60, 20.82, 21.42, 21.94", \ + " 23.85, 23.00, 22.26, 22.07, 22.21", \ + " 29.15, 27.48, 25.42, 23.96, 23.27", \ + " 37.98, 35.37, 31.55, 28.13, 25.95", \ + " 51.80, 48.19, 42.25, 36.04, 31.52", \ + " 73.42, 68.75, 60.39, 50.40, 42.32", \ + " 107.08, 101.30, 90.26, 75.58, 62.27" ); }} +timing() { /* ring osc delay nr2v1x6, path a to z 51.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 37.3 ; */ +/* rise_resistance : 0.70 ; */ +/* fall_resistance : 0.30 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 51.7, 85.5, 160.4, 293.1, 574.7", \ + " 45.5, 58.5, 92.4, 167.7, 300.6, 582.4", \ + " 50.4, 63.4, 97.2, 172.5, 305.5, 587.4", \ + " 55.3, 69.6, 103.4, 178.6, 311.7, 593.6", \ + " 62.1, 78.3, 114.4, 189.3, 322.3, 604.3", \ + " 71.1, 89.0, 129.1, 205.2, 337.7, 619.5", \ + " 84.4, 104.2, 148.1, 229.9, 361.7, 642.8", \ + " 103.3, 125.6, 173.9, 263.0, 398.2, 678.0", \ + " 130.0, 155.6, 209.9, 307.4, 453.4, 732.6", \ + " 167.1, 197.1, 259.7, 368.3, 527.7, 817.9" ); } +rise_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.0, 101.2, 196.1, 410.6, 792.3, 1603.5", \ + " 67.9, 102.2, 196.4, 410.6, 792.3, 1603.5", \ + " 72.2, 105.7, 198.2, 410.8, 792.3, 1603.5", \ + " 78.7, 111.4, 202.4, 412.6, 792.4, 1603.5", \ + " 93.1, 123.3, 211.9, 418.9, 794.6, 1603.5", \ + " 113.3, 144.7, 228.5, 431.2, 802.1, 1604.6", \ + " 141.2, 175.1, 258.2, 454.1, 818.5, 1612.4", \ + " 181.5, 216.1, 305.2, 493.6, 849.1, 1631.8", \ + " 237.9, 273.7, 367.0, 559.6, 903.9, 1671.2", \ + " 319.2, 356.4, 453.7, 659.4, 997.2, 1745.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.6, 25.4, 40.0, 71.4, 126.3, 242.5", \ + " 26.7, 32.7, 47.2, 78.6, 133.5, 249.7", \ + " 30.4, 37.7, 52.8, 84.2, 139.1, 255.3", \ + " 33.8, 42.4, 60.2, 91.7, 146.7, 262.8", \ + " 37.2, 47.8, 69.7, 105.2, 160.0, 276.0", \ + " 39.7, 52.3, 78.8, 122.1, 179.2, 295.0", \ + " 40.5, 55.4, 87.1, 139.8, 207.7, 323.9", \ + " 38.5, 56.1, 93.7, 156.9, 239.6, 366.6", \ + " 31.8, 52.6, 97.1, 172.4, 272.2, 426.3", \ + " 17.6, 42.0, 94.6, 184.2, 303.7, 491.3" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.6, 43.2, 77.5, 154.7, 292.2, 584.7", \ + " 38.4, 49.6, 81.2, 155.7, 292.3, 584.7", \ + " 47.0, 57.3, 87.2, 159.3, 293.1, 584.7", \ + " 57.6, 69.1, 97.2, 166.4, 296.8, 584.8", \ + " 73.9, 87.7, 117.3, 182.3, 307.7, 588.5", \ + " 95.1, 111.2, 145.9, 209.5, 328.6, 600.7", \ + " 124.5, 143.4, 183.8, 253.8, 366.7, 627.7", \ + " 164.5, 187.0, 234.0, 315.2, 429.6, 677.5", \ + " 220.8, 247.8, 303.0, 397.0, 527.1, 765.4", \ + " 301.3, 334.0, 400.0, 509.4, 660.1, 911.2" ); }} +timing() { /* ring osc delay nr2v1x6, path b to z 41.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.0 ; */ +/* intrinsic_fall : 30.9 ; */ +/* rise_resistance : 0.69 ; */ +/* fall_resistance : 0.30 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 42.7, 77.0, 152.3, 285.1, 566.8", \ + " 35.7, 48.4, 82.3, 157.8, 290.9, 572.9", \ + " 41.1, 54.0, 87.3, 162.6, 295.7, 577.6", \ + " 46.7, 61.8, 94.7, 169.3, 302.3, 584.2", \ + " 55.6, 72.6, 108.7, 182.1, 314.3, 595.9", \ + " 67.3, 86.2, 127.4, 201.5, 332.5, 613.3", \ + " 83.4, 104.6, 150.9, 232.1, 361.1, 640.2", \ + " 105.1, 129.2, 181.0, 273.3, 405.1, 681.4", \ + " 135.0, 162.8, 221.5, 325.4, 472.8, 745.8", \ + " 176.9, 209.5, 277.0, 394.0, 561.7, 847.3" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.0, 97.9, 191.1, 400.9, 773.9, 1566.6", \ + " 69.1, 101.5, 191.5, 400.9, 773.9, 1566.6", \ + " 75.8, 107.3, 195.2, 400.8, 773.9, 1566.6", \ + " 85.5, 115.8, 201.7, 403.8, 773.9, 1566.6", \ + " 104.8, 132.1, 215.0, 412.5, 776.2, 1566.6", \ + " 121.8, 158.0, 236.7, 428.9, 785.9, 1567.0", \ + " 144.9, 185.7, 271.7, 458.1, 807.1, 1575.6", \ + " 180.2, 222.7, 322.5, 506.0, 845.5, 1599.8", \ + " 233.0, 277.5, 384.0, 582.0, 912.6, 1649.1", \ + " 311.2, 358.6, 471.8, 692.1, 1023.7, 1740.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.8, 21.3, 35.3, 66.2, 120.8, 236.8", \ + " 21.4, 28.4, 42.7, 73.7, 128.5, 244.4", \ + " 23.4, 32.0, 48.3, 79.3, 134.1, 250.1", \ + " 24.8, 35.1, 54.9, 86.9, 141.6, 257.6", \ + " 25.5, 38.1, 62.6, 100.3, 154.9, 270.8", \ + " 24.7, 39.8, 69.5, 115.8, 174.1, 289.8", \ + " 21.2, 39.3, 75.1, 131.5, 201.9, 318.6", \ + " 13.6, 35.2, 78.1, 146.1, 232.0, 361.2", \ + " -0.6, 25.1, 76.5, 158.1, 262.2, 419.8", \ + " -25.6, 5.0, 66.3, 164.5, 290.1, 482.6" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.6, 32.3, 66.7, 144.0, 281.6, 574.0", \ + " 28.4, 39.0, 70.2, 144.8, 281.6, 574.0", \ + " 36.0, 46.8, 76.3, 148.3, 282.2, 574.0", \ + " 44.5, 57.8, 86.2, 155.3, 285.8, 574.0", \ + " 58.8, 74.2, 106.3, 171.1, 296.5, 577.6", \ + " 78.2, 95.9, 133.1, 198.0, 317.3, 589.6", \ + " 105.5, 126.3, 169.0, 242.2, 355.3, 616.3", \ + " 143.1, 167.9, 217.4, 301.5, 417.9, 666.0", \ + " 196.5, 226.1, 284.7, 381.3, 514.5, 753.8", \ + " 274.0, 308.8, 379.0, 491.7, 645.2, 899.4" ); }} +} +} +cell(nr2v1x8) { /* 2008-01-06:07h45 characteristic delay 13.5 ps */ +area : 19 ; /* tracks */ +cell_leakage_power : 4678 ; /* nr2v1x8 */ +cell_footprint : nr2 ; +pin(a) { /* nr2v1x8 FO4 effort 1.45 logical effort 1.62 */ +direction : input ; +capacitance : 34.66 ; +rise_capacitance : 34.56 ; +fall_capacitance : 34.75 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2v1x8 */ +} +pin(b) { /* nr2v1x8 FO4 effort 1.32 logical effort 1.56 */ +direction : input ; +capacitance : 33.39 ; +rise_capacitance : 30.65 ; +fall_capacitance : 36.13 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2v1x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 785 ; +max_fanout : 20 ; +function : "(a+b)'" ; +internal_power(a_z_n) { /* nr2v1x8 78.66 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 40.40, 40.88, 41.38, 41.63, 41.66", \ + " 38.70, 39.16, 39.87, 40.47, 40.73", \ + " 38.48, 38.82, 39.44, 40.09, 40.44", \ + " 38.88, 38.97, 39.33, 39.86, 40.22", \ + " 40.78, 40.36, 40.04, 40.06, 40.22", \ + " 45.21, 44.00, 42.48, 41.41, 40.92", \ + " 53.96, 51.65, 48.24, 45.13, 43.19", \ + " 68.91, 65.36, 59.45, 53.14, 48.57", \ + " 93.17, 88.36, 79.57, 68.83, 59.98", \ + " 131.46, 125.38, 113.48, 97.29, 82.16" ); }} +internal_power(b_z_n) { /* nr2v1x8 50.93 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 23.87, 25.12, 26.41, 27.14, 27.38", \ + " 23.04, 23.86, 25.26, 26.48, 27.11", \ + " 23.73, 24.11, 25.09, 26.23, 26.94", \ + " 25.34, 25.15, 25.46, 26.23, 26.86", \ + " 29.14, 28.05, 27.16, 26.97, 27.16", \ + " 35.66, 33.50, 30.94, 29.19, 28.39", \ + " 46.52, 43.13, 38.31, 34.13, 31.51", \ + " 63.54, 58.82, 51.26, 43.58, 38.10", \ + " 90.15, 84.03, 73.31, 60.85, 50.96", \ + " 131.59, 124.00, 109.77, 91.26, 74.85" ); }} +timing() { /* ring osc delay nr2v1x8, path a to z 64.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 37.1 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.24 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.0, 53.3, 90.2, 172.0, 317.0, 624.7", \ + " 45.9, 60.1, 97.1, 179.3, 324.5, 632.4", \ + " 50.8, 64.9, 101.9, 184.1, 329.4, 637.4", \ + " 55.7, 71.2, 108.1, 190.2, 335.6, 643.6", \ + " 62.6, 80.1, 119.1, 200.9, 346.2, 654.3", \ + " 71.8, 91.1, 134.2, 216.7, 361.6, 669.5", \ + " 85.3, 106.7, 153.9, 241.4, 385.5, 692.8", \ + " 104.6, 128.6, 180.4, 275.8, 421.9, 727.9", \ + " 131.8, 159.4, 217.3, 321.6, 478.0, 782.3", \ + " 169.8, 202.0, 268.6, 384.3, 554.8, 867.4" ); } +rise_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.9, 105.5, 209.2, 443.6, 860.7, 1747.1", \ + " 68.8, 106.3, 209.5, 443.6, 860.7, 1747.1", \ + " 73.0, 109.8, 211.0, 443.7, 860.7, 1747.1", \ + " 79.6, 115.4, 215.1, 445.2, 860.7, 1747.1", \ + " 93.8, 127.1, 224.3, 451.1, 862.3, 1747.1", \ + " 114.2, 148.0, 240.5, 462.9, 869.2, 1747.6", \ + " 142.0, 178.9, 269.5, 485.0, 884.7, 1754.3", \ + " 182.0, 219.8, 316.8, 523.5, 914.0, 1772.0", \ + " 238.1, 277.2, 378.8, 587.9, 967.0, 1809.3", \ + " 319.1, 359.6, 465.6, 688.5, 1057.9, 1880.4" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 25.6, 41.1, 74.5, 133.0, 256.9", \ + " 26.5, 32.8, 48.2, 81.7, 140.3, 264.2", \ + " 30.1, 37.9, 53.9, 87.3, 145.9, 269.7", \ + " 33.4, 42.7, 61.3, 94.8, 153.4, 277.2", \ + " 36.8, 48.1, 71.2, 108.2, 166.7, 290.4", \ + " 39.0, 52.5, 80.5, 125.8, 185.9, 309.5", \ + " 39.6, 55.6, 89.1, 144.3, 214.8, 338.2", \ + " 37.2, 56.1, 95.9, 162.2, 248.2, 380.9", \ + " 30.0, 52.2, 99.5, 178.5, 282.5, 442.4", \ + " 14.9, 41.1, 96.9, 191.1, 316.0, 510.9" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.2, 43.7, 80.3, 162.7, 309.4, 621.3", \ + " 38.2, 50.1, 83.9, 163.5, 309.4, 621.3", \ + " 46.8, 57.8, 89.8, 166.9, 310.0, 621.3", \ + " 57.4, 69.5, 99.7, 173.8, 313.4, 621.4", \ + " 73.6, 88.3, 119.6, 189.4, 323.8, 624.4", \ + " 94.8, 112.0, 148.6, 216.2, 344.1, 635.8", \ + " 124.1, 144.3, 186.9, 260.3, 381.5, 661.6", \ + " 164.1, 188.0, 237.6, 322.8, 443.7, 710.1", \ + " 220.3, 249.0, 307.3, 405.9, 541.8, 796.3", \ + " 300.7, 335.6, 405.1, 519.6, 677.3, 941.0" ); }} +timing() { /* ring osc delay nr2v1x8, path b to z 54.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 30.9 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.24 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.7, 44.4, 81.7, 163.9, 309.0, 616.8", \ + " 36.1, 49.9, 87.0, 169.5, 314.9, 622.9", \ + " 41.6, 55.6, 92.0, 174.2, 319.6, 627.7", \ + " 47.3, 63.5, 99.3, 180.9, 326.2, 634.2", \ + " 56.3, 74.6, 113.2, 193.6, 338.2, 645.9", \ + " 68.1, 88.5, 132.6, 212.9, 356.2, 663.2", \ + " 84.5, 107.3, 156.9, 243.3, 384.7, 690.0", \ + " 106.5, 132.5, 187.9, 286.2, 428.5, 731.0", \ + " 137.0, 167.0, 229.5, 340.3, 496.7, 795.0", \ + " 179.9, 214.8, 286.7, 411.3, 589.5, 896.0" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.9, 102.1, 203.9, 433.1, 840.7, 1706.9", \ + " 69.9, 105.4, 204.1, 433.1, 840.7, 1706.9", \ + " 76.6, 111.1, 207.5, 433.1, 840.7, 1706.9", \ + " 86.2, 119.4, 213.7, 435.4, 840.7, 1706.9", \ + " 105.2, 135.6, 226.7, 443.5, 842.2, 1706.9", \ + " 122.6, 160.8, 247.9, 459.2, 850.9, 1706.9", \ + " 145.6, 189.8, 282.3, 487.4, 870.8, 1713.6", \ + " 180.7, 226.7, 334.4, 534.2, 907.6, 1735.5", \ + " 233.1, 281.4, 396.5, 609.0, 972.5, 1782.0", \ + " 311.0, 362.3, 484.6, 721.3, 1081.4, 1869.3" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 15.7, 21.6, 36.5, 69.4, 127.7, 251.3", \ + " 21.4, 28.7, 43.9, 76.9, 135.3, 259.0", \ + " 23.3, 32.4, 49.5, 82.6, 140.9, 264.7", \ + " 24.6, 35.5, 56.3, 90.1, 148.5, 272.2", \ + " 25.3, 38.6, 64.4, 103.5, 161.7, 285.4", \ + " 24.3, 40.4, 71.6, 119.8, 180.9, 304.4", \ + " 20.6, 39.9, 77.6, 136.4, 209.3, 333.1", \ + " 12.8, 35.8, 81.0, 151.9, 241.1, 375.6", \ + " -2.0, 25.5, 79.6, 164.9, 273.1, 436.2", \ + " -27.7, 4.9, 69.7, 172.4, 303.2, 502.7" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 19.5, 33.1, 69.7, 152.2, 299.0, 610.9", \ + " 28.3, 39.6, 73.1, 152.9, 299.0, 610.9", \ + " 35.9, 47.5, 79.0, 156.2, 299.4, 610.9", \ + " 44.4, 58.6, 88.8, 162.9, 302.7, 610.9", \ + " 58.7, 75.0, 108.7, 178.4, 312.9, 613.7", \ + " 78.1, 96.9, 136.1, 204.9, 333.1, 624.9", \ + " 105.4, 127.5, 172.5, 249.0, 370.2, 650.5", \ + " 143.0, 169.3, 221.4, 309.5, 432.2, 698.8", \ + " 196.2, 227.7, 289.3, 390.6, 529.6, 784.9", \ + " 273.5, 310.7, 384.5, 502.4, 662.9, 929.4" ); }} +} +} +cell(nr2av0x1) { /* 2008-01-06:07h46 characteristic delay 14.0 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 728 ; /* nr2av0x1 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av0x1 FO4 effort 1.89 */ +direction : input ; +capacitance : 3.14 ; +rise_capacitance : 3.20 ; +fall_capacitance : 3.09 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av0x1 */ +internal_power(a) { /* nr2av0x1 10.06 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.06, 4.93, 4.94, 5.03, 5.29, 5.79, 6.68, 8.13, 10.50, 14.29" ); }} +} +pin(b) { /* nr2av0x1 FO4 effort 1.42 logical effort 1.65 */ +direction : input ; +capacitance : 4.02 ; +rise_capacitance : 3.76 ; +fall_capacitance : 4.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2av0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 105 ; +max_fanout : 4 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av0x1 21.32 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 5.58, 5.63, 5.68, 5.70, 5.70", \ + " 5.55, 5.60, 5.66, 5.69, 5.69", \ + " 5.53, 5.57, 5.64, 5.68, 5.69", \ + " 5.52, 5.56, 5.63, 5.68, 5.69", \ + " 5.53, 5.56, 5.62, 5.68, 5.70", \ + " 5.53, 5.56, 5.61, 5.66, 5.69", \ + " 5.57, 5.58, 5.61, 5.66, 5.69", \ + " 5.64, 5.62, 5.63, 5.66, 5.68", \ + " 5.78, 5.73, 5.69, 5.69, 5.70", \ + " 6.02, 5.92, 5.80, 5.74, 5.72" ); }} +internal_power(b_z_n) { /* nr2av0x1 6.82 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 3.31, 3.45, 3.59, 3.66, 3.68", \ + " 3.14, 3.27, 3.45, 3.60, 3.66", \ + " 3.17, 3.25, 3.41, 3.56, 3.64", \ + " 3.31, 3.32, 3.41, 3.54, 3.63", \ + " 3.66, 3.57, 3.54, 3.58, 3.64", \ + " 4.29, 4.09, 3.87, 3.76, 3.73", \ + " 5.40, 5.05, 4.57, 4.20, 3.99", \ + " 7.19, 6.65, 5.85, 5.10, 4.59", \ + " 10.02, 9.30, 8.09, 6.79, 5.81", \ + " 14.46, 13.55, 11.88, 9.84, 8.15" ); }} +timing() { /* ring osc delay nr2av0x1, path a to z 104.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.6 ; */ +/* intrinsic_fall : 93.6 ; */ +/* rise_resistance : 4.16 ; */ +/* fall_resistance : 2.90 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.3, 80.5, 114.2, 189.0, 321.6, 603.2", \ + " 74.3, 87.5, 121.2, 196.0, 328.7, 610.2", \ + " 79.3, 92.5, 126.2, 201.1, 333.8, 615.3", \ + " 84.7, 98.0, 131.7, 206.7, 339.3, 620.9", \ + " 91.4, 104.8, 138.7, 213.7, 346.4, 628.0", \ + " 97.7, 111.4, 145.5, 220.5, 353.3, 634.9", \ + " 103.9, 117.9, 152.2, 227.3, 360.1, 641.8", \ + " 108.8, 123.4, 158.2, 233.4, 366.3, 648.0", \ + " 111.3, 126.8, 162.5, 238.1, 371.1, 652.9", \ + " 109.2, 125.7, 162.9, 239.5, 372.9, 654.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.0, 98.3, 186.6, 387.6, 746.5, 1509.5", \ + " 66.1, 98.4, 186.6, 387.6, 746.5, 1509.5", \ + " 66.3, 98.5, 186.7, 387.6, 746.5, 1509.5", \ + " 67.2, 99.1, 186.9, 387.7, 746.5, 1509.5", \ + " 69.0, 100.4, 187.7, 388.0, 746.5, 1509.5", \ + " 71.2, 102.4, 188.9, 388.5, 746.7, 1509.5", \ + " 74.6, 105.1, 190.7, 389.3, 747.0, 1509.7", \ + " 79.9, 109.5, 193.6, 390.8, 747.6, 1509.9", \ + " 87.5, 116.4, 198.9, 393.9, 749.1, 1510.5", \ + " 98.7, 126.8, 207.6, 399.9, 752.6, 1512.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.1, 82.2, 106.8, 159.2, 250.7, 444.3", \ + " 79.8, 89.9, 114.5, 166.9, 258.5, 452.1", \ + " 86.0, 96.1, 120.8, 173.2, 264.8, 458.4", \ + " 94.1, 104.3, 128.9, 181.4, 273.0, 466.6", \ + " 106.8, 117.1, 141.8, 194.2, 285.8, 479.4", \ + " 121.5, 132.1, 157.4, 209.9, 301.4, 495.0", \ + " 140.3, 151.2, 176.8, 229.9, 321.4, 514.9", \ + " 164.1, 175.5, 201.7, 254.9, 346.9, 540.3", \ + " 195.4, 207.5, 234.8, 288.7, 380.6, 574.5", \ + " 236.7, 249.9, 279.1, 334.7, 427.3, 621.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.5, 77.8, 131.6, 254.5, 475.4, 947.1", \ + " 57.7, 77.9, 131.7, 254.5, 475.4, 947.2", \ + " 58.0, 78.1, 131.8, 254.5, 475.4, 947.2", \ + " 58.9, 78.8, 132.2, 254.6, 475.4, 947.2", \ + " 62.1, 81.5, 133.9, 255.4, 475.6, 947.2", \ + " 66.1, 85.4, 137.1, 257.3, 476.4, 947.2", \ + " 71.2, 90.3, 141.3, 260.1, 478.0, 947.9", \ + " 78.3, 97.1, 146.8, 264.0, 480.2, 949.0", \ + " 88.4, 107.3, 155.9, 270.2, 484.2, 950.7", \ + " 102.5, 122.0, 170.2, 281.8, 491.9, 954.8" ); }} +timing() { /* ring osc delay nr2av0x1, path b to z 58.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.9 ; */ +/* intrinsic_fall : 40.6 ; */ +/* rise_resistance : 4.15 ; */ +/* fall_resistance : 2.89 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 42.0, 76.0, 151.0, 283.7, 565.3", \ + " 35.2, 47.9, 81.7, 157.0, 289.8, 571.6", \ + " 40.2, 53.3, 86.7, 161.8, 294.7, 576.5", \ + " 45.1, 60.5, 93.8, 168.6, 301.3, 583.1", \ + " 52.5, 70.1, 107.1, 180.9, 313.3, 594.8", \ + " 61.7, 81.6, 124.2, 199.4, 330.9, 611.9", \ + " 73.6, 96.3, 144.9, 228.5, 358.4, 638.2", \ + " 88.6, 114.8, 170.0, 266.1, 400.3, 677.9", \ + " 108.1, 138.7, 202.1, 311.7, 463.8, 739.5", \ + " 133.7, 169.7, 243.5, 368.8, 544.0, 835.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.7, 99.7, 193.0, 403.0, 776.1, 1568.8", \ + " 71.0, 103.4, 193.5, 402.9, 776.0, 1568.8", \ + " 78.5, 109.6, 197.3, 402.9, 776.0, 1568.8", \ + " 89.4, 119.0, 204.1, 405.9, 775.9, 1568.8", \ + " 111.1, 137.3, 218.7, 415.1, 778.4, 1568.8", \ + " 130.6, 166.5, 242.9, 432.8, 788.6, 1569.1", \ + " 157.8, 197.8, 282.2, 465.0, 811.3, 1578.2", \ + " 198.5, 240.8, 338.8, 518.4, 853.3, 1603.9", \ + " 258.3, 303.7, 409.4, 603.8, 927.6, 1657.2", \ + " 345.6, 395.7, 510.2, 727.4, 1051.9, 1757.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 33.0, 56.3, 107.8, 198.9, 392.1", \ + " 30.6, 39.8, 63.4, 115.3, 206.5, 399.9", \ + " 34.4, 45.3, 68.8, 120.8, 212.1, 405.5", \ + " 37.9, 50.9, 76.3, 128.1, 219.5, 413.0", \ + " 42.0, 57.7, 88.8, 141.3, 232.6, 426.1", \ + " 45.7, 64.2, 101.7, 160.5, 251.6, 445.0", \ + " 48.8, 70.7, 115.2, 186.7, 280.5, 473.5", \ + " 50.6, 76.4, 128.8, 214.3, 323.5, 515.9", \ + " 50.0, 80.5, 142.3, 243.6, 375.6, 580.4", \ + " 45.1, 81.2, 154.4, 274.1, 431.9, 676.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.9, 53.4, 110.7, 239.7, 469.0, 956.4", \ + " 37.2, 56.7, 111.5, 239.7, 469.0, 956.4", \ + " 44.7, 62.8, 115.4, 240.4, 469.0, 956.4", \ + " 55.5, 72.9, 122.9, 244.3, 469.2, 956.4", \ + " 70.7, 92.3, 139.6, 255.6, 474.3, 956.4", \ + " 91.2, 115.8, 167.1, 277.0, 488.3, 959.7", \ + " 120.2, 148.2, 208.0, 315.5, 517.3, 975.3", \ + " 160.6, 192.7, 260.6, 377.5, 569.5, 1010.9", \ + " 217.8, 255.2, 332.6, 467.3, 658.7, 1080.0", \ + " 300.6, 344.0, 433.8, 587.3, 801.7, 1204.8" ); }} +} +} +cell(nr2av0x2) { /* 2008-01-06:07h46 characteristic delay 13.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 1409 ; /* nr2av0x2 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av0x2 FO4 effort 1.77 */ +direction : input ; +capacitance : 4.41 ; +rise_capacitance : 4.50 ; +fall_capacitance : 4.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av0x2 */ +internal_power(a) { /* nr2av0x2 17.68 nW/MHz */ +power(pwr_intran_x10) { +values( " 8.90, 8.71, 8.73, 8.84, 9.19, 9.89, 11.14, 13.24, 16.69, 22.27" ); }} +} +pin(b) { /* nr2av0x2 FO4 effort 1.34 logical effort 1.60 */ +direction : input ; +capacitance : 7.56 ; +rise_capacitance : 7.07 ; +fall_capacitance : 8.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2av0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 211 ; +max_fanout : 6 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av0x2 37.66 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 9.84, 9.96, 10.10, 10.18, 10.18", \ + " 9.79, 9.91, 10.06, 10.15, 10.16", \ + " 9.76, 9.87, 10.02, 10.12, 10.15", \ + " 9.74, 9.84, 9.99, 10.11, 10.15", \ + " 9.76, 9.84, 9.97, 10.10, 10.15", \ + " 9.81, 9.85, 9.96, 10.08, 10.14", \ + " 9.91, 9.91, 9.97, 10.07, 10.14", \ + " 10.10, 10.04, 10.02, 10.08, 10.13", \ + " 10.46, 10.30, 10.17, 10.15, 10.17", \ + " 11.05, 10.75, 10.45, 10.28, 10.22" ); }} +internal_power(b_z_n) { /* nr2av0x2 10.91 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 5.15, 5.50, 5.80, 5.95, 5.99", \ + " 4.86, 5.13, 5.53, 5.83, 5.96", \ + " 4.97, 5.12, 5.45, 5.76, 5.92", \ + " 5.27, 5.27, 5.46, 5.72, 5.89", \ + " 6.01, 5.80, 5.70, 5.80, 5.91", \ + " 7.34, 6.85, 6.37, 6.13, 6.08", \ + " 9.58, 8.76, 7.75, 6.98, 6.58", \ + " 13.14, 11.95, 10.25, 8.72, 7.72", \ + " 18.72, 17.16, 14.62, 12.00, 10.07", \ + " 27.43, 25.49, 22.00, 17.90, 14.56" ); }} +timing() { /* ring osc delay nr2av0x2, path a to z 105.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.5 ; */ +/* intrinsic_fall : 99.5 ; */ +/* rise_resistance : 2.08 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 81.3, 115.4, 190.3, 322.9, 604.4", \ + " 74.6, 88.3, 122.4, 197.3, 330.0, 611.6", \ + " 79.9, 93.6, 127.6, 202.6, 335.3, 616.9", \ + " 86.0, 99.7, 133.8, 208.8, 341.5, 623.1", \ + " 93.7, 107.7, 141.9, 217.0, 349.7, 631.4", \ + " 101.2, 115.5, 150.0, 225.1, 357.9, 639.6", \ + " 108.4, 123.3, 158.2, 233.5, 366.3, 648.0", \ + " 114.8, 130.4, 166.0, 241.3, 374.2, 655.9", \ + " 119.0, 135.6, 172.3, 248.1, 381.0, 662.8", \ + " 119.0, 136.8, 175.3, 252.0, 385.3, 667.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.0, 89.7, 177.3, 377.9, 736.5, 1499.6", \ + " 58.1, 89.7, 177.3, 377.9, 736.5, 1499.6", \ + " 58.3, 89.9, 177.4, 377.9, 736.5, 1499.6", \ + " 59.1, 90.4, 177.6, 378.0, 736.5, 1499.6", \ + " 61.3, 92.1, 178.6, 378.3, 736.6, 1499.6", \ + " 64.2, 94.5, 180.0, 378.9, 736.8, 1499.6", \ + " 68.4, 97.8, 182.3, 379.9, 737.2, 1499.7", \ + " 74.3, 103.0, 185.8, 381.8, 737.8, 1499.9", \ + " 83.0, 110.9, 191.7, 385.2, 739.4, 1500.4", \ + " 95.3, 122.6, 201.3, 391.7, 743.1, 1502.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.2, 88.5, 115.2, 171.3, 269.0, 475.5", \ + " 84.8, 96.2, 122.9, 179.0, 276.8, 483.3", \ + " 91.1, 102.4, 129.2, 185.3, 283.1, 489.6", \ + " 99.4, 110.7, 137.5, 193.6, 291.4, 498.0", \ + " 113.0, 124.3, 151.1, 207.3, 305.1, 511.6", \ + " 129.1, 140.8, 168.0, 224.2, 321.9, 528.4", \ + " 149.2, 161.3, 189.1, 245.7, 343.3, 549.7", \ + " 174.6, 187.3, 215.6, 272.5, 370.4, 576.7", \ + " 207.7, 221.2, 250.7, 308.1, 406.0, 612.5", \ + " 251.3, 266.0, 297.4, 356.5, 454.8, 661.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.8, 78.5, 135.7, 266.2, 501.5, 1004.6", \ + " 57.0, 78.6, 135.7, 266.2, 501.5, 1004.6", \ + " 57.2, 78.7, 135.8, 266.3, 501.5, 1004.6", \ + " 57.9, 79.3, 136.1, 266.4, 501.6, 1004.6", \ + " 60.8, 81.7, 137.5, 266.9, 501.7, 1004.6", \ + " 65.5, 86.1, 140.8, 268.8, 502.3, 1004.6", \ + " 71.2, 91.7, 145.7, 271.8, 504.0, 1005.1", \ + " 78.8, 99.1, 151.8, 276.1, 506.3, 1006.2", \ + " 89.3, 109.8, 161.4, 282.7, 510.3, 1007.8", \ + " 103.8, 125.1, 176.4, 294.4, 517.9, 1011.6" ); }} +timing() { /* ring osc delay nr2av0x2, path b to z 52.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.7 ; */ +/* intrinsic_fall : 38.2 ; */ +/* rise_resistance : 2.08 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.6, 37.9, 71.9, 146.9, 279.6, 561.2", \ + " 31.3, 43.9, 77.7, 153.0, 285.8, 567.5", \ + " 35.2, 49.4, 82.8, 157.9, 290.7, 572.4", \ + " 39.5, 56.0, 89.9, 164.6, 297.4, 579.1", \ + " 46.1, 64.9, 103.2, 177.0, 309.3, 590.8", \ + " 54.1, 75.5, 119.6, 195.5, 327.0, 608.0", \ + " 64.5, 88.9, 139.3, 224.4, 354.4, 634.2", \ + " 77.4, 105.8, 163.1, 261.0, 396.0, 673.8", \ + " 94.0, 127.2, 193.4, 305.2, 459.0, 735.1", \ + " 115.7, 154.7, 232.0, 360.2, 537.6, 831.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.0, 89.1, 182.5, 392.5, 765.6, 1558.4", \ + " 61.5, 93.5, 183.2, 392.4, 765.6, 1558.4", \ + " 69.5, 100.0, 187.2, 392.5, 765.6, 1558.4", \ + " 81.3, 109.9, 194.3, 395.7, 765.5, 1558.4", \ + " 102.0, 129.0, 209.4, 405.2, 768.1, 1558.3", \ + " 118.4, 157.6, 234.1, 423.2, 778.5, 1558.7", \ + " 145.6, 187.0, 274.3, 456.0, 801.6, 1568.0", \ + " 186.1, 229.9, 329.8, 510.3, 844.3, 1594.0", \ + " 245.2, 292.8, 400.5, 597.0, 919.6, 1648.0", \ + " 331.9, 384.5, 501.8, 720.7, 1045.5, 1749.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.7, 31.7, 56.7, 111.6, 208.8, 414.9", \ + " 28.3, 38.4, 63.7, 119.1, 216.4, 422.6", \ + " 31.5, 43.8, 69.1, 124.5, 222.0, 428.3", \ + " 34.5, 49.1, 76.5, 131.9, 229.4, 435.8", \ + " 38.1, 55.5, 89.1, 145.0, 242.5, 448.9", \ + " 41.3, 61.8, 102.1, 164.3, 261.4, 467.7", \ + " 44.1, 68.3, 115.9, 191.1, 290.4, 496.2", \ + " 45.7, 74.2, 130.1, 219.9, 333.6, 538.6", \ + " 45.2, 78.7, 144.6, 250.7, 388.1, 603.1", \ + " 40.8, 80.5, 158.3, 283.5, 447.6, 701.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.2, 50.2, 111.3, 248.8, 493.5, 1013.3", \ + " 33.2, 53.5, 112.0, 248.8, 493.5, 1013.3", \ + " 41.1, 59.9, 115.8, 249.3, 493.5, 1013.3", \ + " 50.8, 70.2, 123.3, 253.0, 493.5, 1013.3", \ + " 65.2, 89.1, 139.9, 263.9, 498.0, 1013.3", \ + " 84.8, 112.0, 167.3, 285.0, 511.2, 1015.7", \ + " 112.9, 143.8, 208.0, 322.8, 539.3, 1029.9", \ + " 152.0, 187.5, 260.4, 384.2, 590.2, 1063.8", \ + " 207.9, 249.0, 332.0, 474.4, 678.0, 1130.7", \ + " 289.8, 336.7, 432.7, 595.0, 820.1, 1252.3" ); }} +} +} +cell(nr2av0x3) { /* 2008-01-06:07h46 characteristic delay 14.0 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1582 ; /* nr2av0x3 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av0x3 FO4 effort 1.75 */ +direction : input ; +capacitance : 5.07 ; +rise_capacitance : 5.18 ; +fall_capacitance : 4.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av0x3 */ +internal_power(a) { /* nr2av0x3 22.06 nW/MHz */ +power(pwr_intran_x10) { +values( " 11.11, 10.89, 10.91, 11.03, 11.41, 12.20, 13.63, 16.04, 20.01, 26.46" ); }} +} +pin(b) { /* nr2av0x3 FO4 effort 1.38 logical effort 1.64 */ +direction : input ; +capacitance : 10.43 ; +rise_capacitance : 9.76 ; +fall_capacitance : 11.09 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2av0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 282 ; +max_fanout : 8 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av0x3 49.18 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 13.37, 13.52, 13.68, 13.76, 13.76", \ + " 13.32, 13.47, 13.64, 13.74, 13.74", \ + " 13.28, 13.41, 13.59, 13.71, 13.73", \ + " 13.26, 13.38, 13.56, 13.69, 13.72", \ + " 13.29, 13.38, 13.54, 13.68, 13.73", \ + " 13.36, 13.41, 13.53, 13.67, 13.73", \ + " 13.52, 13.50, 13.56, 13.67, 13.73", \ + " 13.79, 13.68, 13.64, 13.68, 13.73", \ + " 14.28, 14.05, 13.85, 13.78, 13.78", \ + " 15.10, 14.66, 14.22, 13.96, 13.87" ); }} +internal_power(b_z_n) { /* nr2av0x3 15.32 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 7.27, 7.74, 8.12, 8.30, 8.34", \ + " 6.86, 7.24, 7.78, 8.15, 8.30", \ + " 6.97, 7.21, 7.66, 8.07, 8.26", \ + " 7.35, 7.38, 7.66, 8.02, 8.22", \ + " 8.31, 8.04, 7.94, 8.09, 8.24", \ + " 10.03, 9.38, 8.76, 8.50, 8.45", \ + " 12.98, 11.85, 10.51, 9.54, 9.05", \ + " 17.68, 16.02, 13.72, 11.73, 10.46", \ + " 25.10, 22.87, 19.37, 15.90, 13.39", \ + " 36.69, 33.89, 29.01, 23.48, 19.09" ); }} +timing() { /* ring osc delay nr2av0x3, path a to z 99.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.4 ; */ +/* intrinsic_fall : 103.4 ; */ +/* rise_resistance : 1.55 ; */ +/* fall_resistance : 1.16 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.6, 85.8, 123.8, 207.6, 356.1, 671.4", \ + " 77.7, 92.9, 130.9, 214.7, 363.2, 678.6", \ + " 83.0, 98.2, 136.2, 220.1, 368.6, 684.0", \ + " 89.4, 104.6, 142.6, 226.5, 375.1, 690.4", \ + " 97.6, 113.2, 151.3, 235.2, 383.8, 699.2", \ + " 105.6, 121.6, 160.0, 244.0, 392.6, 708.0", \ + " 113.6, 130.1, 168.9, 253.0, 401.6, 717.1", \ + " 120.8, 138.0, 177.5, 261.6, 410.3, 725.8", \ + " 126.0, 144.3, 184.9, 269.4, 418.1, 733.6", \ + " 127.2, 146.7, 189.1, 274.6, 423.5, 739.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.7, 97.2, 195.4, 420.1, 821.9, 1676.5", \ + " 61.7, 97.2, 195.4, 420.1, 821.9, 1676.5", \ + " 61.9, 97.4, 195.5, 420.1, 821.9, 1676.5", \ + " 62.6, 97.8, 195.7, 420.2, 821.9, 1676.5", \ + " 64.9, 99.4, 196.5, 420.4, 821.9, 1676.5", \ + " 67.8, 101.9, 198.0, 421.0, 822.1, 1676.5", \ + " 72.1, 105.3, 200.2, 422.0, 822.4, 1676.6", \ + " 78.2, 110.5, 203.7, 423.7, 823.0, 1676.8", \ + " 86.9, 118.4, 209.4, 426.9, 824.3, 1677.2", \ + " 99.3, 130.1, 218.9, 433.1, 827.6, 1678.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.2, 93.8, 123.6, 186.5, 296.3, 528.6", \ + " 88.9, 101.5, 131.3, 194.2, 304.1, 536.3", \ + " 95.1, 107.7, 137.6, 200.5, 310.3, 542.6", \ + " 103.5, 116.1, 146.0, 208.9, 318.8, 551.0", \ + " 117.4, 130.1, 159.9, 222.8, 332.7, 565.0", \ + " 134.2, 147.2, 177.4, 240.2, 350.0, 582.3", \ + " 155.0, 168.4, 199.2, 262.4, 372.1, 604.2", \ + " 181.2, 195.1, 226.5, 290.0, 399.9, 631.9", \ + " 215.2, 230.0, 262.5, 326.5, 436.4, 668.4", \ + " 259.9, 276.0, 310.5, 376.0, 486.0, 718.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.3, 84.5, 148.7, 295.7, 560.6, 1126.6", \ + " 60.4, 84.6, 148.8, 295.7, 560.6, 1126.6", \ + " 60.5, 84.7, 148.8, 295.7, 560.6, 1126.6", \ + " 61.1, 85.1, 149.1, 295.8, 560.6, 1126.6", \ + " 63.8, 87.3, 150.2, 296.2, 560.7, 1126.6", \ + " 68.7, 91.7, 153.4, 297.8, 561.1, 1126.6", \ + " 74.6, 97.5, 158.2, 300.7, 562.6, 1126.9", \ + " 82.4, 105.0, 164.4, 304.8, 564.7, 1127.9", \ + " 93.0, 115.9, 173.8, 311.2, 568.4, 1129.3", \ + " 107.9, 131.5, 188.7, 322.3, 575.4, 1132.5" ); }} +timing() { /* ring osc delay nr2av0x3, path b to z 44.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 40.5 ; */ +/* intrinsic_fall : 39.3 ; */ +/* rise_resistance : 1.55 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.8, 40.5, 78.4, 162.3, 310.9, 626.2", \ + " 32.4, 46.5, 84.3, 168.5, 317.2, 632.6", \ + " 36.7, 52.0, 89.3, 173.3, 322.1, 637.6", \ + " 41.1, 59.0, 96.4, 180.1, 328.7, 644.2", \ + " 47.9, 68.3, 109.5, 192.4, 340.7, 656.0", \ + " 56.2, 79.3, 127.0, 210.7, 358.2, 673.0", \ + " 66.8, 93.3, 147.9, 239.4, 385.4, 699.1", \ + " 80.1, 110.8, 172.9, 278.4, 426.7, 738.5", \ + " 97.1, 133.0, 204.4, 325.3, 490.6, 799.2", \ + " 119.2, 161.4, 244.7, 383.1, 574.4, 894.3" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.1, 96.5, 201.1, 436.4, 854.3, 1742.2", \ + " 64.4, 100.4, 201.5, 436.3, 854.3, 1742.2", \ + " 72.2, 106.7, 205.1, 436.1, 854.2, 1742.2", \ + " 83.7, 116.3, 211.8, 438.6, 854.2, 1742.2", \ + " 105.8, 135.1, 226.3, 447.2, 855.6, 1742.1", \ + " 122.5, 165.0, 250.4, 464.2, 864.6, 1742.0", \ + " 149.9, 195.4, 289.8, 495.6, 885.8, 1748.6", \ + " 190.7, 238.9, 348.0, 548.5, 926.2, 1771.5", \ + " 250.3, 302.5, 420.4, 634.0, 998.8, 1821.6", \ + " 337.6, 395.3, 523.5, 762.3, 1122.2, 1917.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.8, 33.9, 61.9, 123.7, 232.9, 464.7", \ + " 29.6, 40.7, 69.0, 131.1, 240.6, 472.5", \ + " 33.1, 46.1, 74.4, 136.6, 246.1, 478.2", \ + " 36.3, 52.0, 81.8, 144.0, 253.6, 485.7", \ + " 40.2, 59.0, 94.9, 157.1, 266.7, 498.8", \ + " 43.8, 66.0, 109.2, 176.3, 285.6, 517.6", \ + " 47.0, 73.1, 124.4, 204.6, 314.4, 546.1", \ + " 49.2, 79.9, 140.1, 236.3, 357.5, 588.4", \ + " 49.3, 85.6, 156.4, 270.3, 416.8, 652.7", \ + " 45.7, 88.6, 172.4, 306.7, 482.4, 751.7" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.4, 55.2, 124.0, 278.8, 554.0, 1138.8", \ + " 35.0, 58.2, 124.4, 278.8, 554.0, 1138.8", \ + " 42.6, 64.3, 127.8, 278.9, 554.0, 1138.8", \ + " 52.9, 74.3, 134.9, 281.9, 554.0, 1138.8", \ + " 67.6, 93.7, 150.8, 291.9, 557.0, 1138.8", \ + " 87.5, 117.3, 177.5, 311.8, 568.7, 1139.8", \ + " 116.0, 149.7, 219.4, 348.2, 594.8, 1151.3", \ + " 155.5, 194.1, 273.4, 408.4, 643.3, 1181.9", \ + " 212.0, 256.6, 346.7, 501.0, 728.4, 1244.6", \ + " 294.2, 345.6, 449.5, 625.5, 868.7, 1360.9" ); }} +} +} +cell(nr2av0x4) { /* 2008-01-06:07h46 characteristic delay 13.7 ps */ +area : 13 ; /* tracks */ +cell_leakage_power : 2645 ; /* nr2av0x4 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av0x4 FO4 effort 1.72 */ +direction : input ; +capacitance : 6.59 ; +rise_capacitance : 6.74 ; +fall_capacitance : 6.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av0x4 */ +internal_power(a) { /* nr2av0x4 31.09 nW/MHz */ +power(pwr_intran_x10) { +values( " 15.62, 15.35, 15.38, 15.54, 16.05, 17.12, 19.03, 22.26, 27.61, 36.34" ); }} +} +pin(b) { /* nr2av0x4 FO4 effort 1.35 logical effort 1.61 */ +direction : input ; +capacitance : 15.26 ; +rise_capacitance : 14.28 ; +fall_capacitance : 16.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2av0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 423 ; +max_fanout : 10 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av0x4 71.33 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 19.82, 20.05, 20.33, 20.49, 20.51", \ + " 19.75, 19.97, 20.25, 20.44, 20.48", \ + " 19.69, 19.89, 20.18, 20.39, 20.45", \ + " 19.66, 19.83, 20.12, 20.35, 20.44", \ + " 19.72, 19.85, 20.09, 20.34, 20.44", \ + " 19.84, 19.90, 20.08, 20.30, 20.43", \ + " 20.10, 20.06, 20.13, 20.30, 20.42", \ + " 20.55, 20.37, 20.28, 20.34, 20.42", \ + " 21.36, 20.98, 20.63, 20.51, 20.51", \ + " 22.69, 22.00, 21.26, 20.82, 20.66" ); }} +internal_power(b_z_n) { /* nr2av0x4 22.18 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 10.49, 11.18, 11.77, 12.06, 12.14", \ + " 9.92, 10.45, 11.23, 11.81, 12.07", \ + " 10.12, 10.42, 11.07, 11.68, 11.99", \ + " 10.72, 10.73, 11.09, 11.61, 11.94", \ + " 12.20, 11.78, 11.58, 11.76, 11.98", \ + " 14.83, 13.87, 12.91, 12.44, 12.33", \ + " 19.31, 17.69, 15.67, 14.14, 13.33", \ + " 26.40, 24.05, 20.68, 17.62, 15.62", \ + " 37.56, 34.46, 29.40, 24.18, 20.31", \ + " 54.96, 51.10, 44.16, 35.96, 29.30" ); }} +timing() { /* ring osc delay nr2av0x4, path a to z 110.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.3 ; */ +/* intrinsic_fall : 104.7 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.6, 84.5, 118.7, 193.7, 326.3, 607.9", \ + " 77.8, 91.6, 125.8, 200.8, 333.5, 615.0", \ + " 83.1, 97.0, 131.2, 206.2, 338.9, 620.5", \ + " 89.6, 103.5, 137.7, 212.7, 345.4, 627.1", \ + " 98.1, 112.3, 146.6, 221.7, 354.4, 636.1", \ + " 106.5, 121.0, 155.7, 230.8, 363.6, 645.3", \ + " 114.8, 129.9, 165.0, 240.3, 373.1, 654.8", \ + " 122.4, 138.3, 174.1, 249.4, 382.3, 664.0", \ + " 128.3, 145.1, 182.1, 257.8, 390.6, 672.4", \ + " 130.3, 148.2, 187.0, 263.8, 396.9, 678.6" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.0, 91.5, 178.8, 379.0, 737.5, 1500.5", \ + " 60.1, 91.6, 178.8, 379.1, 737.5, 1500.5", \ + " 60.3, 91.7, 178.9, 379.1, 737.5, 1500.5", \ + " 61.0, 92.2, 179.1, 379.1, 737.5, 1500.5", \ + " 63.3, 93.9, 180.1, 379.4, 737.5, 1500.5", \ + " 66.6, 96.7, 181.7, 380.2, 737.8, 1500.5", \ + " 71.1, 100.4, 184.3, 381.4, 738.2, 1500.6", \ + " 77.5, 106.0, 188.1, 383.4, 738.9, 1500.8", \ + " 86.7, 114.3, 194.4, 387.1, 740.6, 1501.3", \ + " 99.6, 126.6, 204.5, 393.9, 744.4, 1502.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.0, 93.5, 120.4, 176.7, 274.5, 481.0", \ + " 89.8, 101.2, 128.2, 184.4, 282.2, 488.8", \ + " 96.0, 107.5, 134.4, 190.7, 288.5, 495.1", \ + " 104.4, 115.9, 142.9, 199.2, 297.0, 503.6", \ + " 118.5, 130.0, 157.0, 213.2, 311.1, 517.7", \ + " 135.7, 147.5, 174.7, 230.9, 328.7, 535.3", \ + " 157.0, 169.1, 196.9, 253.6, 351.1, 557.6", \ + " 183.6, 196.3, 224.7, 281.6, 379.5, 585.7", \ + " 218.2, 231.6, 261.2, 318.6, 416.4, 622.8", \ + " 263.6, 278.2, 309.7, 368.7, 466.8, 673.1" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 81.3, 138.2, 268.4, 503.3, 1006.2", \ + " 59.8, 81.3, 138.3, 268.4, 503.3, 1006.2", \ + " 59.9, 81.4, 138.3, 268.4, 503.4, 1006.2", \ + " 60.4, 81.9, 138.6, 268.5, 503.4, 1006.2", \ + " 63.0, 83.9, 139.7, 268.9, 503.5, 1006.2", \ + " 68.1, 88.6, 143.1, 270.7, 504.0, 1006.2", \ + " 74.3, 94.7, 148.3, 273.9, 505.7, 1006.7", \ + " 82.4, 102.6, 154.9, 278.5, 508.2, 1007.7", \ + " 93.4, 113.8, 165.0, 285.5, 512.3, 1009.4", \ + " 108.6, 129.9, 180.5, 297.5, 520.1, 1013.1" ); }} +timing() { /* ring osc delay nr2av0x4, path b to z 52.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.9 ; */ +/* intrinsic_fall : 38.4 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.77 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 37.9, 71.8, 146.8, 279.5, 561.1", \ + " 31.5, 44.0, 77.8, 153.0, 285.8, 567.5", \ + " 35.5, 49.6, 82.8, 157.9, 290.7, 572.5", \ + " 39.9, 56.2, 90.0, 164.7, 297.4, 579.1", \ + " 46.5, 65.1, 103.3, 177.1, 309.4, 590.9", \ + " 54.6, 75.8, 119.8, 195.6, 327.1, 608.0", \ + " 65.0, 89.3, 139.5, 224.5, 354.5, 634.3", \ + " 78.1, 106.3, 163.5, 261.2, 396.2, 673.9", \ + " 94.8, 127.8, 193.8, 305.5, 459.1, 735.2", \ + " 116.6, 155.4, 232.5, 360.5, 537.8, 831.1" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.5, 89.7, 183.2, 393.3, 766.6, 1559.3", \ + " 62.1, 94.2, 184.0, 393.2, 766.5, 1559.3", \ + " 70.0, 100.6, 188.0, 393.4, 766.5, 1559.3", \ + " 81.8, 110.5, 195.1, 396.5, 766.3, 1559.3", \ + " 102.7, 129.6, 210.1, 406.0, 769.0, 1559.3", \ + " 119.3, 158.3, 234.8, 424.0, 779.4, 1559.6", \ + " 146.6, 187.8, 275.0, 456.8, 802.4, 1568.9", \ + " 187.1, 230.8, 330.6, 511.1, 845.1, 1594.9", \ + " 246.4, 293.8, 401.3, 597.6, 920.4, 1648.8", \ + " 333.2, 385.6, 502.7, 721.4, 1046.2, 1750.0" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 31.8, 56.8, 111.8, 208.9, 415.0", \ + " 28.5, 38.5, 63.8, 119.2, 216.5, 422.8", \ + " 31.8, 43.9, 69.2, 124.6, 222.1, 428.4", \ + " 34.8, 49.3, 76.6, 132.0, 229.5, 435.9", \ + " 38.4, 55.8, 89.2, 145.1, 242.6, 449.0", \ + " 41.8, 62.2, 102.3, 164.4, 261.5, 467.8", \ + " 44.7, 68.7, 116.1, 191.3, 290.5, 496.3", \ + " 46.4, 74.7, 130.4, 220.1, 333.7, 538.7", \ + " 46.0, 79.4, 145.0, 251.0, 388.3, 603.3", \ + " 41.8, 81.2, 158.9, 283.8, 447.9, 701.1" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.7, 50.7, 111.8, 249.4, 494.0, 1013.9", \ + " 33.7, 54.1, 112.5, 249.4, 494.0, 1013.9", \ + " 41.5, 60.4, 116.3, 249.9, 494.0, 1013.9", \ + " 51.3, 70.7, 123.8, 253.5, 494.0, 1013.9", \ + " 65.8, 89.6, 140.4, 264.5, 498.5, 1013.9", \ + " 85.5, 112.6, 167.7, 285.5, 511.8, 1016.3", \ + " 113.7, 144.5, 208.6, 323.3, 539.8, 1030.5", \ + " 153.0, 188.2, 261.0, 384.6, 590.8, 1064.4", \ + " 209.1, 249.9, 332.6, 475.0, 678.5, 1131.2", \ + " 291.0, 337.8, 433.5, 595.6, 820.6, 1252.9" ); }} +} +} +cell(nr2av0x6) { /* 2008-01-06:07h46 characteristic delay 13.7 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 3812 ; /* nr2av0x6 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av0x6 FO4 effort 1.74 */ +direction : input ; +capacitance : 8.15 ; +rise_capacitance : 8.36 ; +fall_capacitance : 7.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av0x6 */ +internal_power(a) { /* nr2av0x6 43.61 nW/MHz */ +power(pwr_intran_x10) { +values( " 21.93, 21.60, 21.61, 21.80, 22.39, 23.64, 25.93, 29.83, 36.34, 47.06" ); }} +} +pin(b) { /* nr2av0x6 FO4 effort 1.35 logical effort 1.61 */ +direction : input ; +capacitance : 22.87 ; +rise_capacitance : 21.40 ; +fall_capacitance : 24.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr2av0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 635 ; +max_fanout : 15 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av0x6 103.76 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 29.63, 29.95, 30.37, 30.63, 30.68", \ + " 29.56, 29.86, 30.28, 30.57, 30.64", \ + " 29.48, 29.76, 30.18, 30.50, 30.60", \ + " 29.42, 29.67, 30.08, 30.43, 30.56", \ + " 29.53, 29.69, 30.03, 30.38, 30.54", \ + " 29.77, 29.81, 30.04, 30.35, 30.53", \ + " 30.25, 30.13, 30.16, 30.37, 30.53", \ + " 31.05, 30.69, 30.44, 30.46, 30.56", \ + " 32.43, 31.73, 31.07, 30.77, 30.72", \ + " 34.65, 33.44, 32.15, 31.33, 30.99" ); }} +internal_power(b_z_n) { /* nr2av0x6 33.15 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 15.68, 16.72, 17.62, 18.06, 18.18", \ + " 14.81, 15.61, 16.80, 17.68, 18.07", \ + " 15.11, 15.57, 16.55, 17.48, 17.96", \ + " 16.00, 16.03, 16.58, 17.37, 17.87", \ + " 18.24, 17.60, 17.31, 17.59, 17.93", \ + " 22.19, 20.74, 19.30, 18.60, 18.44", \ + " 28.91, 26.47, 23.44, 21.15, 19.95", \ + " 39.56, 36.02, 30.95, 26.37, 23.37", \ + " 56.29, 51.63, 44.04, 36.20, 30.40", \ + " 82.40, 76.60, 66.18, 53.88, 43.89" ); }} +timing() { /* ring osc delay nr2av0x6, path a to z 115.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 91.5 ; */ +/* intrinsic_fall : 111.6 ; */ +/* rise_resistance : 0.69 ; */ +/* fall_resistance : 0.52 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.1, 88.2, 122.6, 197.6, 330.3, 611.9", \ + " 81.3, 95.3, 129.7, 204.8, 337.4, 619.0", \ + " 86.7, 100.8, 135.2, 210.2, 342.9, 624.5", \ + " 93.5, 107.6, 142.0, 217.1, 349.8, 631.4", \ + " 102.9, 117.2, 151.7, 226.8, 359.6, 641.2", \ + " 112.1, 126.9, 161.7, 236.9, 369.7, 651.4", \ + " 121.4, 136.8, 172.1, 247.4, 380.2, 661.9", \ + " 130.1, 146.2, 182.3, 257.7, 390.6, 672.2", \ + " 137.2, 154.2, 191.6, 267.4, 400.2, 681.9", \ + " 140.7, 158.7, 197.9, 274.8, 407.8, 689.5" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 92.5, 179.4, 379.3, 737.5, 1500.4", \ + " 61.3, 92.6, 179.5, 379.3, 737.5, 1500.4", \ + " 61.5, 92.7, 179.5, 379.3, 737.5, 1500.4", \ + " 62.0, 93.1, 179.7, 379.4, 737.5, 1500.4", \ + " 64.4, 94.8, 180.6, 379.7, 737.6, 1500.4", \ + " 68.0, 97.8, 182.5, 380.5, 737.8, 1500.4", \ + " 72.8, 102.0, 185.4, 381.9, 738.3, 1500.5", \ + " 79.7, 107.9, 189.5, 384.1, 739.1, 1500.8", \ + " 89.3, 116.8, 196.2, 388.0, 740.9, 1501.2", \ + " 102.8, 129.6, 206.8, 395.2, 744.9, 1502.7" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.5, 100.2, 127.6, 184.1, 281.9, 488.5", \ + " 96.2, 107.9, 135.3, 191.8, 289.7, 496.3", \ + " 102.4, 114.1, 141.5, 198.0, 295.9, 502.5", \ + " 110.9, 122.6, 150.0, 206.5, 304.4, 511.1", \ + " 125.5, 137.2, 164.5, 221.0, 318.9, 525.6", \ + " 143.9, 155.8, 183.3, 239.8, 337.6, 544.2", \ + " 166.6, 179.0, 207.1, 263.9, 361.5, 568.0", \ + " 194.8, 207.7, 236.6, 293.7, 391.5, 597.7", \ + " 231.3, 245.0, 275.0, 332.8, 430.5, 636.7", \ + " 279.3, 294.2, 326.1, 385.3, 483.3, 689.5" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.6, 84.2, 140.9, 270.4, 504.8, 1007.2", \ + " 62.7, 84.3, 140.9, 270.5, 504.8, 1007.2", \ + " 62.8, 84.4, 141.0, 270.5, 504.8, 1007.2", \ + " 63.2, 84.7, 141.2, 270.5, 504.8, 1007.2", \ + " 65.3, 86.4, 142.1, 270.9, 504.9, 1007.3", \ + " 70.6, 91.1, 145.4, 272.5, 505.4, 1007.3", \ + " 77.5, 97.9, 151.1, 276.0, 507.2, 1007.6", \ + " 86.0, 106.4, 158.5, 281.2, 509.9, 1008.8", \ + " 97.5, 118.1, 169.1, 288.7, 514.5, 1010.6", \ + " 113.2, 134.7, 185.2, 301.1, 522.5, 1014.4" ); }} +timing() { /* ring osc delay nr2av0x6, path b to z 52.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.8 ; */ +/* intrinsic_fall : 38.4 ; */ +/* rise_resistance : 0.69 ; */ +/* fall_resistance : 0.52 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.7, 37.8, 71.8, 146.8, 279.5, 561.1", \ + " 31.5, 43.9, 77.7, 152.9, 285.8, 567.5", \ + " 35.4, 49.5, 82.8, 157.9, 290.7, 572.5", \ + " 39.8, 56.1, 89.9, 164.6, 297.4, 579.1", \ + " 46.4, 65.1, 103.3, 177.0, 309.4, 590.9", \ + " 54.5, 75.7, 119.7, 195.5, 327.0, 608.0", \ + " 64.9, 89.3, 139.5, 224.5, 354.4, 634.3", \ + " 77.9, 106.1, 163.4, 261.2, 396.1, 673.9", \ + " 94.6, 127.6, 193.7, 305.4, 459.1, 735.2", \ + " 116.4, 155.2, 232.4, 360.5, 537.8, 831.1" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.2, 89.5, 183.1, 393.2, 766.5, 1559.2", \ + " 61.8, 94.0, 183.8, 393.1, 766.4, 1559.2", \ + " 69.8, 100.4, 187.8, 393.2, 766.4, 1559.2", \ + " 81.6, 110.3, 194.9, 396.4, 766.2, 1559.2", \ + " 102.6, 129.4, 210.0, 405.9, 768.9, 1559.2", \ + " 119.1, 158.2, 234.7, 423.9, 779.3, 1559.5", \ + " 146.3, 187.7, 274.8, 456.7, 802.3, 1568.8", \ + " 186.9, 230.6, 330.4, 510.9, 844.9, 1594.8", \ + " 246.1, 293.6, 401.1, 597.5, 920.3, 1648.7", \ + " 332.9, 385.4, 502.5, 721.3, 1046.1, 1749.9" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 31.8, 56.8, 111.8, 208.9, 415.0", \ + " 28.5, 38.5, 63.9, 119.2, 216.5, 422.8", \ + " 31.7, 43.9, 69.2, 124.7, 222.1, 428.4", \ + " 34.8, 49.2, 76.6, 132.0, 229.5, 435.9", \ + " 38.4, 55.7, 89.2, 145.1, 242.6, 449.0", \ + " 41.7, 62.1, 102.3, 164.4, 261.5, 467.8", \ + " 44.5, 68.6, 116.1, 191.3, 290.5, 496.4", \ + " 46.2, 74.5, 130.3, 220.1, 333.7, 538.7", \ + " 45.8, 79.2, 144.9, 250.9, 388.3, 603.3", \ + " 41.6, 81.1, 158.7, 283.8, 447.8, 701.1" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 27.6, 50.5, 111.7, 249.2, 493.9, 1013.7", \ + " 33.5, 53.9, 112.4, 249.2, 493.9, 1013.7", \ + " 41.4, 60.3, 116.2, 249.7, 493.9, 1013.7", \ + " 51.2, 70.5, 123.7, 253.4, 493.9, 1013.7", \ + " 65.7, 89.5, 140.3, 264.3, 498.4, 1013.7", \ + " 85.3, 112.5, 167.6, 285.3, 511.6, 1016.1", \ + " 113.5, 144.3, 208.4, 323.2, 539.7, 1030.3", \ + " 152.7, 188.0, 260.8, 384.5, 590.6, 1064.2", \ + " 208.8, 249.6, 332.5, 474.8, 678.3, 1131.1", \ + " 290.7, 337.5, 433.3, 595.4, 820.5, 1252.7" ); }} +} +} +cell(nr2av1x05) { /* 2008-01-06:07h46 characteristic delay 14.3 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 566 ; /* nr2av1x05 */ +cell_footprint : nr2a ; +pin(a) { /* nr2av1x05 FO4 effort 1.98 */ +direction : input ; +capacitance : 2.50 ; +rise_capacitance : 2.54 ; +fall_capacitance : 2.45 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr2av1x05 */ +internal_power(a) { /* nr2av1x05 7.17 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.61, 3.50, 3.52, 3.59, 3.79, 4.17, 4.84, 5.94, 7.73, 10.58" ); }} +} +pin(b) { /* nr2av1x05 FO4 effort 1.46 logical effort 1.73 */ +direction : input ; +capacitance : 2.77 ; +rise_capacitance : 2.54 ; +fall_capacitance : 2.99 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of nr2av1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "(a*b')" ; +internal_power(a_z_p) { /* nr2av1x05 14.59 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 3.68, 3.71, 3.76, 3.78, 3.79", \ + " 3.65, 3.69, 3.74, 3.77, 3.78", \ + " 3.64, 3.67, 3.72, 3.76, 3.78", \ + " 3.63, 3.66, 3.71, 3.75, 3.77", \ + " 3.64, 3.66, 3.70, 3.75, 3.77", \ + " 3.64, 3.66, 3.69, 3.74, 3.77", \ + " 3.67, 3.67, 3.70, 3.73, 3.76", \ + " 3.72, 3.71, 3.71, 3.73, 3.75", \ + " 3.83, 3.79, 3.75, 3.75, 3.76", \ + " 4.01, 3.94, 3.85, 3.79, 3.78" ); }} +internal_power(b_z_n) { /* nr2av1x05 4.92 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.38, 2.46, 2.54, 2.60, 2.62", \ + " 2.29, 2.35, 2.45, 2.54, 2.59", \ + " 2.33, 2.36, 2.44, 2.52, 2.58", \ + " 2.43, 2.43, 2.46, 2.52, 2.57", \ + " 2.69, 2.63, 2.58, 2.57, 2.59", \ + " 3.15, 3.01, 2.85, 2.73, 2.68", \ + " 3.93, 3.71, 3.39, 3.09, 2.91", \ + " 5.18, 4.86, 4.34, 3.79, 3.40", \ + " 7.15, 6.73, 5.98, 5.08, 4.36", \ + " 10.24, 9.71, 8.70, 7.35, 6.14" ); }} +timing() { /* ring osc delay nr2av1x05, path a to z 90.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.9 ; */ +/* intrinsic_fall : 81.3 ; */ +/* rise_resistance : 7.78 ; */ +/* fall_resistance : 2.94 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.8, 90.1, 129.6, 217.2, 372.0, 700.6", \ + " 81.6, 96.9, 136.4, 224.1, 379.0, 707.6", \ + " 86.5, 101.7, 141.3, 229.0, 384.0, 712.6", \ + " 91.8, 107.0, 146.5, 234.3, 389.3, 718.0", \ + " 98.3, 113.6, 153.1, 240.8, 396.0, 724.7", \ + " 104.4, 119.8, 159.4, 247.2, 402.3, 731.2", \ + " 110.4, 125.9, 165.5, 253.4, 408.6, 737.5", \ + " 115.3, 131.2, 171.0, 258.8, 414.1, 743.0", \ + " 118.0, 134.5, 174.9, 262.8, 418.0, 747.1", \ + " 116.6, 133.8, 175.1, 263.6, 419.0, 748.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 81.1, 119.4, 223.1, 458.2, 877.1, 1767.4", \ + " 81.2, 119.5, 223.1, 458.2, 877.1, 1767.4", \ + " 81.4, 119.6, 223.2, 458.2, 877.1, 1767.4", \ + " 82.0, 120.0, 223.3, 458.3, 877.1, 1767.4", \ + " 83.5, 121.1, 223.9, 458.4, 877.1, 1767.4", \ + " 85.3, 122.6, 224.8, 458.8, 877.3, 1767.4", \ + " 88.2, 124.8, 226.2, 459.3, 877.5, 1767.5", \ + " 92.7, 128.6, 228.6, 460.5, 877.9, 1767.7", \ + " 99.5, 134.7, 233.1, 463.0, 879.1, 1768.1", \ + " 109.3, 143.7, 240.8, 468.1, 882.1, 1769.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 65.6, 81.8, 115.1, 172.5, 293.5", \ + " 66.4, 73.4, 89.6, 122.9, 180.3, 301.4", \ + " 72.7, 79.6, 95.9, 129.2, 186.6, 307.7", \ + " 80.7, 87.7, 104.0, 137.3, 194.7, 315.8", \ + " 92.5, 99.9, 116.6, 150.0, 207.4, 328.4", \ + " 106.3, 113.9, 131.3, 165.3, 222.7, 343.7", \ + " 123.8, 131.9, 149.9, 184.4, 242.4, 363.3", \ + " 146.0, 154.7, 173.7, 209.0, 267.0, 388.4", \ + " 174.7, 184.4, 204.9, 241.7, 300.3, 421.8", \ + " 212.5, 223.3, 246.1, 285.6, 345.9, 467.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 56.0, 89.1, 164.5, 301.2, 595.1", \ + " 43.6, 56.1, 89.1, 164.6, 301.3, 595.1", \ + " 44.0, 56.5, 89.3, 164.6, 301.3, 595.1", \ + " 45.7, 57.8, 90.2, 165.0, 301.4, 595.1", \ + " 49.6, 61.5, 93.0, 166.7, 302.1, 595.2", \ + " 54.0, 65.9, 97.1, 169.6, 303.9, 595.8", \ + " 59.7, 71.5, 102.1, 173.6, 306.4, 597.1", \ + " 67.6, 79.4, 109.3, 178.8, 310.1, 599.0", \ + " 78.3, 90.5, 120.2, 187.7, 316.0, 602.3", \ + " 92.7, 105.8, 136.1, 202.3, 327.2, 609.2" ); }} +timing() { /* ring osc delay nr2av1x05, path b to z 49.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.5 ; */ +/* intrinsic_fall : 33.3 ; */ +/* rise_resistance : 7.75 ; */ +/* fall_resistance : 2.97 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.6, 52.1, 92.0, 179.8, 334.7, 663.4", \ + " 42.6, 57.5, 97.2, 185.3, 340.6, 669.5", \ + " 48.6, 63.0, 102.1, 190.0, 345.3, 674.3", \ + " 55.6, 71.1, 109.4, 196.7, 351.8, 680.8", \ + " 65.8, 83.9, 123.1, 209.3, 363.8, 692.5", \ + " 79.0, 99.2, 143.6, 228.5, 381.8, 709.7", \ + " 97.2, 119.7, 169.8, 258.9, 410.2, 736.5", \ + " 121.9, 147.1, 203.0, 303.8, 454.0, 777.4", \ + " 156.1, 184.9, 247.6, 361.2, 522.6, 841.5", \ + " 204.1, 237.5, 308.9, 436.4, 620.4, 942.8" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.0, 122.8, 231.7, 476.5, 911.8, 1836.6", \ + " 86.5, 125.0, 231.4, 476.5, 911.7, 1836.6", \ + " 92.6, 130.2, 234.2, 476.4, 911.7, 1836.6", \ + " 101.4, 138.0, 239.9, 477.8, 911.7, 1836.6", \ + " 118.1, 153.1, 252.1, 485.1, 912.4, 1836.6", \ + " 142.6, 176.3, 272.0, 499.8, 919.9, 1836.6", \ + " 165.5, 210.4, 304.9, 526.4, 938.3, 1841.3", \ + " 200.1, 247.4, 355.8, 571.0, 973.1, 1861.0", \ + " 252.1, 301.6, 421.0, 643.2, 1034.8, 1904.6", \ + " 329.4, 381.7, 508.6, 755.8, 1139.6, 1987.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.6, 23.2, 37.7, 69.8, 126.7, 247.4", \ + " 23.9, 30.5, 45.1, 77.3, 134.3, 255.1", \ + " 26.4, 34.6, 50.7, 82.9, 139.9, 260.7", \ + " 28.2, 38.2, 57.7, 90.5, 147.5, 268.3", \ + " 29.5, 41.8, 66.1, 103.9, 160.7, 281.5", \ + " 29.1, 43.9, 73.6, 120.2, 179.9, 300.5", \ + " 25.9, 43.7, 79.7, 136.8, 208.2, 329.1", \ + " 18.3, 39.7, 82.9, 152.1, 239.5, 371.6", \ + " 3.5, 29.0, 81.0, 164.4, 270.9, 431.6", \ + " -23.1, 7.3, 69.7, 170.5, 299.5, 496.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 23.5, 36.9, 72.8, 153.4, 296.7, 601.3", \ + " 31.7, 43.1, 76.1, 154.0, 296.7, 601.3", \ + " 40.0, 50.7, 81.9, 157.3, 297.2, 601.3", \ + " 49.2, 62.3, 91.6, 164.1, 300.5, 601.3", \ + " 64.2, 79.4, 111.3, 179.6, 310.8, 604.3", \ + " 84.5, 101.9, 139.3, 206.2, 331.2, 615.8", \ + " 112.9, 133.2, 176.2, 250.3, 368.6, 641.8", \ + " 151.9, 176.0, 225.7, 311.3, 430.9, 690.7", \ + " 206.7, 235.7, 294.3, 392.7, 528.7, 777.6", \ + " 285.2, 320.0, 390.4, 505.0, 662.1, 923.0" ); }} +} +} +cell(nr3v0x05) { /* 2008-01-06:07h46 characteristic delay 19.0 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 531 ; /* nr3v0x05 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v0x05 FO4 effort 2.17 logical effort 2.28 */ +direction : input ; +capacitance : 3.82 ; +rise_capacitance : 3.94 ; +fall_capacitance : 3.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v0x05 */ +} +pin(b) { /* nr3v0x05 FO4 effort 2.04 logical effort 2.22 */ +direction : input ; +capacitance : 3.77 ; +rise_capacitance : 3.67 ; +fall_capacitance : 3.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v0x05 */ +} +pin(c) { /* nr3v0x05 FO4 effort 1.78 logical effort 2.17 */ +direction : input ; +capacitance : 3.69 ; +rise_capacitance : 3.36 ; +fall_capacitance : 4.03 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 68 ; +max_fanout : 2 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v0x05 15.08 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 7.76, 7.77, 7.78, 7.78, 7.78", \ + " 7.58, 7.60, 7.62, 7.64, 7.65", \ + " 7.53, 7.55, 7.57, 7.60, 7.61", \ + " 7.50, 7.51, 7.54, 7.56, 7.58", \ + " 7.52, 7.52, 7.53, 7.55, 7.55", \ + " 7.66, 7.64, 7.61, 7.59, 7.57", \ + " 8.05, 7.99, 7.88, 7.77, 7.68", \ + " 8.91, 8.78, 8.53, 8.24, 8.01", \ + " 10.60, 10.36, 9.91, 9.33, 8.81", \ + " 13.59, 13.24, 12.53, 11.50, 10.51" ); }} +internal_power(b_z_n) { /* nr3v0x05 11.33 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.81, 5.83, 5.85, 5.87, 5.87", \ + " 5.66, 5.70, 5.75, 5.80, 5.82", \ + " 5.61, 5.64, 5.70, 5.77, 5.80", \ + " 5.58, 5.61, 5.67, 5.73, 5.78", \ + " 5.62, 5.63, 5.66, 5.72, 5.76", \ + " 5.83, 5.80, 5.77, 5.77, 5.78", \ + " 6.35, 6.26, 6.12, 5.99, 5.92", \ + " 7.37, 7.20, 6.89, 6.54, 6.29", \ + " 9.19, 8.91, 8.39, 7.71, 7.14", \ + " 12.18, 11.81, 11.03, 9.92, 8.86" ); }} +internal_power(c_z_n) { /* nr3v0x05 7.18 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.52, 3.62, 3.73, 3.81, 3.84", \ + " 3.38, 3.47, 3.63, 3.77, 3.86", \ + " 3.39, 3.46, 3.59, 3.74, 3.84", \ + " 3.47, 3.50, 3.59, 3.73, 3.83", \ + " 3.70, 3.68, 3.69, 3.75, 3.84", \ + " 4.15, 4.06, 3.95, 3.90, 3.91", \ + " 4.95, 4.77, 4.50, 4.26, 4.13", \ + " 6.28, 6.00, 5.51, 5.00, 4.64", \ + " 8.43, 8.03, 7.29, 6.40, 5.68", \ + " 11.83, 11.32, 10.29, 8.90, 7.65" ); }} +timing() { /* ring osc delay nr3v0x05, path a to z 96.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.6 ; */ +/* intrinsic_fall : 66.2 ; */ +/* rise_resistance : 6.25 ; */ +/* fall_resistance : 3.98 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.2, 77.3, 108.9, 179.1, 303.4, 567.4", \ + " 72.5, 84.6, 116.3, 186.7, 311.2, 575.3", \ + " 77.2, 89.4, 121.1, 191.5, 316.1, 580.2", \ + " 82.7, 95.0, 126.7, 197.1, 321.7, 585.9", \ + " 90.1, 103.3, 135.4, 205.8, 330.5, 594.7", \ + " 97.0, 111.4, 146.2, 217.1, 341.7, 605.9", \ + " 104.6, 120.4, 158.3, 233.5, 357.9, 621.9", \ + " 113.2, 131.2, 172.9, 253.8, 382.0, 645.4", \ + " 123.6, 144.5, 191.8, 279.9, 416.7, 681.6", \ + " 137.7, 162.1, 216.6, 314.9, 462.0, 738.9" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 119.8, 152.9, 242.6, 446.3, 810.3, 1584.9", \ + " 120.3, 153.3, 242.7, 446.3, 810.3, 1584.9", \ + " 121.3, 154.1, 243.1, 446.5, 810.3, 1584.9", \ + " 124.5, 156.7, 244.9, 447.2, 810.4, 1584.9", \ + " 131.8, 163.3, 250.4, 450.9, 811.9, 1585.0", \ + " 146.0, 175.8, 260.6, 458.9, 817.5, 1586.7", \ + " 171.9, 201.7, 281.3, 475.3, 829.9, 1593.9", \ + " 209.5, 239.9, 322.0, 507.2, 854.9, 1610.8", \ + " 273.3, 302.7, 384.6, 567.9, 903.7, 1646.1", \ + " 379.1, 407.1, 485.9, 670.4, 994.3, 1716.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 55.7, 77.2, 122.7, 200.6, 363.3", \ + " 53.6, 62.2, 83.8, 129.3, 207.2, 370.0", \ + " 59.0, 67.6, 89.1, 134.6, 212.6, 375.3", \ + " 66.9, 75.2, 96.5, 142.0, 219.9, 382.7", \ + " 79.7, 88.9, 110.0, 155.2, 233.0, 395.7", \ + " 93.1, 104.0, 128.9, 174.6, 252.1, 414.6", \ + " 107.8, 120.6, 150.3, 203.6, 281.4, 443.4", \ + " 123.8, 138.7, 173.4, 237.0, 325.0, 486.2", \ + " 141.7, 158.8, 199.1, 273.8, 379.5, 551.6", \ + " 161.8, 181.6, 228.2, 315.2, 440.2, 646.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 85.5, 103.3, 150.1, 254.4, 439.4, 832.8", \ + " 85.7, 103.0, 149.5, 254.2, 439.4, 832.8", \ + " 89.6, 106.3, 151.2, 254.2, 439.4, 832.8", \ + " 97.3, 113.2, 156.4, 256.4, 439.5, 832.8", \ + " 114.1, 129.1, 169.8, 265.3, 442.6, 833.0", \ + " 140.3, 155.6, 194.0, 284.2, 454.6, 834.9", \ + " 175.3, 193.2, 234.5, 319.7, 481.3, 848.4", \ + " 221.2, 242.2, 290.2, 378.6, 531.0, 882.0", \ + " 284.2, 308.5, 364.2, 466.3, 617.2, 949.0", \ + " 372.1, 400.9, 465.8, 583.9, 753.8, 1071.1" ); }} +timing() { /* ring osc delay nr3v0x05, path b to z 86.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.2 ; */ +/* intrinsic_fall : 60.3 ; */ +/* rise_resistance : 6.26 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 70.3, 101.9, 172.1, 296.5, 560.5", \ + " 64.1, 76.3, 108.0, 178.5, 303.0, 567.1", \ + " 68.6, 80.8, 112.6, 183.0, 307.6, 571.8", \ + " 74.1, 86.5, 118.3, 188.8, 313.5, 577.7", \ + " 80.7, 95.1, 128.2, 198.7, 323.3, 587.6", \ + " 88.1, 104.0, 141.3, 213.0, 337.5, 601.7", \ + " 98.1, 115.9, 157.0, 235.0, 359.4, 623.2", \ + " 111.7, 131.8, 177.6, 263.1, 392.4, 655.6", \ + " 130.5, 153.5, 205.2, 299.8, 440.8, 705.5", \ + " 156.0, 182.7, 242.0, 348.3, 503.6, 783.2" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 115.0, 147.4, 234.8, 433.3, 787.7, 1541.7", \ + " 115.6, 147.7, 234.9, 433.3, 787.7, 1541.7", \ + " 118.4, 149.8, 235.8, 433.5, 787.7, 1541.7", \ + " 123.9, 154.8, 239.7, 435.0, 787.9, 1541.7", \ + " 135.7, 165.4, 248.5, 441.4, 790.4, 1541.7", \ + " 157.7, 184.9, 264.7, 453.7, 798.5, 1543.5", \ + " 190.3, 221.7, 294.7, 477.4, 815.8, 1552.6", \ + " 232.4, 264.7, 347.7, 519.7, 848.8, 1573.9", \ + " 298.7, 330.6, 415.4, 592.4, 908.9, 1617.5", \ + " 394.0, 427.3, 514.0, 702.3, 1012.5, 1700.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.2, 50.0, 70.0, 113.6, 190.0, 351.4", \ + " 48.8, 56.7, 76.9, 120.8, 197.3, 358.8", \ + " 54.1, 62.0, 82.3, 126.2, 202.8, 364.4", \ + " 61.4, 69.4, 89.6, 133.5, 210.2, 371.8", \ + " 71.3, 81.0, 102.8, 146.7, 223.3, 384.9", \ + " 81.3, 92.9, 119.3, 165.9, 242.3, 403.8", \ + " 92.0, 105.7, 137.3, 193.2, 271.4, 432.5", \ + " 103.2, 119.3, 156.2, 223.1, 314.2, 475.2", \ + " 114.8, 133.5, 176.8, 255.6, 365.2, 540.4", \ + " 125.9, 148.0, 198.9, 291.3, 421.4, 632.4" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.0, 80.4, 126.8, 231.1, 416.4, 810.0", \ + " 64.2, 81.1, 127.0, 231.1, 416.4, 810.0", \ + " 68.6, 84.8, 128.9, 231.3, 416.4, 810.0", \ + " 76.9, 92.2, 134.5, 233.7, 416.5, 810.0", \ + " 94.7, 109.0, 148.5, 243.0, 419.8, 810.0", \ + " 118.2, 134.8, 173.4, 262.4, 432.0, 812.0", \ + " 149.8, 169.1, 213.2, 298.5, 459.2, 825.7", \ + " 192.0, 214.4, 265.4, 357.7, 509.5, 859.6", \ + " 250.1, 276.4, 335.5, 442.3, 596.1, 927.2", \ + " 331.3, 362.8, 432.2, 555.7, 731.1, 1050.0" ); }} +timing() { /* ring osc delay nr3v0x05, path c to z 66.6 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 50.6 ; */ +/* intrinsic_fall : 47.7 ; */ +/* rise_resistance : 6.23 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.7, 50.1, 82.2, 152.8, 277.3, 541.4", \ + " 42.6, 54.6, 86.6, 157.5, 282.4, 546.7", \ + " 47.9, 59.3, 90.8, 161.6, 286.5, 550.8", \ + " 53.6, 66.5, 97.0, 167.4, 292.2, 556.5", \ + " 61.8, 76.3, 109.1, 178.4, 302.6, 566.7", \ + " 71.9, 88.1, 125.4, 195.3, 318.4, 581.8", \ + " 85.0, 103.3, 145.1, 222.1, 343.4, 605.4", \ + " 101.5, 122.3, 169.4, 256.1, 382.1, 641.4", \ + " 122.7, 146.8, 200.5, 298.1, 439.7, 697.9", \ + " 150.1, 178.4, 240.6, 351.3, 511.6, 787.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.5, 139.2, 226.3, 421.7, 768.6, 1505.3", \ + " 109.8, 140.7, 225.6, 421.2, 768.5, 1505.3", \ + " 116.0, 146.0, 228.7, 420.8, 768.3, 1505.3", \ + " 125.4, 154.4, 234.9, 423.6, 767.8, 1505.3", \ + " 143.8, 171.2, 248.7, 432.4, 770.5, 1505.2", \ + " 174.1, 197.7, 271.7, 449.6, 780.8, 1505.8", \ + " 198.8, 236.4, 309.3, 481.0, 803.3, 1515.9", \ + " 236.2, 274.3, 367.4, 533.0, 845.2, 1542.4", \ + " 296.2, 335.1, 431.6, 616.0, 918.8, 1596.2", \ + " 386.4, 427.8, 528.6, 731.8, 1039.9, 1696.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 37.6, 57.3, 100.5, 176.5, 337.6", \ + " 36.7, 44.5, 64.5, 108.1, 184.5, 345.8", \ + " 42.0, 50.0, 69.9, 113.6, 190.1, 351.6", \ + " 46.8, 56.6, 77.3, 121.0, 197.6, 359.1", \ + " 52.6, 64.5, 89.9, 134.1, 210.6, 372.2", \ + " 58.1, 72.2, 102.8, 153.4, 229.6, 391.1", \ + " 63.4, 80.1, 116.5, 177.9, 258.6, 419.6", \ + " 68.0, 87.7, 130.5, 203.6, 300.0, 462.2", \ + " 70.9, 94.3, 144.8, 231.2, 347.1, 527.1", \ + " 70.5, 98.3, 158.3, 260.5, 398.2, 616.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.2, 57.6, 103.8, 207.9, 393.0, 786.3", \ + " 42.8, 58.9, 103.8, 207.9, 393.0, 786.3", \ + " 48.8, 63.8, 106.5, 207.9, 393.0, 786.3", \ + " 58.7, 72.6, 113.0, 210.7, 393.0, 786.3", \ + " 74.5, 90.9, 128.2, 220.7, 396.5, 786.3", \ + " 94.3, 113.1, 154.3, 241.0, 409.2, 788.2", \ + " 122.2, 143.7, 191.8, 278.2, 437.1, 802.2", \ + " 161.1, 185.7, 240.4, 337.9, 488.4, 836.6", \ + " 215.9, 244.8, 307.4, 418.9, 575.8, 905.0", \ + " 293.8, 328.2, 401.7, 529.0, 709.3, 1029.1" ); }} +} +} +cell(nr3v0x1) { /* 2008-01-06:07h47 characteristic delay 20.1 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 993 ; /* nr3v0x1 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v0x1 FO4 effort 2.27 logical effort 2.48 */ +direction : input ; +capacitance : 7.73 ; +rise_capacitance : 7.99 ; +fall_capacitance : 7.47 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v0x1 */ +} +pin(b) { /* nr3v0x1 FO4 effort 2.07 logical effort 2.35 */ +direction : input ; +capacitance : 7.39 ; +rise_capacitance : 7.24 ; +fall_capacitance : 7.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v0x1 */ +} +pin(c) { /* nr3v0x1 FO4 effort 1.74 logical effort 2.20 */ +direction : input ; +capacitance : 6.95 ; +rise_capacitance : 6.37 ; +fall_capacitance : 7.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 138 ; +max_fanout : 4 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v0x1 27.59 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 14.27, 14.30, 14.33, 14.35, 14.33", \ + " 13.88, 13.93, 14.00, 14.05, 14.07", \ + " 13.75, 13.80, 13.89, 13.96, 13.98", \ + " 13.68, 13.72, 13.80, 13.88, 13.92", \ + " 13.70, 13.71, 13.75, 13.82, 13.86", \ + " 13.94, 13.90, 13.87, 13.86, 13.86", \ + " 14.64, 14.52, 14.33, 14.14, 14.02", \ + " 16.19, 15.93, 15.47, 14.96, 14.56", \ + " 19.22, 18.77, 17.92, 16.85, 15.94", \ + " 24.61, 23.94, 22.56, 20.65, 18.87" ); }} +internal_power(b_z_n) { /* nr3v0x1 19.86 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 10.21, 10.25, 10.30, 10.32, 10.31", \ + " 9.91, 9.99, 10.11, 10.20, 10.24", \ + " 9.80, 9.88, 10.01, 10.13, 10.20", \ + " 9.75, 9.81, 9.93, 10.07, 10.15", \ + " 9.83, 9.85, 9.92, 10.03, 10.11", \ + " 10.22, 10.16, 10.10, 10.11, 10.14", \ + " 11.18, 11.00, 10.72, 10.49, 10.36", \ + " 13.06, 12.70, 12.09, 11.45, 11.00", \ + " 16.39, 15.83, 14.78, 13.51, 12.48", \ + " 21.89, 21.13, 19.58, 17.45, 15.50" ); }} +internal_power(c_z_n) { /* nr3v0x1 11.47 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_162_5x10) { +values( " 5.53, 5.76, 6.01, 6.16, 6.22", \ + " 5.27, 5.48, 5.81, 6.11, 6.26", \ + " 5.30, 5.45, 5.74, 6.05, 6.24", \ + " 5.47, 5.53, 5.73, 6.02, 6.22", \ + " 5.93, 5.87, 5.89, 6.05, 6.22", \ + " 6.80, 6.59, 6.36, 6.29, 6.33", \ + " 8.33, 7.93, 7.37, 6.92, 6.71", \ + " 10.83, 10.20, 9.21, 8.24, 7.58", \ + " 14.83, 13.97, 12.45, 10.74, 9.40", \ + " 21.14, 20.05, 17.94, 15.23, 12.90" ); }} +timing() { /* ring osc delay nr3v0x1, path a to z 95.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.6 ; */ +/* intrinsic_fall : 71.8 ; */ +/* rise_resistance : 3.13 ; */ +/* fall_resistance : 2.39 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.1, 72.4, 104.1, 174.4, 298.7, 562.7", \ + " 67.2, 79.6, 111.5, 182.0, 306.5, 570.5", \ + " 71.9, 84.3, 116.2, 186.7, 311.3, 575.5", \ + " 77.3, 89.8, 121.8, 192.4, 317.0, 581.2", \ + " 83.9, 97.8, 130.4, 201.0, 325.7, 590.0", \ + " 89.9, 105.0, 140.8, 212.2, 336.9, 601.2", \ + " 96.0, 112.9, 151.9, 228.3, 353.0, 617.1", \ + " 101.9, 121.3, 164.9, 247.5, 376.7, 640.3", \ + " 107.3, 130.4, 180.6, 271.3, 409.9, 675.9", \ + " 113.5, 140.6, 199.3, 301.9, 452.0, 731.5" ); } +rise_transition(x1_162_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 103.3, 136.2, 225.8, 429.5, 793.5, 1568.1", \ + " 103.9, 136.6, 225.9, 429.5, 793.5, 1568.1", \ + " 104.9, 137.5, 226.4, 429.7, 793.5, 1568.1", \ + " 108.6, 140.4, 228.3, 430.3, 793.6, 1568.1", \ + " 116.7, 147.6, 234.0, 434.3, 795.2, 1568.2", \ + " 132.7, 161.0, 244.8, 442.6, 800.9, 1569.9", \ + " 157.7, 187.8, 266.2, 459.3, 813.6, 1577.4", \ + " 194.5, 224.7, 307.5, 491.7, 838.9, 1594.5", \ + " 257.2, 286.4, 368.7, 553.3, 888.1, 1630.2", \ + " 361.3, 389.4, 469.0, 655.0, 979.6, 1700.9" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 63.7, 89.5, 143.9, 237.2, 432.3", \ + " 59.3, 69.8, 95.7, 150.2, 243.6, 438.7", \ + " 64.6, 75.0, 100.9, 155.5, 248.9, 444.0", \ + " 72.2, 82.4, 108.2, 162.7, 256.1, 451.3", \ + " 85.8, 96.3, 121.5, 175.8, 269.1, 464.3", \ + " 100.6, 113.1, 141.3, 195.1, 288.1, 483.1", \ + " 117.4, 132.0, 165.5, 224.9, 317.3, 511.8", \ + " 136.4, 153.2, 192.2, 263.0, 361.0, 554.5", \ + " 158.7, 177.9, 222.9, 306.0, 422.8, 619.9", \ + " 185.4, 207.5, 259.3, 355.6, 493.9, 720.3" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.9, 113.1, 169.3, 294.6, 516.8, 989.1", \ + " 91.3, 112.4, 168.9, 294.5, 516.8, 989.1", \ + " 94.5, 114.9, 169.6, 294.5, 516.8, 989.1", \ + " 101.4, 120.9, 173.7, 295.4, 516.9, 989.1", \ + " 117.1, 135.6, 185.6, 302.4, 518.2, 989.1", \ + " 142.8, 160.7, 208.0, 319.0, 527.2, 989.5", \ + " 177.3, 198.6, 246.7, 351.5, 550.3, 998.3", \ + " 222.4, 247.2, 303.2, 407.2, 595.3, 1026.0", \ + " 283.8, 312.7, 377.7, 495.2, 675.8, 1085.4", \ + " 369.4, 403.2, 478.7, 614.7, 809.0, 1197.3" ); }} +timing() { /* ring osc delay nr3v0x1, path b to z 83.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 62.7 ; */ +/* rise_resistance : 3.13 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 64.0, 95.6, 165.8, 290.1, 554.1", \ + " 57.8, 70.1, 101.9, 172.3, 296.7, 560.8", \ + " 62.3, 74.7, 106.5, 176.9, 301.4, 565.5", \ + " 67.4, 80.4, 112.3, 182.7, 307.3, 571.4", \ + " 72.9, 88.0, 122.1, 192.6, 317.2, 581.4", \ + " 79.1, 96.0, 134.4, 206.9, 331.4, 595.5", \ + " 87.0, 106.1, 149.0, 228.5, 353.1, 617.0", \ + " 96.8, 118.9, 167.3, 255.1, 385.7, 649.0", \ + " 110.0, 135.6, 191.0, 289.1, 432.4, 698.2", \ + " 127.4, 157.2, 221.3, 332.8, 491.9, 774.4" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 99.6, 131.6, 218.7, 417.0, 771.4, 1525.4", \ + " 100.2, 132.1, 218.9, 417.1, 771.4, 1525.4", \ + " 103.4, 134.5, 219.9, 417.3, 771.4, 1525.4", \ + " 109.2, 139.5, 224.0, 419.0, 771.6, 1525.4", \ + " 121.9, 150.7, 233.1, 425.5, 774.3, 1525.4", \ + " 145.8, 171.2, 249.7, 438.2, 782.6, 1527.3", \ + " 174.1, 206.5, 280.8, 462.5, 800.3, 1536.6", \ + " 216.5, 249.0, 333.7, 505.8, 834.1, 1558.3", \ + " 284.1, 316.2, 401.5, 580.6, 895.5, 1602.9", \ + " 382.4, 415.9, 502.9, 691.5, 1001.8, 1687.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 54.7, 78.8, 131.0, 222.7, 416.3", \ + " 51.6, 61.2, 85.5, 138.1, 229.9, 423.7", \ + " 56.8, 66.4, 90.8, 143.5, 235.4, 429.3", \ + " 64.2, 73.7, 98.0, 150.8, 242.7, 436.7", \ + " 74.7, 86.0, 111.2, 163.8, 255.8, 449.7", \ + " 85.7, 99.2, 129.2, 183.0, 274.7, 468.6", \ + " 98.1, 113.8, 149.5, 212.0, 303.7, 497.2", \ + " 111.8, 130.1, 171.7, 246.3, 347.3, 539.8", \ + " 127.4, 148.6, 197.0, 284.6, 405.9, 604.9", \ + " 144.7, 169.6, 226.1, 328.3, 472.1, 704.2" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.0, 86.1, 141.8, 267.0, 489.4, 961.8", \ + " 65.8, 86.4, 141.9, 267.0, 489.4, 961.8", \ + " 69.8, 89.5, 143.1, 267.1, 489.4, 961.8", \ + " 77.7, 96.4, 147.8, 268.4, 489.4, 961.8", \ + " 94.8, 112.3, 160.7, 276.0, 490.9, 961.8", \ + " 118.0, 137.8, 184.1, 293.3, 500.5, 962.1", \ + " 148.8, 171.9, 223.3, 326.8, 524.2, 971.2", \ + " 189.7, 216.5, 276.1, 383.3, 570.1, 999.4", \ + " 246.2, 277.3, 346.3, 469.3, 651.5, 1059.6", \ + " 325.0, 362.1, 442.5, 584.5, 784.9, 1172.6" ); }} +timing() { /* ring osc delay nr3v0x1, path c to z 61.8 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 47.2 ; */ +/* rise_resistance : 3.12 ; */ +/* fall_resistance : 2.33 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.0, 43.4, 75.4, 145.9, 270.4, 534.5", \ + " 36.5, 48.2, 80.1, 150.9, 275.6, 539.8", \ + " 41.4, 53.0, 84.3, 155.0, 279.8, 544.0", \ + " 45.9, 59.7, 90.6, 160.9, 285.5, 549.8", \ + " 52.7, 68.3, 102.7, 171.8, 296.0, 560.0", \ + " 61.0, 78.5, 117.6, 188.5, 311.7, 575.1", \ + " 71.3, 91.3, 135.5, 214.6, 336.4, 598.4", \ + " 83.9, 106.9, 157.0, 246.6, 374.4, 634.0", \ + " 99.2, 126.1, 183.8, 285.3, 430.0, 689.6", \ + " 118.2, 149.8, 217.1, 333.1, 497.7, 777.4" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.2, 123.0, 210.2, 405.7, 752.6, 1489.4", \ + " 94.8, 125.4, 209.8, 405.1, 752.5, 1489.4", \ + " 101.6, 131.1, 213.3, 404.9, 752.4, 1489.4", \ + " 111.9, 140.2, 219.9, 408.0, 751.7, 1489.3", \ + " 132.3, 158.2, 234.5, 417.3, 754.9, 1489.2", \ + " 159.8, 187.0, 258.7, 435.2, 765.6, 1490.0", \ + " 181.4, 220.2, 298.3, 468.1, 788.9, 1500.5", \ + " 220.8, 259.8, 354.4, 522.2, 832.4, 1527.8", \ + " 282.4, 322.9, 420.9, 608.5, 908.7, 1583.3", \ + " 373.6, 417.6, 521.2, 725.7, 1034.1, 1687.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 39.1, 62.9, 114.8, 206.0, 399.3", \ + " 36.3, 45.7, 69.9, 122.4, 214.0, 407.6", \ + " 41.5, 51.2, 75.3, 127.8, 219.7, 413.4", \ + " 46.2, 58.0, 82.6, 135.1, 227.1, 420.9", \ + " 52.1, 66.3, 95.6, 148.1, 240.0, 434.0", \ + " 58.0, 74.7, 109.9, 167.3, 258.9, 452.8", \ + " 64.3, 83.9, 125.5, 194.5, 287.8, 481.3", \ + " 70.7, 93.7, 142.3, 224.3, 331.1, 523.7", \ + " 76.7, 103.8, 160.9, 257.2, 385.6, 588.6", \ + " 81.5, 113.7, 181.1, 294.2, 446.4, 686.3" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.0, 58.9, 114.3, 239.3, 461.4, 933.3", \ + " 40.6, 59.9, 114.3, 239.3, 461.4, 933.3", \ + " 46.6, 64.6, 116.2, 239.3, 461.4, 933.3", \ + " 56.5, 73.1, 122.1, 240.8, 461.4, 933.3", \ + " 71.5, 91.2, 136.5, 249.3, 462.9, 933.3", \ + " 90.3, 113.1, 161.4, 267.7, 473.0, 933.6", \ + " 117.0, 143.0, 199.6, 302.6, 497.7, 943.0", \ + " 154.5, 184.0, 248.3, 360.3, 544.7, 971.8", \ + " 207.6, 242.0, 315.0, 443.8, 627.5, 1032.9", \ + " 283.6, 324.1, 408.8, 555.2, 761.4, 1147.4" ); }} +} +} +cell(nr3v0x2) { /* 2008-01-06:07h47 characteristic delay 19.6 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1455 ; /* nr3v0x2 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v0x2 FO4 effort 2.18 logical effort 2.33 */ +direction : input ; +capacitance : 10.69 ; +rise_capacitance : 11.06 ; +fall_capacitance : 10.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v0x2 */ +} +pin(b) { /* nr3v0x2 FO4 effort 2.04 logical effort 2.28 */ +direction : input ; +capacitance : 10.56 ; +rise_capacitance : 10.32 ; +fall_capacitance : 10.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v0x2 */ +} +pin(c) { /* nr3v0x2 FO4 effort 1.78 logical effort 2.25 */ +direction : input ; +capacitance : 10.44 ; +rise_capacitance : 9.55 ; +fall_capacitance : 11.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 199 ; +max_fanout : 6 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v0x2 40.10 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 20.67, 20.70, 20.73, 20.73, 20.70", \ + " 20.16, 20.22, 20.29, 20.34, 20.33", \ + " 20.02, 20.07, 20.15, 20.22, 20.23", \ + " 19.94, 19.98, 20.05, 20.12, 20.14", \ + " 19.99, 19.99, 20.02, 20.06, 20.08", \ + " 20.36, 20.29, 20.21, 20.15, 20.11", \ + " 21.41, 21.21, 20.89, 20.58, 20.36", \ + " 23.70, 23.28, 22.56, 21.76, 21.15", \ + " 28.19, 27.46, 26.12, 24.49, 23.12", \ + " 36.16, 35.08, 32.91, 29.99, 27.33" ); }} +internal_power(b_z_n) { /* nr3v0x2 29.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 14.99, 15.05, 15.12, 15.15, 15.14", \ + " 14.57, 14.69, 14.86, 14.99, 15.04", \ + " 14.41, 14.53, 14.72, 14.90, 14.98", \ + " 14.34, 14.43, 14.61, 14.81, 14.92", \ + " 14.46, 14.49, 14.59, 14.75, 14.86", \ + " 15.03, 14.94, 14.85, 14.86, 14.91", \ + " 16.44, 16.15, 15.74, 15.40, 15.22", \ + " 19.20, 18.64, 17.72, 16.76, 16.11", \ + " 24.11, 23.23, 21.61, 19.70, 18.19", \ + " 32.21, 31.01, 28.60, 25.37, 22.49" ); }} +internal_power(c_z_n) { /* nr3v0x2 17.32 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 8.36, 8.73, 9.12, 9.35, 9.43", \ + " 7.94, 8.28, 8.82, 9.26, 9.49", \ + " 7.97, 8.22, 8.69, 9.18, 9.46", \ + " 8.20, 8.32, 8.66, 9.11, 9.42", \ + " 8.87, 8.79, 8.86, 9.14, 9.41", \ + " 10.12, 9.81, 9.51, 9.45, 9.55", \ + " 12.35, 11.74, 10.93, 10.31, 10.05", \ + " 16.01, 15.05, 13.57, 12.16, 11.25", \ + " 21.87, 20.55, 18.24, 15.72, 13.80", \ + " 31.14, 29.44, 26.21, 22.17, 18.77" ); }} +timing() { /* ring osc delay nr3v0x2, path a to z 94.8 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.4 ; */ +/* intrinsic_fall : 69.9 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.58 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.1, 73.6, 108.4, 186.0, 323.6, 615.6", \ + " 67.4, 80.9, 116.0, 193.7, 331.3, 623.4", \ + " 72.1, 85.7, 120.8, 198.6, 336.3, 628.3", \ + " 77.6, 91.3, 126.4, 204.3, 342.0, 634.1", \ + " 84.4, 99.4, 135.1, 213.0, 350.7, 642.9", \ + " 90.7, 107.1, 145.9, 224.3, 362.0, 654.2", \ + " 97.1, 115.4, 157.8, 240.6, 378.1, 670.2", \ + " 103.6, 124.6, 171.6, 261.0, 401.8, 693.4", \ + " 110.0, 134.8, 188.7, 286.5, 436.7, 729.0", \ + " 117.7, 146.9, 209.6, 319.3, 481.4, 785.0" ); } +rise_transition(x2_260_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 106.8, 143.3, 242.3, 467.7, 870.4, 1727.3", \ + " 107.4, 143.7, 242.4, 467.7, 870.4, 1727.3", \ + " 108.6, 144.5, 242.9, 467.9, 870.4, 1727.3", \ + " 112.0, 147.3, 244.7, 468.5, 870.5, 1727.3", \ + " 119.8, 154.1, 250.1, 472.1, 871.8, 1727.4", \ + " 135.0, 166.9, 260.4, 479.9, 877.0, 1728.6", \ + " 160.0, 193.2, 281.1, 496.0, 888.9, 1735.1", \ + " 197.1, 230.4, 321.5, 527.3, 913.1, 1751.0", \ + " 260.2, 292.5, 383.6, 586.9, 960.6, 1784.7", \ + " 364.9, 396.0, 484.0, 689.3, 1049.1, 1852.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 62.2, 89.6, 147.4, 246.6, 454.4", \ + " 57.4, 68.5, 95.9, 153.8, 253.0, 460.9", \ + " 62.7, 73.8, 101.2, 159.0, 258.4, 466.2", \ + " 70.4, 81.2, 108.5, 166.3, 265.7, 473.5", \ + " 84.0, 95.2, 121.9, 179.5, 278.7, 486.5", \ + " 98.5, 111.9, 141.7, 198.8, 297.7, 505.4", \ + " 115.0, 130.6, 166.1, 228.5, 326.9, 534.1", \ + " 133.4, 151.4, 192.9, 267.4, 370.5, 576.8", \ + " 154.8, 175.5, 223.4, 311.2, 433.5, 642.0", \ + " 180.2, 204.1, 259.3, 361.3, 506.5, 742.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.5, 113.2, 173.1, 306.5, 543.5, 1047.2", \ + " 90.1, 112.6, 172.6, 306.5, 543.5, 1047.2", \ + " 93.5, 115.2, 173.4, 306.5, 543.5, 1047.2", \ + " 100.7, 121.4, 177.6, 307.3, 543.6, 1047.3", \ + " 116.6, 136.2, 189.4, 314.1, 544.7, 1047.3", \ + " 142.2, 161.5, 211.8, 330.4, 553.1, 1047.6", \ + " 176.8, 199.5, 250.5, 362.5, 575.4, 1055.0", \ + " 222.1, 248.5, 307.6, 417.9, 619.5, 1081.1", \ + " 283.9, 314.6, 383.1, 506.5, 699.0, 1138.5", \ + " 369.9, 405.9, 485.6, 628.4, 831.9, 1248.2" ); }} +timing() { /* ring osc delay nr3v0x2, path b to z 84.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.8 ; */ +/* intrinsic_fall : 62.1 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.8, 66.3, 101.2, 178.8, 316.3, 608.3", \ + " 58.9, 72.4, 107.5, 185.3, 322.9, 614.9", \ + " 63.4, 77.0, 112.1, 189.9, 327.6, 619.7", \ + " 68.6, 82.7, 117.9, 195.7, 333.4, 625.6", \ + " 74.2, 90.7, 127.8, 205.6, 343.4, 635.6", \ + " 80.7, 99.0, 140.7, 219.9, 357.6, 649.7", \ + " 88.9, 109.7, 156.0, 241.8, 379.2, 671.1", \ + " 99.5, 123.4, 175.4, 270.0, 411.9, 703.2", \ + " 113.8, 141.3, 200.7, 305.9, 460.4, 752.4", \ + " 132.9, 164.8, 233.3, 352.3, 523.1, 828.6" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.5, 138.0, 234.6, 454.1, 846.2, 1680.3", \ + " 103.1, 138.4, 234.7, 454.2, 846.2, 1680.3", \ + " 106.3, 140.7, 235.6, 454.4, 846.2, 1680.3", \ + " 112.0, 145.7, 239.5, 455.7, 846.4, 1680.3", \ + " 124.5, 156.7, 248.3, 461.9, 848.4, 1680.4", \ + " 148.1, 176.8, 264.5, 473.9, 856.0, 1681.4", \ + " 177.2, 212.9, 294.8, 497.3, 872.6, 1689.4", \ + " 219.6, 255.5, 348.1, 539.3, 904.8, 1709.2", \ + " 287.1, 322.6, 416.6, 611.9, 964.0, 1751.1", \ + " 385.0, 421.9, 517.7, 724.9, 1067.3, 1831.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 54.7, 80.2, 135.9, 233.5, 440.0", \ + " 51.0, 61.2, 87.1, 143.0, 240.8, 447.4", \ + " 56.3, 66.5, 92.3, 148.4, 246.3, 453.0", \ + " 63.6, 73.7, 99.6, 155.7, 253.6, 460.4", \ + " 74.0, 86.1, 112.7, 168.7, 266.7, 473.4", \ + " 84.8, 99.2, 130.9, 187.9, 285.6, 492.3", \ + " 96.9, 113.7, 151.6, 217.1, 314.6, 520.9", \ + " 110.1, 129.7, 173.9, 252.4, 358.1, 563.4", \ + " 124.9, 147.6, 199.2, 291.6, 418.3, 628.3", \ + " 141.0, 167.7, 227.9, 335.9, 486.7, 728.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.5, 86.9, 146.4, 279.9, 517.1, 1020.9", \ + " 65.4, 87.3, 146.5, 279.9, 517.1, 1020.9", \ + " 69.4, 90.4, 147.6, 280.0, 517.1, 1020.9", \ + " 77.5, 97.3, 152.2, 281.1, 517.1, 1020.9", \ + " 94.7, 113.3, 164.9, 288.3, 518.2, 1020.9", \ + " 117.9, 138.9, 188.2, 305.2, 527.0, 1021.1", \ + " 148.8, 173.3, 227.6, 338.1, 549.9, 1028.7", \ + " 190.0, 218.4, 281.3, 394.3, 594.7, 1055.2", \ + " 246.8, 279.9, 352.6, 481.5, 675.0, 1113.3", \ + " 326.1, 365.5, 450.2, 599.2, 808.5, 1223.9" ); }} +timing() { /* ring osc delay nr3v0x2, path c to z 63.4 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.5 ; */ +/* intrinsic_fall : 47.8 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.9, 46.7, 82.1, 160.1, 297.8, 589.9", \ + " 38.1, 51.2, 86.6, 165.0, 302.9, 595.2", \ + " 43.3, 55.9, 90.8, 169.1, 307.0, 599.4", \ + " 47.8, 62.9, 97.0, 174.8, 312.8, 605.1", \ + " 54.9, 71.8, 108.9, 185.7, 323.1, 615.3", \ + " 63.4, 82.5, 124.6, 202.1, 338.7, 630.2", \ + " 74.3, 95.9, 143.5, 228.4, 363.2, 653.4", \ + " 87.6, 112.4, 166.2, 262.4, 400.9, 688.7", \ + " 104.1, 133.0, 194.7, 303.5, 458.4, 743.9", \ + " 124.7, 158.7, 230.4, 354.3, 530.5, 831.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 93.4, 129.7, 226.0, 442.2, 825.8, 1640.7", \ + " 97.6, 131.6, 225.3, 441.7, 825.7, 1640.7", \ + " 104.3, 137.1, 228.4, 441.1, 825.6, 1640.7", \ + " 114.5, 146.0, 234.7, 443.6, 825.2, 1640.7", \ + " 134.6, 163.7, 248.7, 452.1, 827.1, 1640.7", \ + " 164.7, 191.8, 272.3, 469.1, 836.5, 1640.6", \ + " 185.3, 227.9, 311.0, 500.6, 858.2, 1649.0", \ + " 224.1, 267.0, 370.3, 553.4, 899.4, 1673.6", \ + " 285.3, 329.5, 436.8, 638.0, 973.1, 1725.5", \ + " 376.4, 424.2, 537.0, 759.8, 1096.2, 1824.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 40.3, 65.7, 121.0, 218.2, 424.4", \ + " 36.9, 47.0, 72.8, 128.6, 226.3, 432.7", \ + " 42.2, 52.4, 78.1, 134.1, 231.9, 438.5", \ + " 46.9, 59.4, 85.4, 141.3, 239.3, 446.0", \ + " 52.7, 67.8, 98.6, 154.3, 252.3, 459.1", \ + " 58.6, 76.3, 113.3, 173.4, 271.1, 477.9", \ + " 64.7, 85.5, 129.3, 201.3, 299.9, 506.3", \ + " 70.7, 95.2, 146.5, 232.3, 343.2, 548.6", \ + " 76.1, 105.0, 165.2, 266.3, 400.0, 613.3", \ + " 79.9, 114.1, 185.3, 304.1, 463.1, 712.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.5, 60.7, 119.9, 253.1, 490.0, 993.5", \ + " 40.9, 61.5, 119.9, 253.1, 490.0, 993.5", \ + " 46.8, 66.1, 121.5, 253.1, 490.0, 993.5", \ + " 56.8, 74.6, 127.1, 254.3, 490.0, 993.5", \ + " 72.1, 92.6, 141.2, 262.2, 491.1, 993.5", \ + " 90.9, 115.2, 165.9, 280.1, 500.3, 993.5", \ + " 117.9, 145.4, 204.9, 314.3, 523.8, 1001.3", \ + " 155.6, 186.9, 254.5, 371.7, 569.7, 1028.2", \ + " 208.9, 245.4, 322.3, 457.2, 651.4, 1087.1", \ + " 285.2, 328.3, 417.5, 570.9, 786.1, 1199.0" ); }} +} +} +cell(nr3v0x3) { /* 2008-01-06:07h47 characteristic delay 19.5 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1721 ; /* nr3v0x3 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v0x3 FO4 effort 2.21 logical effort 2.38 */ +direction : input ; +capacitance : 13.92 ; +rise_capacitance : 14.40 ; +fall_capacitance : 13.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v0x3 */ +} +pin(b) { /* nr3v0x3 FO4 effort 2.04 logical effort 2.29 */ +direction : input ; +capacitance : 13.52 ; +rise_capacitance : 13.22 ; +fall_capacitance : 13.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v0x3 */ +} +pin(c) { /* nr3v0x3 FO4 effort 1.71 logical effort 2.16 */ +direction : input ; +capacitance : 12.76 ; +rise_capacitance : 11.63 ; +fall_capacitance : 13.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 254 ; +max_fanout : 8 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v0x3 50.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 26.16, 26.23, 26.28, 26.29, 26.24", \ + " 25.45, 25.56, 25.70, 25.78, 25.77", \ + " 25.23, 25.34, 25.50, 25.61, 25.62", \ + " 25.11, 25.19, 25.34, 25.47, 25.51", \ + " 25.15, 25.17, 25.26, 25.37, 25.41", \ + " 25.60, 25.52, 25.44, 25.42, 25.41", \ + " 26.91, 26.63, 26.22, 25.88, 25.66", \ + " 29.80, 29.19, 28.22, 27.23, 26.52", \ + " 35.48, 34.42, 32.56, 30.45, 28.78", \ + " 45.57, 43.98, 40.93, 37.04, 33.70" ); }} +internal_power(b_z_n) { /* nr3v0x3 36.47 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 18.67, 18.77, 18.85, 18.88, 18.86", \ + " 18.14, 18.31, 18.54, 18.69, 18.73", \ + " 17.94, 18.11, 18.38, 18.59, 18.67", \ + " 17.84, 17.98, 18.24, 18.48, 18.60", \ + " 18.00, 18.04, 18.19, 18.40, 18.54", \ + " 18.72, 18.59, 18.49, 18.52, 18.58", \ + " 20.51, 20.09, 19.53, 19.12, 18.92", \ + " 24.01, 23.19, 21.92, 20.71, 19.92", \ + " 30.23, 28.93, 26.68, 24.20, 22.33", \ + " 40.51, 38.73, 35.32, 31.01, 27.38" ); }} +internal_power(c_z_n) { /* nr3v0x3 21.02 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 10.01, 10.51, 10.96, 11.21, 11.28", \ + " 9.54, 10.01, 10.67, 11.17, 11.40", \ + " 9.61, 9.94, 10.54, 11.09, 11.38", \ + " 9.92, 10.07, 10.51, 11.03, 11.35", \ + " 10.78, 10.66, 10.75, 11.08, 11.36", \ + " 12.39, 11.92, 11.51, 11.44, 11.53", \ + " 15.24, 14.33, 13.22, 12.45, 12.11", \ + " 19.89, 18.47, 16.44, 14.63, 13.51", \ + " 27.35, 25.39, 22.18, 18.89, 16.49", \ + " 39.13, 36.61, 32.04, 26.69, 22.37" ); }} +timing() { /* ring osc delay nr3v0x3, path a to z 106.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.5 ; */ +/* intrinsic_fall : 70.5 ; */ +/* rise_resistance : 1.70 ; */ +/* fall_resistance : 1.24 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.0, 76.9, 118.1, 209.6, 371.8, 716.2", \ + " 68.1, 84.1, 125.5, 217.2, 379.5, 724.0", \ + " 72.8, 88.9, 130.3, 222.1, 384.4, 729.0", \ + " 78.3, 94.5, 135.9, 227.7, 390.2, 734.8", \ + " 85.0, 102.7, 144.5, 236.4, 398.9, 743.6", \ + " 91.2, 110.5, 155.7, 247.6, 410.2, 754.9", \ + " 97.6, 119.0, 168.2, 263.9, 426.2, 770.8", \ + " 103.9, 128.5, 182.7, 285.8, 449.7, 793.8", \ + " 110.1, 139.1, 200.9, 312.9, 485.8, 829.1", \ + " 117.5, 151.7, 223.3, 347.9, 533.6, 884.5" ); } +rise_transition(x3_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 105.8, 148.9, 266.1, 532.4, 1007.7, 2018.6", \ + " 106.4, 149.3, 266.2, 532.4, 1007.7, 2018.6", \ + " 107.4, 150.0, 266.5, 532.5, 1007.7, 2018.6", \ + " 111.0, 152.8, 268.1, 532.9, 1007.7, 2018.6", \ + " 119.0, 159.6, 273.4, 536.0, 1008.4, 2018.6", \ + " 134.5, 172.3, 283.4, 543.4, 1012.9, 2019.1", \ + " 159.7, 198.7, 303.3, 558.7, 1023.8, 2024.3", \ + " 196.5, 236.1, 342.4, 588.5, 1046.2, 2038.2", \ + " 259.3, 297.6, 405.7, 645.3, 1090.8, 2068.6", \ + " 363.7, 400.5, 505.3, 747.7, 1174.9, 2130.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.0, 65.1, 97.2, 165.1, 282.2, 527.9", \ + " 58.2, 71.3, 103.5, 171.5, 288.6, 534.3", \ + " 63.4, 76.5, 108.8, 176.8, 293.9, 539.6", \ + " 71.1, 83.9, 116.1, 184.0, 301.2, 546.9", \ + " 84.6, 97.9, 129.3, 197.1, 314.2, 559.9", \ + " 99.2, 114.9, 149.1, 216.3, 333.2, 578.7", \ + " 115.7, 134.1, 174.8, 245.8, 362.1, 607.3", \ + " 134.1, 155.3, 203.1, 287.3, 405.5, 649.8", \ + " 155.7, 180.0, 235.3, 334.8, 471.2, 714.6", \ + " 181.3, 209.2, 273.0, 389.0, 551.8, 814.6" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.8, 117.6, 188.4, 346.4, 627.1, 1223.6", \ + " 90.3, 116.9, 188.1, 346.4, 627.1, 1223.6", \ + " 93.6, 119.3, 188.5, 346.4, 627.1, 1223.6", \ + " 100.6, 125.3, 192.1, 346.7, 627.1, 1223.7", \ + " 116.5, 139.8, 203.2, 352.1, 627.5, 1223.7", \ + " 142.3, 164.9, 224.7, 366.8, 633.5, 1223.8", \ + " 176.9, 203.4, 262.8, 397.0, 652.9, 1227.4", \ + " 222.1, 253.1, 321.0, 450.4, 693.4, 1248.2", \ + " 283.8, 319.8, 398.7, 538.6, 768.7, 1299.3", \ + " 369.8, 412.0, 503.5, 665.6, 898.5, 1400.9" ); }} +timing() { /* ring osc delay nr3v0x3, path b to z 94.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.0 ; */ +/* intrinsic_fall : 61.7 ; */ +/* rise_resistance : 1.70 ; */ +/* fall_resistance : 1.22 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.7, 68.6, 109.6, 201.1, 363.3, 707.7", \ + " 58.8, 74.7, 116.0, 207.6, 369.9, 714.3", \ + " 63.3, 79.3, 120.6, 212.3, 374.6, 719.1", \ + " 68.5, 85.0, 126.4, 218.1, 380.5, 725.0", \ + " 74.1, 93.4, 136.3, 228.0, 390.4, 735.0", \ + " 80.5, 102.0, 150.0, 242.3, 404.6, 749.2", \ + " 88.7, 113.0, 166.2, 264.1, 426.2, 770.5", \ + " 99.2, 127.0, 186.7, 294.5, 458.7, 802.5", \ + " 113.3, 145.4, 213.3, 332.8, 508.6, 851.4", \ + " 132.0, 169.4, 247.6, 382.2, 575.8, 927.2" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 101.9, 143.8, 257.9, 517.2, 979.9, 1963.9", \ + " 102.5, 144.2, 258.0, 517.2, 979.9, 1963.9", \ + " 105.6, 146.3, 258.8, 517.3, 979.9, 1963.9", \ + " 111.3, 151.3, 262.3, 518.2, 980.0, 1963.9", \ + " 123.8, 162.0, 270.7, 523.7, 981.0, 1963.9", \ + " 147.4, 181.6, 286.3, 534.8, 987.4, 1964.1", \ + " 176.4, 218.4, 315.4, 556.8, 1002.2, 1969.8", \ + " 218.7, 261.0, 366.8, 596.8, 1031.9, 1986.5", \ + " 286.2, 328.0, 438.5, 666.6, 1087.7, 2024.0", \ + " 384.2, 427.7, 540.2, 782.0, 1186.7, 2098.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 56.5, 86.6, 152.3, 267.7, 512.2", \ + " 51.0, 63.1, 93.5, 159.4, 275.1, 519.6", \ + " 56.2, 68.3, 98.8, 164.8, 280.6, 525.1", \ + " 63.6, 75.6, 106.0, 172.2, 287.9, 532.6", \ + " 74.0, 88.1, 119.1, 185.2, 301.0, 545.6", \ + " 84.8, 101.7, 138.0, 204.3, 319.9, 564.5", \ + " 96.9, 116.7, 160.1, 233.7, 348.7, 593.0", \ + " 110.2, 133.1, 184.0, 272.3, 392.0, 635.3", \ + " 125.0, 151.7, 211.0, 315.4, 456.2, 699.9", \ + " 141.3, 172.5, 241.8, 364.0, 532.4, 799.7" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.6, 91.1, 161.4, 319.5, 600.4, 1197.0", \ + " 65.4, 91.4, 161.5, 319.5, 600.4, 1197.0", \ + " 69.4, 94.3, 162.3, 319.6, 600.4, 1197.0", \ + " 77.4, 101.0, 166.4, 320.1, 600.4, 1197.0", \ + " 94.7, 116.8, 178.4, 326.0, 600.7, 1197.0", \ + " 117.9, 142.5, 200.9, 341.3, 607.1, 1197.0", \ + " 148.8, 177.5, 239.7, 372.3, 627.0, 1200.8", \ + " 189.9, 223.2, 295.3, 426.6, 668.3, 1221.9", \ + " 246.6, 285.4, 368.8, 514.8, 744.5, 1273.6", \ + " 325.8, 371.9, 468.9, 637.6, 875.0, 1376.2" ); }} +timing() { /* ring osc delay nr3v0x3, path c to z 72.1 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.7 ; */ +/* intrinsic_fall : 46.7 ; */ +/* rise_resistance : 1.69 ; */ +/* fall_resistance : 1.22 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 47.7, 89.2, 181.0, 343.3, 687.8", \ + " 37.1, 52.4, 94.0, 186.0, 348.6, 693.2", \ + " 42.1, 57.1, 98.2, 190.2, 352.7, 697.4", \ + " 46.8, 64.3, 104.3, 196.0, 358.5, 703.1", \ + " 53.9, 73.6, 116.0, 206.8, 368.9, 713.3", \ + " 62.4, 84.6, 132.8, 223.1, 384.3, 728.2", \ + " 73.3, 98.5, 152.9, 248.9, 408.5, 751.3", \ + " 86.4, 115.4, 177.0, 285.7, 445.7, 786.2", \ + " 102.8, 136.5, 206.9, 330.1, 504.0, 840.6", \ + " 123.2, 162.9, 244.6, 384.6, 582.8, 926.7" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 92.4, 135.2, 248.9, 503.9, 956.4, 1917.7", \ + " 96.9, 137.1, 248.0, 503.5, 956.4, 1917.7", \ + " 103.6, 142.4, 250.7, 502.8, 956.3, 1917.7", \ + " 113.8, 151.1, 256.5, 504.4, 956.1, 1917.7", \ + " 133.8, 168.4, 269.8, 511.7, 956.5, 1917.7", \ + " 162.3, 195.9, 292.5, 527.2, 963.9, 1917.4", \ + " 183.6, 233.5, 330.3, 556.8, 983.1, 1922.1", \ + " 222.8, 273.1, 390.4, 607.7, 1021.0, 1942.3", \ + " 284.2, 336.1, 460.5, 690.5, 1090.6, 1988.7", \ + " 375.2, 431.4, 562.1, 818.3, 1210.3, 2080.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.4, 41.2, 71.0, 136.2, 251.3, 495.4", \ + " 36.1, 48.0, 78.2, 144.0, 259.4, 503.7", \ + " 41.3, 53.4, 83.6, 149.5, 265.1, 509.5", \ + " 46.0, 60.5, 90.9, 156.9, 272.6, 517.1", \ + " 51.9, 69.4, 104.1, 169.9, 285.6, 530.2", \ + " 57.7, 78.4, 120.4, 188.9, 304.4, 549.0", \ + " 63.8, 88.1, 138.0, 218.0, 333.2, 577.4", \ + " 69.8, 98.4, 156.8, 252.7, 376.2, 619.7", \ + " 75.2, 108.9, 177.5, 290.8, 438.5, 684.0", \ + " 79.0, 119.0, 200.0, 333.2, 509.8, 783.4" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 38.2, 64.4, 134.5, 292.3, 572.9, 1169.1", \ + " 40.8, 65.3, 134.5, 292.3, 572.9, 1169.1", \ + " 46.8, 69.7, 135.8, 292.3, 572.9, 1169.1", \ + " 56.7, 78.0, 140.8, 292.9, 572.9, 1169.1", \ + " 71.8, 95.6, 154.1, 299.5, 573.1, 1169.1", \ + " 90.7, 119.0, 178.1, 315.7, 579.9, 1169.1", \ + " 117.6, 149.8, 217.8, 347.9, 600.5, 1172.9", \ + " 155.3, 191.9, 269.4, 403.4, 642.7, 1194.5", \ + " 208.6, 251.2, 339.1, 491.5, 720.3, 1246.9", \ + " 284.8, 335.1, 436.7, 610.5, 852.1, 1350.7" ); }} +} +} +cell(nr3v0x4) { /* 2008-01-06:07h47 characteristic delay 20.1 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 2449 ; /* nr3v0x4 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v0x4 FO4 effort 2.20 logical effort 2.39 */ +direction : input ; +capacitance : 17.67 ; +rise_capacitance : 18.27 ; +fall_capacitance : 17.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v0x4 */ +} +pin(b) { /* nr3v0x4 FO4 effort 2.07 logical effort 2.35 */ +direction : input ; +capacitance : 17.57 ; +rise_capacitance : 17.19 ; +fall_capacitance : 17.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v0x4 */ +} +pin(c) { /* nr3v0x4 FO4 effort 1.80 logical effort 2.32 */ +direction : input ; +capacitance : 17.35 ; +rise_capacitance : 15.95 ; +fall_capacitance : 18.75 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 325 ; +max_fanout : 10 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v0x4 64.46 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_390_5x10) { +values( " 33.24, 33.30, 33.35, 33.36, 33.31", \ + " 32.42, 32.51, 32.63, 32.71, 32.71", \ + " 32.18, 32.26, 32.40, 32.51, 32.53", \ + " 32.05, 32.11, 32.23, 32.35, 32.40", \ + " 32.13, 32.14, 32.18, 32.26, 32.29", \ + " 32.74, 32.64, 32.50, 32.40, 32.34", \ + " 34.45, 34.13, 33.63, 33.13, 32.77", \ + " 38.16, 37.51, 36.38, 35.10, 34.10", \ + " 45.41, 44.31, 42.22, 39.62, 37.41", \ + " 58.29, 56.64, 53.31, 48.69, 44.41" ); }} +internal_power(b_z_n) { /* nr3v0x4 46.77 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_390_5x10) { +values( " 24.04, 24.15, 24.28, 24.34, 24.33", \ + " 23.32, 23.52, 23.81, 24.05, 24.14", \ + " 23.06, 23.25, 23.58, 23.88, 24.04", \ + " 22.92, 23.08, 23.38, 23.72, 23.93", \ + " 23.12, 23.17, 23.34, 23.61, 23.83", \ + " 24.05, 23.91, 23.78, 23.80, 23.90", \ + " 26.35, 25.90, 25.24, 24.70, 24.41", \ + " 30.83, 29.97, 28.51, 26.98, 25.91", \ + " 38.78, 37.43, 34.91, 31.86, 29.41", \ + " 51.89, 50.05, 46.32, 41.21, 36.57" ); }} +internal_power(c_z_n) { /* nr3v0x4 27.19 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_390_5x10) { +values( " 13.11, 13.71, 14.37, 14.77, 14.92", \ + " 12.43, 12.97, 13.84, 14.60, 14.99", \ + " 12.50, 12.88, 13.64, 14.44, 14.93", \ + " 12.89, 13.07, 13.60, 14.33, 14.85", \ + " 13.99, 13.86, 13.95, 14.39, 14.83", \ + " 16.04, 15.55, 15.04, 14.92, 15.08", \ + " 19.69, 18.72, 17.41, 16.39, 15.93", \ + " 25.64, 24.14, 21.78, 19.49, 17.97", \ + " 35.16, 33.10, 29.47, 25.40, 22.26", \ + " 50.18, 47.56, 42.51, 36.05, 30.53" ); }} +timing() { /* ring osc delay nr3v0x4, path a to z 95.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.5 ; */ +/* intrinsic_fall : 70.0 ; */ +/* rise_resistance : 1.33 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.9, 71.3, 103.5, 175.0, 301.6, 570.4", \ + " 66.2, 78.7, 111.0, 182.7, 309.4, 578.2", \ + " 70.9, 83.5, 115.8, 187.5, 314.3, 583.1", \ + " 76.4, 89.1, 121.5, 193.2, 320.0, 588.9", \ + " 83.0, 97.0, 130.2, 201.9, 328.8, 597.7", \ + " 89.1, 104.5, 140.6, 213.2, 340.0, 609.0", \ + " 95.4, 112.5, 152.1, 229.4, 356.1, 625.0", \ + " 101.4, 121.1, 165.3, 248.9, 379.9, 648.2", \ + " 107.1, 130.5, 181.3, 273.2, 413.6, 683.9", \ + " 113.7, 141.3, 200.7, 304.5, 456.5, 739.8" ); } +rise_transition(x4_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 103.7, 137.1, 228.1, 435.4, 805.9, 1594.6", \ + " 104.3, 137.5, 228.3, 435.4, 805.9, 1594.6", \ + " 105.5, 138.4, 228.7, 435.6, 806.0, 1594.6", \ + " 109.0, 141.3, 230.7, 436.3, 806.1, 1594.6", \ + " 116.9, 148.2, 236.2, 440.1, 807.7, 1594.7", \ + " 132.4, 161.3, 246.7, 448.3, 813.2, 1596.4", \ + " 157.1, 187.6, 267.9, 464.8, 825.7, 1603.6", \ + " 194.1, 224.7, 308.7, 496.9, 850.8, 1620.5", \ + " 257.2, 286.8, 370.3, 558.1, 899.7, 1655.8", \ + " 361.7, 390.2, 470.9, 659.9, 990.6, 1725.9" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.2, 61.7, 87.5, 141.9, 235.1, 430.2", \ + " 57.4, 67.9, 93.8, 148.2, 241.6, 436.6", \ + " 62.7, 73.2, 99.1, 153.5, 246.9, 442.0", \ + " 70.4, 80.6, 106.4, 160.8, 254.2, 449.3", \ + " 84.0, 94.6, 119.7, 174.0, 267.2, 462.3", \ + " 98.6, 111.2, 139.5, 193.3, 286.3, 481.1", \ + " 115.2, 129.9, 163.5, 223.1, 315.5, 509.8", \ + " 133.7, 150.7, 189.9, 261.0, 359.2, 552.6", \ + " 155.5, 175.0, 220.2, 303.7, 420.8, 618.0", \ + " 181.5, 203.8, 256.0, 352.8, 491.5, 718.3" ); } +fall_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.1, 111.4, 167.6, 292.8, 514.9, 987.2", \ + " 89.7, 110.8, 167.1, 292.7, 514.9, 987.2", \ + " 93.0, 113.4, 168.0, 292.7, 515.0, 987.2", \ + " 100.1, 119.6, 172.3, 293.8, 515.1, 987.2", \ + " 116.0, 134.4, 184.3, 300.9, 516.5, 987.3", \ + " 141.5, 159.7, 206.9, 317.7, 525.7, 987.7", \ + " 175.9, 197.3, 245.7, 350.4, 549.0, 996.7", \ + " 220.9, 245.9, 302.1, 406.3, 594.2, 1024.5", \ + " 282.2, 311.3, 376.6, 494.3, 674.9, 1084.2", \ + " 367.8, 401.9, 477.7, 614.0, 808.4, 1196.4" ); }} +timing() { /* ring osc delay nr3v0x4, path b to z 84.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.1 ; */ +/* intrinsic_fall : 62.2 ; */ +/* rise_resistance : 1.33 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.8, 64.3, 96.5, 168.0, 294.6, 563.4", \ + " 57.8, 70.4, 102.8, 174.4, 301.2, 570.0", \ + " 62.3, 74.9, 107.3, 179.0, 305.8, 574.7", \ + " 67.4, 80.6, 113.1, 184.9, 311.7, 580.6", \ + " 72.8, 88.2, 123.0, 194.7, 321.6, 590.6", \ + " 79.0, 96.2, 135.3, 209.0, 335.8, 604.7", \ + " 86.9, 106.4, 150.0, 230.7, 357.5, 626.2", \ + " 96.9, 119.4, 168.5, 257.5, 390.1, 658.2", \ + " 110.5, 136.4, 192.6, 291.9, 437.2, 707.5", \ + " 128.4, 158.6, 223.6, 336.3, 497.3, 783.8" ); } +rise_transition(x4_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 99.3, 131.9, 220.7, 422.7, 783.5, 1551.2", \ + " 100.0, 132.4, 220.9, 422.7, 783.5, 1551.2", \ + " 103.2, 134.8, 221.8, 422.9, 783.5, 1551.2", \ + " 109.0, 139.9, 225.9, 424.5, 783.7, 1551.2", \ + " 121.8, 151.1, 235.0, 431.0, 786.3, 1551.2", \ + " 145.9, 171.7, 251.6, 443.6, 794.4, 1553.0", \ + " 174.2, 207.2, 282.6, 467.8, 811.9, 1562.0", \ + " 216.6, 249.6, 335.8, 510.9, 845.5, 1583.4", \ + " 284.2, 316.8, 403.6, 585.3, 906.5, 1627.5", \ + " 382.1, 416.2, 504.7, 696.5, 1012.2, 1711.3" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.7, 54.2, 78.2, 130.5, 222.1, 415.8", \ + " 51.1, 60.7, 85.0, 137.6, 229.4, 423.2", \ + " 56.2, 65.9, 90.3, 143.0, 234.9, 428.7", \ + " 63.6, 73.1, 97.5, 150.2, 242.2, 436.1", \ + " 74.0, 85.4, 110.6, 163.3, 255.2, 449.2", \ + " 84.8, 98.3, 128.5, 182.4, 274.2, 468.0", \ + " 96.9, 112.7, 148.6, 211.4, 303.2, 496.6", \ + " 110.2, 128.6, 170.6, 245.5, 346.7, 539.2", \ + " 125.2, 146.6, 195.4, 283.5, 405.1, 604.3", \ + " 141.8, 166.8, 223.9, 326.6, 470.9, 703.4" ); } +fall_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.7, 84.7, 140.5, 265.6, 488.0, 960.4", \ + " 64.5, 85.1, 140.6, 265.7, 488.0, 960.4", \ + " 68.6, 88.2, 141.8, 265.8, 488.0, 960.4", \ + " 76.5, 95.2, 146.5, 267.1, 488.1, 960.4", \ + " 93.8, 111.2, 159.5, 274.7, 489.6, 960.4", \ + " 116.8, 136.7, 183.0, 292.1, 499.2, 960.8", \ + " 147.4, 170.7, 222.4, 325.7, 523.0, 969.9", \ + " 188.2, 215.2, 275.1, 382.4, 569.0, 998.2", \ + " 244.5, 276.0, 345.3, 468.5, 650.6, 1058.5", \ + " 323.2, 360.7, 441.5, 583.9, 784.4, 1171.8" ); }} +timing() { /* ring osc delay nr3v0x4, path c to z 63.5 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.5 ; */ +/* intrinsic_fall : 47.4 ; */ +/* rise_resistance : 1.32 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 44.4, 77.1, 149.0, 275.8, 544.7", \ + " 37.0, 49.0, 81.6, 153.8, 280.9, 549.9", \ + " 41.9, 53.8, 85.8, 157.9, 285.0, 554.1", \ + " 46.3, 60.5, 92.0, 163.7, 290.7, 559.8", \ + " 53.1, 69.1, 104.1, 174.6, 301.1, 570.0", \ + " 61.4, 79.3, 119.0, 191.2, 316.8, 585.0", \ + " 71.9, 92.2, 137.1, 217.4, 341.4, 608.3", \ + " 84.5, 108.0, 158.8, 249.7, 379.3, 643.8", \ + " 100.2, 127.6, 186.0, 288.8, 435.4, 699.4", \ + " 119.7, 151.9, 219.9, 337.3, 503.9, 787.2" ); } +rise_transition(x4_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.2, 123.6, 212.3, 411.3, 764.5, 1514.6", \ + " 94.6, 125.8, 211.7, 410.7, 764.4, 1514.6", \ + " 101.5, 131.5, 215.2, 410.4, 764.2, 1514.6", \ + " 111.9, 140.6, 221.8, 413.4, 763.7, 1514.6", \ + " 132.4, 158.6, 236.3, 422.5, 766.5, 1514.5", \ + " 160.8, 187.5, 260.4, 440.3, 777.0, 1515.0", \ + " 181.6, 221.3, 299.9, 472.9, 800.1, 1525.2", \ + " 220.6, 260.4, 356.8, 526.8, 843.1, 1552.0", \ + " 281.9, 323.0, 422.8, 612.9, 918.8, 1606.8", \ + " 372.8, 417.5, 522.7, 730.7, 1043.8, 1709.8" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.7, 39.3, 63.2, 115.1, 206.4, 399.7", \ + " 36.4, 45.9, 70.2, 122.7, 214.4, 408.0", \ + " 41.6, 51.3, 75.5, 128.2, 220.0, 413.7", \ + " 46.2, 58.1, 82.8, 135.4, 227.4, 421.3", \ + " 51.9, 66.3, 95.8, 148.4, 240.3, 434.3", \ + " 57.7, 74.6, 110.0, 167.5, 259.2, 453.1", \ + " 63.7, 83.5, 125.4, 194.7, 288.0, 481.5", \ + " 69.7, 93.0, 141.9, 224.3, 331.3, 523.9", \ + " 75.2, 102.6, 160.1, 256.9, 385.6, 588.8", \ + " 79.2, 111.7, 179.7, 293.3, 446.0, 686.3" ); } +fall_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 37.2, 58.0, 113.5, 238.4, 460.5, 932.5", \ + " 39.6, 58.9, 113.5, 238.4, 460.5, 932.5", \ + " 45.7, 63.7, 115.3, 238.4, 460.5, 932.5", \ + " 55.8, 72.3, 121.1, 239.9, 460.5, 932.5", \ + " 70.6, 90.4, 135.6, 248.3, 462.0, 932.5", \ + " 89.2, 112.3, 160.6, 266.8, 472.1, 932.7", \ + " 115.8, 142.0, 198.9, 301.7, 496.7, 942.1", \ + " 153.1, 183.0, 247.5, 359.7, 543.9, 970.9", \ + " 206.0, 240.8, 314.2, 443.3, 626.8, 1032.1", \ + " 281.7, 322.8, 408.1, 554.8, 761.1, 1146.8" ); }} +} +} +cell(nr3v1x05) { /* 2008-01-06:07h47 characteristic delay 17.9 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 670 ; /* nr3v1x05 */ +cell_footprint : nr3 ; +pin(a) { /* nr3v1x05 FO4 effort 2.02 logical effort 2.17 */ +direction : input ; +capacitance : 4.28 ; +rise_capacitance : 4.35 ; +fall_capacitance : 4.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3v1x05 */ +} +pin(b) { /* nr3v1x05 FO4 effort 1.93 logical effort 2.13 */ +direction : input ; +capacitance : 4.24 ; +rise_capacitance : 4.07 ; +fall_capacitance : 4.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3v1x05 */ +} +pin(c) { /* nr3v1x05 FO4 effort 1.71 logical effort 2.09 */ +direction : input ; +capacitance : 4.17 ; +rise_capacitance : 3.72 ; +fall_capacitance : 4.62 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 69 ; +max_fanout : 2 ; +function : "(a+b+c)'" ; +internal_power(a_z_n) { /* nr3v1x05 16.10 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 8.29, 8.31, 8.33, 8.35, 8.35", \ + " 8.08, 8.10, 8.14, 8.18, 8.20", \ + " 8.03, 8.05, 8.08, 8.13, 8.15", \ + " 8.01, 8.02, 8.05, 8.09, 8.12", \ + " 8.06, 8.06, 8.06, 8.08, 8.09", \ + " 8.28, 8.25, 8.20, 8.15, 8.13", \ + " 8.85, 8.77, 8.62, 8.44, 8.32", \ + " 10.08, 9.91, 9.58, 9.18, 8.83", \ + " 12.42, 12.14, 11.56, 10.78, 10.05", \ + " 16.43, 16.04, 15.18, 13.88, 12.55" ); }} +internal_power(b_z_n) { /* nr3v1x05 12.38 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 6.34, 6.37, 6.40, 6.43, 6.44", \ + " 6.18, 6.21, 6.28, 6.34, 6.38", \ + " 6.13, 6.16, 6.22, 6.30, 6.35", \ + " 6.12, 6.14, 6.19, 6.26, 6.32", \ + " 6.21, 6.21, 6.22, 6.26, 6.31", \ + " 6.53, 6.48, 6.42, 6.38, 6.37", \ + " 7.26, 7.15, 6.95, 6.74, 6.60", \ + " 8.64, 8.44, 8.06, 7.58, 7.19", \ + " 11.00, 10.70, 10.10, 9.25, 8.46", \ + " 14.81, 14.42, 13.58, 12.29, 10.94" ); }} +internal_power(c_z_n) { /* nr3v1x05 8.33 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 4.05, 4.14, 4.26, 4.36, 4.41", \ + " 3.93, 4.00, 4.14, 4.30, 4.40", \ + " 3.97, 4.02, 4.13, 4.27, 4.38", \ + " 4.10, 4.11, 4.17, 4.27, 4.37", \ + " 4.43, 4.39, 4.35, 4.36, 4.41", \ + " 5.03, 4.92, 4.75, 4.62, 4.56", \ + " 6.07, 5.87, 5.53, 5.18, 4.94", \ + " 7.76, 7.46, 6.91, 6.25, 5.72", \ + " 10.44, 10.05, 9.26, 8.18, 7.22", \ + " 14.68, 14.18, 13.12, 11.55, 9.98" ); }} +timing() { /* ring osc delay nr3v1x05, path a to z 87.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.4 ; */ +/* intrinsic_fall : 49.3 ; */ +/* rise_resistance : 6.27 ; */ +/* fall_resistance : 2.41 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.8, 82.9, 114.6, 185.0, 309.5, 573.6", \ + " 77.5, 89.7, 121.6, 192.2, 317.0, 581.2", \ + " 82.1, 94.3, 126.1, 196.8, 321.7, 586.1", \ + " 87.7, 99.8, 131.6, 202.3, 327.2, 591.7", \ + " 95.5, 108.3, 140.2, 210.8, 335.7, 600.3", \ + " 103.1, 117.1, 151.4, 222.1, 346.9, 611.4", \ + " 112.9, 128.0, 164.9, 239.2, 363.4, 627.5", \ + " 127.0, 143.6, 183.1, 262.0, 388.8, 651.7", \ + " 148.5, 167.0, 210.3, 294.0, 427.6, 690.4", \ + " 180.9, 202.1, 250.6, 341.3, 481.9, 753.3" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 129.7, 163.1, 253.0, 457.0, 821.1, 1595.8", \ + " 130.3, 163.5, 253.2, 457.1, 821.1, 1595.8", \ + " 131.2, 164.2, 253.6, 457.2, 821.1, 1595.8", \ + " 134.2, 166.8, 255.2, 457.8, 821.3, 1595.8", \ + " 141.5, 173.4, 260.8, 461.5, 822.6, 1595.8", \ + " 155.0, 185.4, 270.9, 469.5, 828.2, 1597.4", \ + " 181.8, 210.5, 291.2, 485.7, 840.4, 1604.7", \ + " 220.2, 250.6, 331.4, 517.2, 864.9, 1621.1", \ + " 285.4, 314.6, 395.7, 577.1, 912.9, 1655.5", \ + " 389.0, 416.8, 495.0, 678.2, 1001.2, 1723.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 34.0, 47.4, 75.2, 122.4, 220.5", \ + " 36.1, 41.2, 54.4, 82.2, 129.4, 227.4", \ + " 42.2, 47.2, 60.0, 87.7, 134.9, 232.9", \ + " 48.7, 54.6, 67.8, 95.2, 142.4, 240.4", \ + " 56.8, 63.9, 80.1, 108.7, 155.6, 253.5", \ + " 64.3, 72.8, 92.3, 126.8, 174.9, 272.6", \ + " 71.2, 81.3, 104.6, 146.4, 203.3, 301.4", \ + " 76.7, 88.6, 116.1, 166.0, 235.0, 344.4", \ + " 79.6, 93.4, 125.8, 185.0, 267.8, 400.9", \ + " 77.8, 94.0, 132.0, 202.0, 300.6, 461.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 65.5, 94.2, 157.1, 268.0, 503.7", \ + " 58.5, 68.4, 95.2, 156.8, 267.9, 503.7", \ + " 64.8, 74.2, 99.7, 159.0, 267.9, 503.7", \ + " 75.0, 83.9, 108.0, 164.8, 270.4, 503.8", \ + " 94.2, 103.5, 126.0, 179.0, 279.8, 506.1", \ + " 118.4, 129.2, 154.4, 204.4, 299.3, 516.9", \ + " 151.2, 163.9, 193.2, 247.1, 336.1, 542.4", \ + " 195.2, 210.1, 244.2, 306.8, 397.5, 591.3", \ + " 256.4, 274.1, 314.0, 386.6, 490.8, 678.4", \ + " 342.9, 364.2, 411.6, 496.2, 616.8, 822.1" ); }} +timing() { /* ring osc delay nr3v1x05, path b to z 79.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 76.0 ; */ +/* intrinsic_fall : 46.6 ; */ +/* rise_resistance : 6.27 ; */ +/* fall_resistance : 2.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 75.8, 107.6, 178.0, 302.5, 566.6", \ + " 69.1, 81.3, 113.2, 184.0, 308.8, 573.0", \ + " 73.5, 85.7, 117.6, 188.4, 313.2, 577.6", \ + " 79.2, 91.4, 123.2, 194.0, 318.9, 583.4", \ + " 86.8, 100.8, 133.2, 203.7, 328.6, 593.2", \ + " 95.9, 111.1, 147.4, 218.3, 342.9, 607.3", \ + " 109.8, 126.3, 165.5, 241.6, 365.4, 629.1", \ + " 130.8, 148.7, 191.0, 273.2, 400.3, 662.5", \ + " 161.3, 181.4, 228.0, 316.7, 453.3, 715.2", \ + " 204.3, 227.4, 280.2, 377.9, 525.5, 798.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 124.9, 157.4, 245.1, 443.8, 798.3, 1552.3", \ + " 125.4, 157.8, 245.2, 443.8, 798.3, 1552.3", \ + " 127.8, 159.4, 245.9, 444.0, 798.3, 1552.3", \ + " 133.3, 164.5, 249.7, 445.1, 798.4, 1552.3", \ + " 144.6, 174.8, 258.4, 451.5, 800.7, 1552.3", \ + " 164.9, 193.1, 273.9, 463.5, 808.7, 1553.9", \ + " 199.2, 227.5, 302.2, 486.1, 825.3, 1562.8", \ + " 238.4, 270.7, 351.1, 525.5, 856.4, 1583.1", \ + " 296.3, 328.9, 414.7, 592.0, 912.0, 1624.0", \ + " 379.6, 412.8, 500.9, 692.3, 1006.4, 1700.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.7, 32.5, 44.7, 71.0, 117.0, 213.9", \ + " 34.9, 39.7, 51.9, 78.3, 124.4, 221.4", \ + " 40.2, 45.3, 57.4, 83.9, 130.0, 227.0", \ + " 45.4, 51.5, 65.0, 91.4, 137.5, 234.5", \ + " 51.4, 58.8, 75.6, 104.7, 150.7, 247.7", \ + " 56.5, 65.4, 85.8, 121.5, 170.0, 266.7", \ + " 60.3, 71.1, 95.6, 139.0, 197.5, 295.6", \ + " 61.7, 74.5, 103.8, 156.0, 227.0, 338.4", \ + " 59.0, 74.2, 109.0, 171.5, 257.1, 393.1", \ + " 49.1, 67.1, 108.5, 183.2, 286.0, 451.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.1, 52.6, 80.3, 142.7, 253.7, 489.8", \ + " 46.0, 55.6, 81.9, 143.0, 253.8, 489.8", \ + " 52.4, 61.4, 86.4, 145.2, 254.0, 489.9", \ + " 62.9, 71.3, 94.7, 151.0, 256.6, 489.9", \ + " 80.0, 89.9, 112.8, 165.4, 266.0, 492.2", \ + " 101.9, 113.5, 140.1, 190.9, 285.6, 503.1", \ + " 132.1, 145.7, 176.6, 233.2, 322.4, 528.7", \ + " 172.8, 188.8, 224.9, 290.4, 383.7, 577.7", \ + " 229.1, 248.4, 291.2, 367.2, 474.9, 664.7", \ + " 308.1, 331.8, 383.6, 473.0, 597.8, 807.7" ); }} +timing() { /* ring osc delay nr3v1x05, path c to z 62.2 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.8 ; */ +/* intrinsic_fall : 38.3 ; */ +/* rise_resistance : 6.24 ; */ +/* fall_resistance : 2.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.7, 55.2, 87.6, 158.5, 283.2, 547.5", \ + " 47.4, 59.4, 91.5, 162.7, 287.9, 552.5", \ + " 52.7, 64.2, 95.8, 166.7, 291.9, 556.5", \ + " 60.1, 71.7, 102.3, 172.6, 297.5, 562.1", \ + " 70.2, 83.8, 115.1, 184.1, 308.2, 572.4", \ + " 83.3, 98.3, 133.6, 202.0, 324.6, 587.8", \ + " 101.2, 117.8, 156.9, 230.7, 351.1, 612.2", \ + " 125.2, 143.8, 187.1, 269.4, 392.4, 650.1", \ + " 158.1, 179.2, 227.8, 319.3, 455.4, 710.2", \ + " 203.7, 228.2, 283.6, 385.7, 537.9, 806.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.1, 149.8, 236.8, 432.2, 778.9, 1515.7", \ + " 119.6, 150.7, 235.8, 431.7, 778.9, 1515.7", \ + " 125.0, 155.5, 238.7, 431.1, 778.8, 1515.7", \ + " 133.3, 162.9, 244.4, 433.6, 778.3, 1515.7", \ + " 148.9, 177.6, 256.7, 441.8, 780.6, 1515.6", \ + " 173.8, 200.2, 276.8, 457.3, 790.1, 1516.0", \ + " 202.0, 238.3, 309.6, 485.2, 810.7, 1525.4", \ + " 233.2, 271.0, 361.4, 531.0, 848.1, 1549.8", \ + " 285.0, 323.1, 419.4, 603.5, 913.3, 1598.5", \ + " 365.1, 404.2, 503.2, 707.8, 1020.1, 1688.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.4, 25.9, 37.7, 63.6, 109.2, 205.9", \ + " 28.5, 33.3, 45.2, 71.3, 117.0, 213.8", \ + " 32.1, 38.1, 50.8, 76.9, 122.7, 219.5", \ + " 35.2, 42.4, 57.8, 84.4, 130.2, 227.1", \ + " 38.1, 47.0, 66.1, 97.7, 143.5, 240.3", \ + " 39.7, 50.4, 73.6, 112.5, 162.7, 259.3", \ + " 39.1, 52.0, 80.1, 127.4, 189.0, 288.1", \ + " 34.9, 50.4, 84.1, 141.2, 216.1, 330.9", \ + " 24.7, 43.3, 83.8, 152.5, 243.1, 383.4", \ + " 4.7, 26.9, 75.5, 158.3, 267.6, 438.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 28.2, 38.6, 66.3, 128.7, 239.8, 475.7", \ + " 33.6, 42.7, 68.3, 128.9, 239.8, 475.7", \ + " 41.0, 49.3, 73.3, 131.5, 239.9, 475.7", \ + " 50.4, 59.9, 82.2, 137.6, 242.6, 475.7", \ + " 64.7, 75.9, 100.9, 152.3, 252.3, 478.1", \ + " 83.9, 96.9, 126.0, 178.2, 272.2, 489.1", \ + " 111.3, 126.4, 159.9, 219.8, 309.3, 514.9", \ + " 149.0, 166.9, 205.7, 274.5, 370.8, 564.2", \ + " 201.7, 223.3, 269.5, 348.7, 459.9, 651.5", \ + " 276.4, 302.8, 358.8, 452.2, 580.0, 794.3" ); }} +} +} +cell(nr3av0x05) { /* 2008-01-06:07h47 characteristic delay 18.4 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 808 ; /* nr3av0x05 */ +cell_footprint : nr3a ; +pin(a) { /* nr3av0x05 FO4 effort 2.46 */ +direction : input ; +capacitance : 3.05 ; +rise_capacitance : 3.10 ; +fall_capacitance : 3.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3av0x05 */ +internal_power(a) { /* nr3av0x05 9.62 nW/MHz */ +power(pwr_intran_x10) { +values( " 4.82, 4.69, 4.71, 4.81, 5.08, 5.60, 6.50, 7.97, 10.36, 14.16" ); }} +} +pin(b) { /* nr3av0x05 FO4 effort 2.03 logical effort 2.20 */ +direction : input ; +capacitance : 3.73 ; +rise_capacitance : 3.63 ; +fall_capacitance : 3.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3av0x05 */ +} +pin(c) { /* nr3av0x05 FO4 effort 1.77 logical effort 2.14 */ +direction : input ; +capacitance : 3.64 ; +rise_capacitance : 3.30 ; +fall_capacitance : 3.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3av0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 68 ; +max_fanout : 2 ; +function : "(a'+b+c)'" ; +internal_power(a_z_p) { /* nr3av0x05 25.49 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 7.95, 7.97, 7.99, 8.00, 7.99", \ + " 7.93, 7.95, 7.97, 7.98, 7.98", \ + " 7.91, 7.93, 7.95, 7.97, 7.97", \ + " 7.89, 7.91, 7.94, 7.96, 7.96", \ + " 7.87, 7.89, 7.92, 7.94, 7.95", \ + " 7.86, 7.87, 7.90, 7.93, 7.94", \ + " 7.85, 7.86, 7.88, 7.91, 7.92", \ + " 7.84, 7.85, 7.86, 7.89, 7.90", \ + " 7.87, 7.87, 7.87, 7.89, 7.90", \ + " 7.94, 7.93, 7.91, 7.90, 7.90" ); }} +internal_power(b_z_n) { /* nr3av0x05 11.45 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 5.88, 5.90, 5.92, 5.94, 5.94", \ + " 5.72, 5.76, 5.82, 5.87, 5.89", \ + " 5.67, 5.70, 5.77, 5.83, 5.87", \ + " 5.64, 5.67, 5.73, 5.80, 5.84", \ + " 5.68, 5.69, 5.72, 5.78, 5.82", \ + " 5.89, 5.86, 5.83, 5.83, 5.84", \ + " 6.41, 6.32, 6.18, 6.05, 5.98", \ + " 7.42, 7.25, 6.95, 6.60, 6.35", \ + " 9.23, 8.96, 8.44, 7.77, 7.20", \ + " 12.22, 11.85, 11.08, 9.98, 8.92" ); }} +internal_power(c_z_n) { /* nr3av0x05 7.32 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.60, 3.69, 3.80, 3.88, 3.92", \ + " 3.45, 3.54, 3.70, 3.84, 3.93", \ + " 3.45, 3.52, 3.66, 3.81, 3.91", \ + " 3.53, 3.56, 3.66, 3.79, 3.90", \ + " 3.76, 3.74, 3.75, 3.82, 3.90", \ + " 4.20, 4.12, 4.01, 3.96, 3.98", \ + " 5.01, 4.83, 4.56, 4.32, 4.20", \ + " 6.33, 6.05, 5.57, 5.07, 4.70", \ + " 8.47, 8.08, 7.34, 6.46, 5.74", \ + " 11.87, 11.36, 10.34, 8.96, 7.71" ); }} +timing() { /* ring osc delay nr3av0x05, path a to z 127.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.9 ; */ +/* intrinsic_fall : 109.9 ; */ +/* rise_resistance : 6.25 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.8, 107.0, 138.7, 209.0, 333.4, 597.4", \ + " 101.7, 114.0, 145.7, 216.0, 340.4, 604.5", \ + " 106.7, 118.9, 150.6, 221.0, 345.5, 609.5", \ + " 112.0, 124.2, 156.0, 226.4, 350.9, 615.0", \ + " 118.6, 130.9, 162.6, 233.1, 357.6, 621.8", \ + " 124.9, 137.2, 169.0, 239.5, 364.1, 628.3", \ + " 130.9, 143.3, 175.2, 245.8, 370.4, 634.6", \ + " 135.8, 148.4, 180.4, 251.0, 375.8, 640.0", \ + " 138.0, 150.9, 183.4, 254.3, 379.0, 643.4", \ + " 135.1, 148.6, 181.9, 253.3, 378.4, 642.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.8, 138.0, 219.9, 406.4, 739.6, 1448.3", \ + " 107.9, 138.0, 219.9, 406.4, 739.6, 1448.3", \ + " 108.0, 138.1, 219.9, 406.4, 739.6, 1448.3", \ + " 108.2, 138.3, 220.0, 406.5, 739.6, 1448.3", \ + " 108.9, 138.8, 220.4, 406.6, 739.6, 1448.3", \ + " 109.8, 139.6, 220.9, 406.8, 739.7, 1448.3", \ + " 111.2, 140.7, 221.7, 407.2, 739.9, 1448.4", \ + " 113.6, 142.8, 223.2, 408.1, 740.2, 1448.5", \ + " 117.9, 146.6, 226.0, 409.8, 741.1, 1448.8", \ + " 124.9, 153.0, 231.4, 413.5, 743.3, 1449.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.1, 96.8, 118.4, 164.1, 242.1, 405.0", \ + " 95.8, 104.5, 126.2, 171.8, 249.9, 412.8", \ + " 102.0, 110.7, 132.4, 178.1, 256.1, 419.0", \ + " 110.0, 118.7, 140.4, 186.1, 264.2, 427.1", \ + " 122.8, 131.4, 153.1, 198.8, 276.9, 439.8", \ + " 137.9, 146.7, 168.5, 214.2, 292.3, 455.1", \ + " 157.0, 165.9, 187.8, 233.9, 311.9, 474.7", \ + " 181.5, 190.5, 212.6, 258.6, 337.1, 499.9", \ + " 214.0, 223.2, 245.7, 292.0, 370.4, 533.7", \ + " 257.2, 267.0, 290.4, 337.8, 416.8, 580.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.0, 109.3, 155.4, 258.8, 443.1, 836.2", \ + " 92.0, 109.4, 155.4, 258.8, 443.1, 836.2", \ + " 92.1, 109.5, 155.5, 258.8, 443.1, 836.2", \ + " 92.5, 109.8, 155.7, 258.9, 443.2, 836.2", \ + " 94.6, 111.6, 157.0, 259.5, 443.3, 836.2", \ + " 97.8, 114.7, 159.4, 261.2, 444.2, 836.3", \ + " 101.8, 118.4, 162.8, 263.6, 445.7, 837.2", \ + " 107.6, 123.8, 167.3, 267.1, 447.9, 838.3", \ + " 116.8, 132.7, 175.1, 272.7, 451.8, 840.2", \ + " 130.6, 146.6, 188.2, 283.5, 459.5, 844.8" ); }} +timing() { /* ring osc delay nr3av0x05, path b to z 86.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.7 ; */ +/* intrinsic_fall : 60.6 ; */ +/* rise_resistance : 6.26 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.5, 70.6, 102.2, 172.4, 296.8, 560.8", \ + " 64.5, 76.7, 108.5, 178.9, 303.5, 567.6", \ + " 69.0, 81.2, 113.0, 183.5, 308.1, 572.3", \ + " 74.6, 87.0, 118.8, 189.3, 314.0, 578.2", \ + " 81.3, 95.7, 128.7, 199.2, 323.9, 588.1", \ + " 88.8, 104.6, 141.8, 213.5, 338.0, 602.3", \ + " 98.9, 116.6, 157.7, 235.6, 359.9, 623.8", \ + " 112.6, 132.6, 178.3, 263.7, 393.0, 656.1", \ + " 131.6, 154.5, 205.9, 300.4, 441.3, 706.0", \ + " 157.2, 183.8, 242.9, 349.0, 504.2, 783.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 116.5, 148.9, 236.4, 434.9, 789.3, 1543.3", \ + " 117.0, 149.2, 236.5, 434.9, 789.3, 1543.3", \ + " 119.7, 151.2, 237.3, 435.1, 789.3, 1543.3", \ + " 125.2, 156.2, 241.1, 436.5, 789.5, 1543.3", \ + " 137.0, 166.8, 250.0, 442.9, 791.9, 1543.3", \ + " 158.9, 186.2, 266.1, 455.2, 800.0, 1545.0", \ + " 191.8, 223.0, 296.1, 478.9, 817.3, 1554.1", \ + " 233.9, 266.2, 349.0, 521.1, 850.3, 1575.4", \ + " 300.1, 332.1, 416.9, 593.7, 910.3, 1619.0", \ + " 395.5, 428.7, 515.5, 703.7, 1013.9, 1701.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.6, 50.4, 70.4, 114.0, 190.4, 351.8", \ + " 49.2, 57.1, 77.3, 121.1, 197.7, 359.2", \ + " 54.5, 62.4, 82.7, 126.6, 203.2, 364.8", \ + " 61.8, 69.8, 90.0, 133.9, 210.6, 372.2", \ + " 71.8, 81.5, 103.2, 147.0, 223.6, 385.3", \ + " 81.8, 93.4, 119.8, 166.3, 242.7, 404.2", \ + " 92.6, 106.3, 137.7, 193.6, 271.8, 432.8", \ + " 103.9, 119.9, 156.8, 223.5, 314.6, 475.5", \ + " 115.6, 134.3, 177.5, 256.1, 365.6, 540.7", \ + " 126.9, 148.9, 199.6, 291.9, 421.9, 632.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.7, 81.2, 127.5, 231.8, 417.1, 810.7", \ + " 64.8, 81.8, 127.7, 231.8, 417.1, 810.7", \ + " 69.2, 85.4, 129.6, 232.0, 417.1, 810.7", \ + " 77.5, 92.8, 135.1, 234.3, 417.2, 810.7", \ + " 95.3, 109.6, 149.1, 243.6, 420.5, 810.7", \ + " 119.0, 135.5, 173.9, 263.0, 432.7, 812.7", \ + " 150.7, 169.9, 213.8, 299.1, 459.8, 826.4", \ + " 192.9, 215.2, 266.1, 358.3, 510.1, 860.3", \ + " 251.2, 277.4, 336.3, 442.9, 596.7, 927.8", \ + " 332.7, 364.0, 433.2, 556.4, 731.7, 1050.6" ); }} +timing() { /* ring osc delay nr3av0x05, path c to z 66.8 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 48.2 ; */ +/* rise_resistance : 6.23 ; */ +/* fall_resistance : 3.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 50.7, 82.7, 153.3, 277.9, 542.0", \ + " 43.2, 55.1, 87.2, 158.1, 283.0, 547.3", \ + " 48.4, 59.8, 91.4, 162.2, 287.1, 551.5", \ + " 54.3, 67.0, 97.6, 168.0, 292.8, 557.1", \ + " 62.5, 77.0, 109.7, 179.0, 303.3, 567.4", \ + " 72.7, 88.9, 126.0, 195.8, 319.0, 582.5", \ + " 85.9, 104.1, 145.8, 222.7, 344.0, 606.0", \ + " 102.5, 123.2, 170.2, 256.8, 382.7, 642.0", \ + " 123.8, 147.8, 201.3, 298.8, 440.3, 698.5", \ + " 151.5, 179.6, 241.5, 352.1, 512.2, 788.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.9, 140.7, 227.7, 423.2, 770.1, 1506.8", \ + " 111.1, 142.1, 227.0, 422.7, 770.0, 1506.8", \ + " 117.2, 147.3, 230.1, 422.2, 769.9, 1506.8", \ + " 126.7, 155.7, 236.3, 425.0, 769.3, 1506.8", \ + " 145.0, 172.5, 250.0, 433.8, 772.0, 1506.7", \ + " 175.0, 198.9, 273.0, 450.9, 782.2, 1507.3", \ + " 200.6, 238.1, 310.6, 482.3, 804.7, 1517.4", \ + " 238.0, 276.0, 368.9, 534.3, 846.5, 1543.8", \ + " 298.0, 336.8, 433.1, 617.2, 920.1, 1597.6", \ + " 388.3, 429.5, 530.2, 733.3, 1041.2, 1698.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 38.0, 57.8, 100.9, 177.0, 338.1", \ + " 37.2, 44.9, 64.9, 108.6, 184.9, 346.2", \ + " 42.5, 50.4, 70.3, 114.1, 190.5, 352.0", \ + " 47.3, 57.1, 77.7, 121.4, 198.0, 359.5", \ + " 53.2, 65.1, 90.3, 134.5, 211.0, 372.6", \ + " 58.8, 72.9, 103.3, 153.8, 230.0, 391.5", \ + " 64.3, 80.9, 117.0, 178.3, 259.0, 420.0", \ + " 69.0, 88.6, 131.2, 204.2, 300.5, 462.6", \ + " 72.1, 95.3, 145.6, 231.8, 347.6, 527.5", \ + " 71.9, 99.5, 159.2, 261.2, 398.8, 616.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.0, 58.3, 104.6, 208.6, 393.7, 787.0", \ + " 43.5, 59.6, 104.5, 208.6, 393.7, 787.0", \ + " 49.4, 64.5, 107.2, 208.6, 393.7, 787.0", \ + " 59.3, 73.2, 113.6, 211.4, 393.7, 787.0", \ + " 75.4, 91.6, 128.9, 221.4, 397.2, 787.0", \ + " 95.1, 113.9, 154.8, 241.7, 409.9, 789.0", \ + " 123.2, 144.6, 192.5, 278.8, 437.8, 802.9", \ + " 162.2, 186.7, 241.2, 338.6, 489.0, 837.3", \ + " 217.2, 246.0, 308.3, 419.7, 576.4, 905.7", \ + " 295.4, 329.6, 402.8, 529.8, 710.0, 1029.7" ); }} +} +} +cell(nr3abv0x05) { /* 2008-01-06:07h47 characteristic delay 14.6 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 762 ; /* nr3abv0x05 */ +cell_footprint : nr3ab ; +pin(a) { /* nr3abv0x05 FO4 effort 2.24 */ +direction : input ; +capacitance : 2.96 ; +rise_capacitance : 3.07 ; +fall_capacitance : 2.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr3abv0x05 */ +internal_power(a) { /* nr3abv0x05 37.11 nW/MHz */ +power(pwr_intran_x10) { +values( " 25.78, 25.60, 25.57, 25.59, 25.75, 26.12, 26.84, 28.08, 30.17, 33.56" ); }} +} +pin(b) { /* nr3abv0x05 FO4 effort 2.15 */ +direction : input ; +capacitance : 2.87 ; +rise_capacitance : 2.91 ; +fall_capacitance : 2.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr3abv0x05 */ +internal_power(b) { /* nr3abv0x05 35.43 nW/MHz */ +power(pwr_intran_x10) { +values( " 24.88, 24.72, 24.71, 24.76, 24.96, 25.36, 26.10, 27.34, 29.40, 32.71" ); }} +} +pin(c) { /* nr3abv0x05 FO4 effort 1.47 logical effort 1.72 */ +direction : input ; +capacitance : 3.05 ; +rise_capacitance : 2.85 ; +fall_capacitance : 3.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr3abv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 75 ; +max_fanout : 2 ; +function : "(a'+b'+c)'" ; +internal_power(a_z_p) { /* nr3abv0x05 18.98 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b_z_p) { /* nr3abv0x05 17.35 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(c_z_n) { /* nr3abv0x05 5.25 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_97_5x10) { +values( " 2.56, 2.66, 2.76, 2.81, 2.83", \ + " 2.43, 2.52, 2.66, 2.77, 2.81", \ + " 2.45, 2.51, 2.63, 2.74, 2.80", \ + " 2.54, 2.56, 2.63, 2.72, 2.79", \ + " 2.79, 2.73, 2.71, 2.75, 2.79", \ + " 3.25, 3.10, 2.95, 2.87, 2.86", \ + " 4.05, 3.79, 3.46, 3.19, 3.04", \ + " 5.34, 4.96, 4.38, 3.84, 3.47", \ + " 7.39, 6.87, 6.00, 5.06, 4.35", \ + " 10.63, 9.97, 8.75, 7.27, 6.04" ); }} +timing() { /* ring osc delay nr3abv0x05, path a to z 118.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.4 ; */ +/* intrinsic_fall : 111.0 ; */ +/* rise_resistance : 5.83 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.7, 92.8, 128.5, 207.1, 346.3, 641.9", \ + " 83.2, 97.2, 132.9, 211.5, 350.7, 646.4", \ + " 86.1, 100.1, 135.8, 214.4, 353.7, 649.3", \ + " 89.3, 103.4, 139.1, 217.8, 357.1, 652.7", \ + " 93.0, 107.3, 143.2, 221.9, 361.3, 657.0", \ + " 96.0, 110.5, 146.6, 225.5, 364.8, 660.6", \ + " 97.4, 112.3, 148.6, 227.7, 367.2, 663.0", \ + " 95.8, 111.2, 148.2, 227.4, 367.1, 662.9", \ + " 88.8, 105.0, 142.9, 222.8, 362.6, 658.7", \ + " 72.3, 89.5, 128.9, 210.1, 350.7, 647.0" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 72.0, 105.7, 197.9, 408.5, 784.9, 1586.1", \ + " 72.1, 105.7, 197.9, 408.5, 784.9, 1586.1", \ + " 72.3, 105.9, 198.0, 408.5, 784.9, 1586.1", \ + " 72.9, 106.4, 198.2, 408.6, 784.9, 1586.1", \ + " 74.5, 107.6, 199.1, 408.9, 785.0, 1586.1", \ + " 76.2, 109.3, 200.2, 409.5, 785.3, 1586.1", \ + " 79.2, 111.7, 202.0, 410.5, 785.7, 1586.3", \ + " 83.9, 115.9, 204.9, 412.3, 786.5, 1586.6", \ + " 90.9, 122.4, 210.3, 415.7, 788.5, 1587.4", \ + " 101.1, 132.2, 218.9, 422.2, 792.8, 1589.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.5, 99.1, 124.3, 177.0, 268.7, 462.4", \ + " 96.0, 106.5, 131.7, 184.5, 276.1, 469.8", \ + " 102.2, 112.8, 138.0, 190.7, 282.4, 476.1", \ + " 110.8, 121.4, 146.7, 199.4, 291.1, 484.8", \ + " 125.7, 136.4, 161.6, 214.4, 306.1, 499.8", \ + " 144.7, 155.6, 181.1, 233.9, 325.6, 519.3", \ + " 168.9, 180.2, 206.4, 259.6, 351.3, 544.9", \ + " 200.1, 211.9, 238.7, 292.4, 384.4, 578.0", \ + " 242.1, 254.7, 282.5, 336.8, 428.9, 622.8", \ + " 299.9, 313.5, 343.0, 398.6, 491.1, 685.2" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.9, 82.8, 135.7, 257.1, 476.8, 947.9", \ + " 63.0, 82.9, 135.7, 257.1, 476.8, 947.9", \ + " 63.1, 82.9, 135.7, 257.1, 476.8, 947.9", \ + " 63.5, 83.3, 135.9, 257.1, 476.8, 947.9", \ + " 65.5, 84.9, 136.9, 257.5, 477.0, 947.9", \ + " 70.1, 89.1, 139.9, 259.1, 477.5, 948.0", \ + " 75.8, 94.7, 144.8, 262.2, 479.2, 948.4", \ + " 82.8, 101.5, 150.6, 266.4, 481.6, 949.6", \ + " 92.1, 110.9, 158.9, 272.3, 485.2, 951.2", \ + " 105.0, 124.2, 171.6, 281.9, 491.4, 954.4" ); }} +timing() { /* ring osc delay nr3abv0x05, path b to z 112.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.3 ; */ +/* intrinsic_fall : 101.4 ; */ +/* rise_resistance : 5.83 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 88.6, 124.2, 202.8, 342.1, 637.7", \ + " 80.5, 94.5, 130.2, 208.8, 348.1, 643.7", \ + " 84.7, 98.8, 134.5, 213.1, 352.4, 648.1", \ + " 89.2, 103.3, 139.0, 217.7, 357.1, 652.7", \ + " 94.2, 108.6, 144.5, 223.2, 362.6, 658.3", \ + " 98.6, 113.1, 149.2, 228.1, 367.5, 663.3", \ + " 102.0, 116.8, 153.1, 232.3, 371.8, 667.5", \ + " 103.2, 118.5, 155.3, 234.5, 374.3, 670.1", \ + " 100.2, 116.2, 153.8, 233.5, 373.3, 669.4", \ + " 89.5, 106.6, 145.5, 226.2, 366.6, 662.9" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 72.0, 105.7, 197.9, 408.5, 784.9, 1586.1", \ + " 72.1, 105.7, 197.9, 408.5, 784.9, 1586.1", \ + " 72.3, 105.9, 198.0, 408.5, 784.9, 1586.1", \ + " 73.2, 106.5, 198.3, 408.6, 784.9, 1586.1", \ + " 74.9, 107.9, 199.3, 409.0, 785.0, 1586.1", \ + " 76.5, 109.6, 200.4, 409.7, 785.4, 1586.1", \ + " 79.5, 111.8, 202.2, 410.6, 785.9, 1586.4", \ + " 84.2, 115.9, 204.8, 412.2, 786.6, 1586.8", \ + " 91.4, 122.4, 209.9, 415.3, 788.3, 1587.5", \ + " 102.1, 132.4, 218.4, 421.2, 792.2, 1589.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.7, 90.0, 114.9, 167.4, 259.0, 452.5", \ + " 87.0, 97.4, 122.3, 174.8, 266.3, 459.9", \ + " 93.2, 103.6, 128.5, 181.0, 272.6, 466.2", \ + " 101.6, 112.0, 137.0, 189.5, 281.1, 474.7", \ + " 115.5, 126.0, 151.0, 203.6, 295.2, 488.8", \ + " 132.4, 143.2, 168.8, 221.4, 313.0, 506.5", \ + " 154.0, 165.3, 191.3, 244.6, 336.1, 529.6", \ + " 182.1, 193.8, 220.6, 274.2, 366.2, 559.6", \ + " 219.6, 232.1, 260.1, 314.5, 406.5, 600.4", \ + " 270.4, 284.1, 314.0, 370.4, 463.1, 657.1" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.2, 80.4, 133.8, 255.8, 476.0, 947.3", \ + " 60.4, 80.5, 133.8, 255.8, 476.0, 947.3", \ + " 60.6, 80.6, 133.9, 255.8, 476.0, 947.3", \ + " 61.2, 81.1, 134.2, 255.9, 476.0, 947.3", \ + " 63.9, 83.4, 135.6, 256.5, 476.2, 947.3", \ + " 68.3, 87.6, 138.9, 258.5, 476.9, 947.4", \ + " 73.5, 92.7, 143.5, 261.5, 478.7, 948.0", \ + " 80.4, 99.4, 149.2, 265.8, 481.1, 949.1", \ + " 90.3, 109.4, 158.2, 272.2, 485.3, 950.9", \ + " 103.9, 123.8, 172.5, 283.8, 493.1, 955.0" ); }} +timing() { /* ring osc delay nr3abv0x05, path c to z 61.0 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.7 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.86 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.7, 44.5, 80.1, 158.8, 298.2, 593.8", \ + " 36.9, 50.3, 85.8, 164.8, 304.3, 600.2", \ + " 42.3, 55.6, 90.8, 169.7, 309.2, 605.1", \ + " 47.5, 63.2, 97.8, 176.4, 315.8, 611.7", \ + " 55.3, 73.3, 111.1, 188.7, 327.8, 623.4", \ + " 64.9, 85.3, 129.0, 207.2, 345.4, 640.5", \ + " 77.6, 100.7, 150.5, 236.2, 372.8, 666.8", \ + " 93.8, 120.3, 176.8, 275.4, 414.7, 706.5", \ + " 114.9, 145.8, 210.3, 322.8, 479.1, 768.0", \ + " 142.8, 179.1, 254.1, 382.3, 562.4, 864.4" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.7, 105.5, 203.6, 424.1, 815.9, 1648.3", \ + " 74.6, 108.8, 203.8, 424.0, 815.9, 1648.3", \ + " 81.9, 114.8, 207.4, 423.9, 815.8, 1648.3", \ + " 92.5, 124.0, 213.9, 426.5, 815.8, 1648.3", \ + " 113.4, 141.9, 228.2, 435.3, 817.6, 1648.3", \ + " 135.0, 170.2, 251.8, 452.4, 827.1, 1648.3", \ + " 162.1, 203.5, 290.4, 483.6, 848.9, 1656.2", \ + " 202.5, 246.3, 348.0, 536.0, 889.6, 1680.4", \ + " 262.1, 309.0, 418.7, 620.0, 962.0, 1731.7", \ + " 349.3, 400.8, 519.2, 744.7, 1084.1, 1828.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 33.4, 56.7, 108.1, 199.2, 392.4", \ + " 31.1, 40.2, 63.8, 115.6, 206.8, 400.2", \ + " 35.0, 45.7, 69.2, 121.1, 212.4, 405.8", \ + " 38.5, 51.4, 76.7, 128.5, 219.8, 413.3", \ + " 42.6, 58.2, 89.2, 141.7, 233.0, 426.4", \ + " 46.2, 64.7, 102.1, 160.9, 251.9, 445.3", \ + " 49.2, 71.0, 115.5, 187.0, 280.8, 473.8", \ + " 50.6, 76.4, 128.9, 214.6, 323.7, 516.2", \ + " 49.3, 79.8, 141.8, 243.4, 375.7, 580.6", \ + " 43.1, 79.3, 152.8, 273.1, 431.5, 676.3" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.9, 52.6, 108.1, 233.0, 455.1, 927.1", \ + " 36.7, 55.3, 108.5, 233.0, 455.1, 927.1", \ + " 44.1, 61.2, 111.8, 233.1, 455.1, 927.1", \ + " 54.4, 71.2, 118.8, 236.0, 455.1, 927.1", \ + " 69.2, 89.9, 134.9, 245.9, 457.8, 927.1", \ + " 88.5, 112.4, 161.8, 266.1, 469.4, 927.8", \ + " 115.8, 143.1, 200.8, 303.2, 495.9, 938.7", \ + " 153.3, 184.9, 250.8, 363.7, 545.5, 969.4", \ + " 206.0, 243.1, 318.8, 449.1, 631.8, 1033.3", \ + " 280.9, 325.1, 413.8, 563.1, 770.0, 1152.4" ); }} +} +} +cell(nr4v0x1) { /* 2008-01-06:07h48 characteristic delay 26.5 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 774 ; /* nr4v0x1 */ +cell_footprint : nr4 ; +pin(a) { /* nr4v0x1 FO4 effort 3.21 logical effort 3.47 */ +direction : input ; +capacitance : 6.19 ; +rise_capacitance : 6.43 ; +fall_capacitance : 5.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr4v0x1 */ +} +pin(b) { /* nr4v0x1 FO4 effort 2.96 logical effort 3.20 */ +direction : input ; +capacitance : 5.81 ; +rise_capacitance : 5.77 ; +fall_capacitance : 5.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr4v0x1 */ +} +pin(c) { /* nr4v0x1 FO4 effort 2.56 logical effort 2.93 */ +direction : input ; +capacitance : 5.38 ; +rise_capacitance : 5.13 ; +fall_capacitance : 5.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr4v0x1 */ +} +pin(d) { /* nr4v0x1 FO4 effort 2.10 logical effort 2.79 */ +direction : input ; +capacitance : 5.16 ; +rise_capacitance : 4.68 ; +fall_capacitance : 5.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nr4v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 76 ; +max_fanout : 4 ; +function : "(a+b+c+d)'" ; +internal_power(a_z_n) { /* nr4v0x1 28.15 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_97_5x10) { +values( " 14.48, 14.50, 14.52, 14.52, 14.50", \ + " 14.19, 14.22, 14.26, 14.28, 14.28", \ + " 14.08, 14.11, 14.16, 14.20, 14.21", \ + " 14.00, 14.03, 14.07, 14.12, 14.14", \ + " 13.95, 13.96, 14.00, 14.05, 14.07", \ + " 13.98, 13.98, 13.99, 14.02, 14.04", \ + " 14.19, 14.17, 14.13, 14.09, 14.06", \ + " 14.81, 14.73, 14.59, 14.41, 14.27", \ + " 16.25, 16.09, 15.77, 15.35, 14.96", \ + " 19.12, 18.84, 18.25, 17.40, 16.56" ); }} +internal_power(b_z_n) { /* nr4v0x1 22.35 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_97_5x10) { +values( " 11.48, 11.49, 11.51, 11.52, 11.51", \ + " 11.26, 11.29, 11.34, 11.38, 11.39", \ + " 11.16, 11.20, 11.26, 11.31, 11.34", \ + " 11.08, 11.11, 11.17, 11.25, 11.29", \ + " 11.02, 11.04, 11.10, 11.17, 11.23", \ + " 11.06, 11.07, 11.09, 11.14, 11.19", \ + " 11.34, 11.31, 11.27, 11.24, 11.23", \ + " 12.09, 11.99, 11.82, 11.62, 11.48", \ + " 13.72, 13.53, 13.14, 12.65, 12.22", \ + " 16.80, 16.47, 15.80, 14.83, 13.91" ); }} +internal_power(c_z_n) { /* nr4v0x1 16.12 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_97_5x10) { +values( " 8.20, 8.22, 8.25, 8.27, 8.27", \ + " 8.05, 8.10, 8.17, 8.24, 8.28", \ + " 7.98, 8.03, 8.12, 8.21, 8.27", \ + " 7.92, 7.97, 8.06, 8.17, 8.24", \ + " 7.92, 7.95, 8.02, 8.13, 8.21", \ + " 8.08, 8.07, 8.09, 8.14, 8.21", \ + " 8.55, 8.48, 8.39, 8.32, 8.31", \ + " 9.57, 9.42, 9.15, 8.85, 8.65", \ + " 11.50, 11.23, 10.71, 10.06, 9.52", \ + " 14.80, 14.41, 13.60, 12.44, 11.36" ); }} +internal_power(d_z_n) { /* nr4v0x1 9.34 nW/MHz */ +related_pin : "d" ; +power(pwr_x1_97_5x10) { +values( " 4.56, 4.69, 4.86, 4.97, 5.03", \ + " 4.37, 4.50, 4.72, 4.94, 5.07", \ + " 4.37, 4.47, 4.68, 4.91, 5.06", \ + " 4.46, 4.52, 4.67, 4.89, 5.05", \ + " 4.73, 4.72, 4.77, 4.91, 5.05", \ + " 5.22, 5.14, 5.05, 5.05, 5.12", \ + " 6.11, 5.93, 5.66, 5.44, 5.35", \ + " 7.60, 7.29, 6.78, 6.25, 5.89", \ + " 10.03, 9.58, 8.77, 7.81, 7.04", \ + " 13.91, 13.33, 12.16, 10.62, 9.24" ); }} +timing() { /* ring osc delay nr4v0x1, path a to z 146.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 110.7 ; */ +/* intrinsic_fall : 98.7 ; */ +/* rise_resistance : 5.47 ; */ +/* fall_resistance : 4.15 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.4, 109.4, 142.9, 216.5, 346.6, 622.3", \ + " 103.2, 116.4, 150.1, 224.0, 354.2, 630.1", \ + " 107.8, 121.0, 154.8, 228.8, 359.1, 635.0", \ + " 113.3, 126.5, 160.2, 234.3, 364.7, 640.7", \ + " 121.4, 134.7, 168.5, 242.7, 373.2, 649.3", \ + " 129.2, 143.6, 178.5, 252.7, 383.3, 659.5", \ + " 135.9, 151.4, 189.1, 265.8, 396.2, 672.4", \ + " 141.7, 158.6, 199.1, 280.8, 413.7, 689.6", \ + " 145.4, 164.8, 209.8, 297.3, 437.5, 714.5", \ + " 145.0, 168.0, 220.4, 317.3, 466.3, 753.7" ); } +rise_transition(x1_97_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 171.4, 206.4, 301.6, 518.8, 907.9, 1737.6", \ + " 172.1, 206.9, 301.8, 518.8, 907.9, 1737.6", \ + " 172.5, 207.2, 302.0, 518.9, 907.9, 1737.6", \ + " 173.3, 207.9, 302.4, 519.1, 908.0, 1737.6", \ + " 177.5, 211.6, 305.1, 520.5, 908.5, 1737.7", \ + " 186.6, 219.7, 312.1, 526.0, 912.0, 1738.6", \ + " 205.2, 236.2, 325.5, 537.0, 920.7, 1744.2", \ + " 238.0, 270.0, 353.2, 558.7, 938.4, 1757.0", \ + " 287.3, 318.5, 406.2, 603.8, 974.7, 1784.5", \ + " 378.3, 407.2, 491.1, 691.8, 1048.3, 1841.9" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.4, 91.5, 119.1, 176.5, 272.8, 470.9", \ + " 86.1, 97.2, 124.9, 182.3, 278.7, 476.8", \ + " 91.0, 102.2, 129.8, 187.3, 283.7, 481.8", \ + " 98.1, 109.2, 136.9, 194.3, 290.8, 488.9", \ + " 111.8, 122.5, 149.8, 207.1, 303.5, 501.7", \ + " 132.9, 143.5, 169.4, 226.1, 322.3, 520.3", \ + " 157.9, 170.3, 199.8, 255.6, 351.1, 548.8", \ + " 186.1, 200.6, 235.1, 299.5, 394.7, 591.4", \ + " 219.5, 236.1, 275.9, 351.7, 460.9, 656.7", \ + " 260.3, 279.2, 324.8, 412.7, 541.9, 758.0" ); } +fall_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 159.4, 180.6, 238.5, 368.3, 597.9, 1085.0", \ + " 156.6, 177.9, 236.5, 367.4, 597.5, 1084.9", \ + " 158.3, 179.0, 236.3, 366.9, 597.3, 1084.8", \ + " 163.5, 183.5, 239.4, 367.3, 597.2, 1084.8", \ + " 176.5, 195.8, 249.6, 373.7, 598.6, 1084.9", \ + " 199.6, 218.4, 270.0, 389.4, 608.1, 1086.1", \ + " 238.8, 257.0, 307.0, 420.9, 631.6, 1097.6", \ + " 294.8, 316.2, 367.1, 476.0, 677.1, 1128.1", \ + " 370.5, 395.5, 454.9, 567.1, 758.5, 1190.5", \ + " 475.7, 504.7, 573.5, 703.0, 895.7, 1306.2" ); }} +timing() { /* ring osc delay nr4v0x1, path b to z 134.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 101.2 ; */ +/* intrinsic_fall : 91.1 ; */ +/* rise_resistance : 5.47 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.3, 101.3, 134.7, 208.3, 338.4, 614.1", \ + " 94.0, 107.2, 140.8, 214.7, 344.9, 620.7", \ + " 98.4, 111.6, 145.3, 219.2, 349.5, 625.4", \ + " 103.8, 117.0, 150.7, 224.7, 355.1, 631.0", \ + " 111.6, 125.3, 159.2, 233.3, 363.7, 639.8", \ + " 118.1, 133.4, 170.0, 244.3, 374.8, 650.9", \ + " 124.7, 141.3, 181.2, 260.0, 390.4, 666.5", \ + " 131.7, 150.3, 194.0, 279.2, 413.4, 689.1", \ + " 138.9, 160.7, 210.3, 303.1, 446.6, 724.0", \ + " 147.2, 172.9, 230.6, 334.7, 489.4, 779.3" ); } +rise_transition(x1_97_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 163.7, 197.6, 289.7, 500.1, 877.0, 1680.7", \ + " 164.3, 198.0, 289.9, 500.2, 877.0, 1680.7", \ + " 164.8, 198.4, 290.2, 500.3, 877.1, 1680.7", \ + " 166.8, 200.1, 291.2, 500.7, 877.2, 1680.7", \ + " 174.3, 206.7, 296.5, 503.8, 878.2, 1680.8", \ + " 187.5, 218.6, 306.5, 511.9, 883.8, 1682.0", \ + " 214.7, 242.6, 326.1, 527.6, 896.0, 1689.5", \ + " 253.8, 286.2, 365.2, 558.2, 920.1, 1706.0", \ + " 311.7, 343.5, 431.0, 618.4, 968.3, 1740.8", \ + " 414.1, 444.3, 529.0, 725.1, 1060.0, 1811.2" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.5, 83.7, 109.4, 163.9, 257.6, 453.0", \ + " 79.7, 89.9, 115.7, 170.5, 264.2, 459.7", \ + " 84.6, 94.9, 120.7, 175.6, 269.4, 465.0", \ + " 91.5, 101.8, 127.7, 182.6, 276.5, 472.2", \ + " 104.7, 114.7, 140.4, 195.3, 289.3, 485.1", \ + " 123.0, 134.0, 159.7, 214.2, 308.0, 503.7", \ + " 143.9, 156.8, 187.2, 243.5, 336.8, 532.2", \ + " 167.3, 182.3, 218.0, 284.6, 380.2, 574.7", \ + " 194.8, 212.1, 253.5, 331.9, 444.2, 639.8", \ + " 228.0, 247.9, 295.6, 386.9, 520.0, 740.7" ); } +fall_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 123.9, 145.4, 203.0, 332.1, 561.5, 1049.0", \ + " 123.0, 144.8, 202.7, 332.0, 561.4, 1049.0", \ + " 124.5, 145.7, 202.8, 332.1, 561.5, 1049.0", \ + " 129.7, 150.2, 205.9, 332.8, 561.6, 1049.0", \ + " 143.3, 162.9, 216.3, 339.3, 563.4, 1049.1", \ + " 167.6, 186.4, 237.1, 355.3, 573.1, 1050.5", \ + " 206.9, 226.5, 275.0, 387.2, 596.9, 1062.3", \ + " 258.8, 281.6, 335.3, 443.0, 642.7, 1093.1", \ + " 329.5, 356.0, 418.2, 534.3, 724.7, 1155.8", \ + " 428.2, 459.1, 531.0, 665.2, 861.8, 1271.8" ); }} +timing() { /* ring osc delay nr4v0x1, path c to z 112.7 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.4 ; */ +/* intrinsic_fall : 76.8 ; */ +/* rise_resistance : 5.46 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.7, 80.7, 114.0, 187.5, 317.5, 593.2", \ + " 72.9, 85.9, 119.4, 193.1, 323.2, 599.0", \ + " 77.1, 90.0, 123.5, 197.3, 327.5, 603.3", \ + " 82.2, 95.3, 128.8, 202.7, 332.9, 608.8", \ + " 88.9, 103.6, 137.9, 211.8, 342.1, 618.0", \ + " 95.5, 111.6, 150.2, 225.0, 355.2, 631.1", \ + " 104.0, 122.0, 164.2, 245.3, 375.5, 651.2", \ + " 114.6, 135.2, 182.3, 271.0, 406.2, 681.3", \ + " 128.7, 152.4, 206.0, 304.2, 451.1, 727.9", \ + " 147.6, 174.9, 236.5, 347.4, 509.1, 800.7" ); } +rise_transition(x1_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 156.7, 189.8, 279.6, 484.6, 851.6, 1633.9", \ + " 156.5, 189.5, 279.4, 484.4, 851.6, 1633.9", \ + " 157.6, 190.2, 279.6, 484.4, 851.6, 1633.9", \ + " 162.2, 194.3, 282.4, 485.2, 851.7, 1633.9", \ + " 172.4, 203.5, 290.0, 490.8, 853.6, 1634.0", \ + " 191.7, 221.1, 304.8, 502.0, 861.1, 1635.4", \ + " 229.7, 255.1, 333.4, 524.7, 877.4, 1644.0", \ + " 268.4, 303.5, 385.1, 566.3, 909.8, 1664.4", \ + " 333.2, 367.1, 457.5, 639.8, 970.1, 1707.6", \ + " 436.4, 469.6, 558.6, 755.6, 1076.0, 1791.2" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.3, 68.6, 92.7, 145.1, 236.9, 430.7", \ + " 65.9, 75.4, 99.8, 152.6, 244.7, 438.7", \ + " 71.0, 80.6, 105.1, 158.1, 250.3, 444.4", \ + " 78.2, 87.7, 112.2, 165.3, 257.7, 451.9", \ + " 90.9, 100.8, 125.2, 178.2, 270.7, 465.0", \ + " 104.9, 116.8, 144.2, 197.2, 289.4, 483.8", \ + " 120.6, 134.6, 167.4, 226.5, 318.3, 512.3", \ + " 138.1, 154.4, 192.9, 263.6, 361.7, 554.7", \ + " 158.4, 177.4, 222.1, 305.3, 422.6, 619.8", \ + " 181.9, 204.2, 256.4, 353.5, 492.6, 719.9" ); } +fall_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 87.6, 109.2, 166.8, 296.0, 525.7, 1013.5", \ + " 87.7, 109.3, 166.8, 296.0, 525.7, 1013.5", \ + " 90.3, 111.2, 167.5, 296.1, 525.7, 1013.5", \ + " 96.6, 116.7, 171.4, 297.3, 525.7, 1013.5", \ + " 111.6, 130.7, 182.9, 304.6, 527.9, 1013.5", \ + " 137.1, 155.5, 204.8, 321.4, 538.2, 1014.9", \ + " 172.0, 193.6, 243.7, 354.2, 562.8, 1027.2", \ + " 218.8, 243.7, 301.4, 410.9, 609.3, 1058.6", \ + " 283.7, 312.4, 378.9, 501.3, 692.0, 1122.0", \ + " 375.1, 408.9, 485.7, 626.5, 829.2, 1238.8" ); }} +timing() { /* ring osc delay nr4v0x1, path d to z 80.9 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.4 ; */ +/* intrinsic_fall : 55.4 ; */ +/* rise_resistance : 5.40 ; */ +/* fall_resistance : 3.89 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.8, 46.9, 79.9, 153.8, 284.0, 559.8", \ + " 40.2, 51.6, 84.2, 158.3, 288.8, 564.8", \ + " 45.9, 56.4, 88.2, 162.1, 292.6, 568.6", \ + " 51.0, 63.7, 94.1, 167.5, 297.8, 573.9", \ + " 58.7, 72.9, 105.9, 177.5, 307.4, 583.2", \ + " 67.9, 84.0, 121.2, 192.9, 321.7, 596.8", \ + " 79.4, 97.6, 139.6, 218.1, 344.5, 618.2", \ + " 93.1, 114.0, 161.5, 249.6, 379.8, 650.8", \ + " 109.6, 134.0, 188.4, 287.7, 433.0, 702.3", \ + " 129.6, 158.2, 221.5, 334.5, 498.5, 784.3" ); } +rise_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 135.5, 170.1, 261.7, 466.7, 828.8, 1594.3", \ + " 138.1, 171.1, 260.3, 464.9, 828.2, 1594.3", \ + " 143.9, 176.0, 263.2, 464.2, 827.3, 1594.2", \ + " 153.1, 184.2, 269.2, 466.7, 825.8, 1594.1", \ + " 171.4, 200.9, 282.8, 475.1, 828.0, 1593.3", \ + " 200.9, 227.4, 305.9, 492.0, 837.6, 1592.6", \ + " 234.7, 273.1, 343.8, 523.5, 859.4, 1601.6", \ + " 266.8, 307.7, 404.9, 575.9, 901.1, 1626.9", \ + " 327.0, 367.1, 468.5, 659.7, 975.2, 1679.9", \ + " 421.2, 462.9, 566.0, 777.9, 1097.5, 1780.8" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 46.9, 71.0, 123.1, 214.5, 407.9", \ + " 44.0, 53.5, 77.9, 130.8, 222.8, 416.6", \ + " 49.6, 58.9, 83.3, 136.3, 228.5, 422.5", \ + " 56.2, 66.6, 90.6, 143.6, 235.9, 430.1", \ + " 64.3, 76.9, 104.0, 156.6, 248.9, 443.2", \ + " 72.5, 87.4, 120.1, 175.7, 267.7, 462.0", \ + " 81.5, 99.0, 137.7, 203.9, 296.5, 490.5", \ + " 91.0, 111.5, 156.8, 235.6, 340.0, 532.9", \ + " 101.2, 125.4, 178.5, 271.0, 396.4, 597.8", \ + " 111.5, 140.2, 203.0, 311.5, 460.0, 696.4" ); } +fall_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.0, 73.5, 130.9, 259.9, 489.2, 976.6", \ + " 53.2, 73.8, 130.9, 259.9, 489.2, 976.6", \ + " 58.0, 77.6, 132.2, 259.9, 489.2, 976.6", \ + " 66.3, 85.0, 137.4, 261.4, 489.2, 976.6", \ + " 83.8, 101.0, 150.6, 269.8, 491.6, 976.6", \ + " 104.1, 125.9, 174.1, 287.9, 502.7, 978.1", \ + " 133.1, 157.9, 213.9, 322.1, 528.2, 990.8", \ + " 174.8, 202.5, 265.9, 379.5, 575.9, 1022.9", \ + " 235.3, 266.9, 338.0, 467.9, 659.6, 1087.2", \ + " 323.2, 359.8, 440.9, 587.3, 797.6, 1205.3" ); }} +} +} +cell(nr4v0x2) { /* 2008-01-06:07h48 characteristic delay 25.8 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1421 ; /* nr4v0x2 */ +cell_footprint : nr4 ; +pin(a) { /* nr4v0x2 FO4 effort 2.99 logical effort 3.11 */ +direction : input ; +capacitance : 10.25 ; +rise_capacitance : 10.71 ; +fall_capacitance : 9.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr4v0x2 */ +} +pin(b) { /* nr4v0x2 FO4 effort 2.83 logical effort 3.01 */ +direction : input ; +capacitance : 10.05 ; +rise_capacitance : 10.00 ; +fall_capacitance : 10.10 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr4v0x2 */ +} +pin(c) { /* nr4v0x2 FO4 effort 2.57 logical effort 2.98 */ +direction : input ; +capacitance : 10.07 ; +rise_capacitance : 9.62 ; +fall_capacitance : 10.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr4v0x2 */ +} +pin(d) { /* nr4v0x2 FO4 effort 2.20 logical effort 2.97 */ +direction : input ; +capacitance : 10.05 ; +rise_capacitance : 9.14 ; +fall_capacitance : 10.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nr4v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 140 ; +max_fanout : 6 ; +function : "(a+b+c+d)'" ; +internal_power(a_z_n) { /* nr4v0x2 50.48 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_195_5x10) { +values( " 25.89, 25.91, 25.92, 25.91, 25.87", \ + " 25.44, 25.46, 25.50, 25.51, 25.49", \ + " 25.29, 25.32, 25.36, 25.38, 25.37", \ + " 25.18, 25.20, 25.24, 25.27, 25.27", \ + " 25.12, 25.13, 25.16, 25.18, 25.18", \ + " 25.22, 25.21, 25.19, 25.17, 25.14", \ + " 25.66, 25.59, 25.47, 25.34, 25.23", \ + " 26.81, 26.64, 26.34, 25.96, 25.63", \ + " 29.50, 29.16, 28.52, 27.66, 26.89", \ + " 34.78, 34.22, 33.05, 31.39, 29.79" ); }} +internal_power(b_z_n) { /* nr4v0x2 40.16 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_195_5x10) { +values( " 20.57, 20.59, 20.61, 20.61, 20.58", \ + " 20.23, 20.27, 20.34, 20.39, 20.39", \ + " 20.07, 20.12, 20.20, 20.28, 20.30", \ + " 19.94, 19.99, 20.08, 20.17, 20.22", \ + " 19.85, 19.89, 19.97, 20.07, 20.13", \ + " 19.96, 19.96, 19.98, 20.04, 20.09", \ + " 20.50, 20.42, 20.31, 20.23, 20.18", \ + " 21.89, 21.69, 21.33, 20.93, 20.63", \ + " 24.91, 24.51, 23.75, 22.79, 21.97", \ + " 30.57, 29.92, 28.58, 26.72, 24.99" ); }} +internal_power(c_z_n) { /* nr4v0x2 29.01 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_195_5x10) { +values( " 14.73, 14.78, 14.83, 14.85, 14.85", \ + " 14.47, 14.56, 14.70, 14.82, 14.88", \ + " 14.34, 14.44, 14.60, 14.77, 14.86", \ + " 14.25, 14.34, 14.51, 14.70, 14.82", \ + " 14.26, 14.31, 14.44, 14.63, 14.77", \ + " 14.55, 14.53, 14.56, 14.66, 14.77", \ + " 15.43, 15.29, 15.10, 14.98, 14.95", \ + " 17.31, 17.00, 16.47, 15.92, 15.55", \ + " 20.86, 20.32, 19.31, 18.08, 17.09", \ + " 26.95, 26.16, 24.55, 22.35, 20.34" ); }} +internal_power(d_z_n) { /* nr4v0x2 16.97 nW/MHz */ +related_pin : "d" ; +power(pwr_x2_195_5x10) { +values( " 8.27, 8.57, 8.93, 9.17, 9.27", \ + " 7.84, 8.14, 8.64, 9.09, 9.34", \ + " 7.84, 8.07, 8.52, 9.01, 9.32", \ + " 8.00, 8.14, 8.48, 8.95, 9.29", \ + " 8.47, 8.48, 8.62, 8.95, 9.27", \ + " 9.38, 9.23, 9.10, 9.17, 9.36", \ + " 11.02, 10.66, 10.17, 9.82, 9.72", \ + " 13.75, 13.14, 12.17, 11.23, 10.63", \ + " 18.21, 17.33, 15.76, 13.98, 12.61", \ + " 25.34, 24.19, 21.92, 19.00, 16.50" ); }} +timing() { /* ring osc delay nr4v0x2, path a to z 144.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 107.2 ; */ +/* intrinsic_fall : 96.2 ; */ +/* rise_resistance : 2.97 ; */ +/* fall_resistance : 2.25 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.6, 106.7, 143.0, 223.0, 364.4, 664.5", \ + " 99.9, 114.1, 150.5, 230.6, 372.2, 672.3", \ + " 104.7, 118.9, 155.3, 235.5, 377.1, 677.3", \ + " 110.3, 124.5, 160.9, 241.2, 382.8, 683.0", \ + " 118.4, 132.9, 169.4, 249.7, 391.4, 691.6", \ + " 126.3, 141.8, 179.5, 259.8, 401.5, 701.9", \ + " 133.3, 150.0, 190.4, 273.0, 414.6, 714.9", \ + " 139.1, 157.4, 201.0, 288.7, 432.1, 732.2", \ + " 142.7, 163.8, 212.2, 306.2, 456.8, 757.2", \ + " 141.9, 167.1, 223.5, 327.3, 487.3, 796.3" ); } +rise_transition(x2_195_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 170.7, 208.5, 311.8, 548.0, 971.6, 1874.9", \ + " 171.2, 208.9, 311.9, 548.1, 971.6, 1875.0", \ + " 171.6, 209.2, 312.1, 548.1, 971.6, 1875.0", \ + " 172.5, 210.0, 312.6, 548.4, 971.7, 1875.0", \ + " 176.6, 213.5, 315.3, 549.8, 972.3, 1875.0", \ + " 185.0, 221.0, 321.6, 554.8, 975.4, 1875.8", \ + " 202.9, 236.8, 334.5, 565.3, 983.6, 1880.7", \ + " 234.4, 268.9, 361.3, 586.4, 1000.6, 1892.7", \ + " 284.5, 318.3, 413.4, 630.2, 1035.9, 1919.1", \ + " 376.4, 407.7, 499.0, 717.1, 1107.8, 1974.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.5, 89.6, 119.6, 181.8, 286.3, 501.8", \ + " 83.4, 95.5, 125.5, 187.7, 292.2, 507.7", \ + " 88.4, 100.5, 130.5, 192.7, 297.3, 512.8", \ + " 95.7, 107.7, 137.6, 199.8, 304.4, 519.9", \ + " 109.5, 121.1, 150.7, 212.7, 317.3, 532.7", \ + " 130.7, 142.2, 170.3, 231.7, 336.1, 551.4", \ + " 155.6, 169.2, 201.0, 261.3, 365.0, 580.0", \ + " 183.9, 199.7, 236.9, 305.7, 408.5, 622.6", \ + " 217.3, 235.3, 278.4, 359.6, 475.4, 687.8", \ + " 258.1, 278.6, 327.9, 422.2, 559.8, 788.8" ); } +fall_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 157.9, 181.1, 244.3, 385.9, 636.1, 1167.4", \ + " 155.2, 178.3, 242.2, 384.9, 635.7, 1167.3", \ + " 157.2, 179.7, 242.2, 384.4, 635.6, 1167.3", \ + " 162.5, 184.3, 245.3, 384.8, 635.5, 1167.3", \ + " 175.8, 196.8, 255.5, 390.9, 636.5, 1167.4", \ + " 198.9, 219.4, 275.7, 406.3, 645.4, 1168.1", \ + " 237.7, 257.9, 312.6, 437.2, 668.0, 1178.1", \ + " 293.5, 316.8, 372.2, 491.6, 712.1, 1206.7", \ + " 369.0, 396.3, 460.6, 581.9, 791.9, 1266.6", \ + " 474.0, 505.7, 580.1, 719.1, 927.5, 1378.9" ); }} +timing() { /* ring osc delay nr4v0x2, path b to z 133.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 98.6 ; */ +/* intrinsic_fall : 89.5 ; */ +/* rise_resistance : 2.97 ; */ +/* fall_resistance : 2.17 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.6, 99.8, 136.0, 216.0, 357.5, 657.5", \ + " 91.7, 105.9, 142.3, 222.5, 364.0, 664.2", \ + " 96.2, 110.4, 146.9, 227.1, 368.7, 668.9", \ + " 101.6, 115.9, 152.4, 232.7, 374.3, 674.6", \ + " 109.4, 124.2, 161.0, 241.3, 383.1, 683.4", \ + " 115.8, 132.4, 171.8, 252.5, 394.2, 694.6", \ + " 122.5, 140.6, 183.5, 268.2, 409.9, 710.2", \ + " 129.4, 149.7, 196.8, 288.3, 432.9, 732.8", \ + " 136.5, 160.2, 213.7, 313.2, 467.2, 767.7", \ + " 144.5, 172.5, 234.7, 346.0, 511.7, 822.9" ); } +rise_transition(x2_195_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 162.4, 199.1, 299.2, 528.2, 938.6, 1813.6", \ + " 162.9, 199.5, 299.4, 528.3, 938.7, 1813.6", \ + " 163.5, 199.9, 299.6, 528.4, 938.7, 1813.6", \ + " 165.6, 201.7, 300.7, 528.8, 938.8, 1813.7", \ + " 172.8, 208.1, 305.9, 531.9, 939.8, 1813.7", \ + " 185.8, 219.6, 315.5, 539.6, 945.0, 1814.7", \ + " 212.9, 243.3, 334.6, 554.8, 956.5, 1821.4", \ + " 251.0, 286.0, 373.0, 584.7, 979.9, 1836.9", \ + " 309.4, 343.9, 439.0, 643.5, 1026.7, 1870.1", \ + " 412.4, 445.1, 537.3, 750.4, 1116.3, 1938.0" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.8, 82.9, 110.7, 170.0, 271.8, 484.8", \ + " 78.0, 89.2, 117.2, 176.6, 278.5, 491.5", \ + " 83.0, 94.2, 122.2, 181.7, 283.7, 496.8", \ + " 90.0, 101.1, 129.2, 188.8, 290.9, 504.0", \ + " 103.3, 114.1, 142.1, 201.6, 303.7, 516.9", \ + " 121.5, 133.5, 161.3, 220.5, 322.5, 535.6", \ + " 142.3, 156.4, 189.2, 249.8, 351.3, 564.0", \ + " 165.7, 182.1, 220.6, 291.8, 394.6, 606.5", \ + " 193.2, 212.0, 256.8, 340.8, 459.9, 671.6", \ + " 226.2, 247.9, 299.6, 397.5, 539.1, 772.3" ); } +fall_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 122.5, 146.0, 208.8, 349.6, 599.8, 1131.7", \ + " 121.7, 145.3, 208.6, 349.6, 599.8, 1131.7", \ + " 123.3, 146.4, 208.7, 349.6, 599.8, 1131.7", \ + " 128.6, 151.0, 211.7, 350.3, 599.9, 1131.7", \ + " 142.3, 163.7, 222.0, 356.4, 601.3, 1131.8", \ + " 166.6, 187.2, 242.6, 371.9, 610.3, 1132.6", \ + " 205.7, 227.1, 280.3, 403.2, 633.1, 1142.8", \ + " 257.4, 282.3, 340.4, 458.2, 677.5, 1171.6", \ + " 327.9, 356.8, 424.1, 548.8, 757.7, 1231.8", \ + " 426.3, 460.0, 537.8, 681.8, 893.5, 1344.3" ); }} +timing() { /* ring osc delay nr4v0x2, path c to z 113.2 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.0 ; */ +/* intrinsic_fall : 76.0 ; */ +/* rise_resistance : 2.97 ; */ +/* fall_resistance : 2.12 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.5, 80.5, 116.7, 196.7, 338.2, 638.3", \ + " 71.8, 85.9, 122.2, 202.4, 343.9, 644.1", \ + " 75.9, 90.0, 126.4, 206.6, 348.2, 648.4", \ + " 81.1, 95.3, 131.7, 212.0, 353.6, 653.9", \ + " 87.5, 103.7, 140.8, 221.1, 362.8, 663.1", \ + " 94.1, 111.6, 153.3, 234.3, 376.0, 676.3", \ + " 102.5, 122.1, 167.7, 254.7, 396.2, 696.3", \ + " 112.9, 135.4, 186.3, 281.4, 426.9, 726.4", \ + " 126.7, 152.6, 210.4, 315.7, 473.0, 772.9", \ + " 145.3, 175.2, 241.6, 360.2, 532.9, 845.5" ); } +rise_transition(x2_195_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 154.8, 190.7, 288.5, 511.8, 911.6, 1763.3", \ + " 154.5, 190.5, 288.3, 511.7, 911.5, 1763.3", \ + " 155.8, 191.2, 288.5, 511.7, 911.5, 1763.3", \ + " 160.4, 195.2, 291.2, 512.4, 911.6, 1763.3", \ + " 170.6, 204.4, 298.8, 517.7, 913.2, 1763.3", \ + " 190.0, 221.9, 313.3, 528.6, 920.2, 1764.2", \ + " 228.2, 255.9, 341.6, 550.7, 935.7, 1771.8", \ + " 266.3, 304.3, 392.5, 591.5, 967.0, 1790.8", \ + " 331.3, 368.2, 466.4, 663.6, 1025.7, 1831.9", \ + " 434.6, 470.8, 567.6, 781.1, 1129.5, 1912.5" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 68.8, 95.0, 152.0, 252.0, 463.4", \ + " 65.2, 75.6, 102.1, 159.6, 259.9, 471.4", \ + " 70.4, 80.8, 107.4, 165.1, 265.5, 477.2", \ + " 77.6, 88.0, 114.6, 172.4, 272.9, 484.7", \ + " 90.2, 101.0, 127.6, 185.3, 285.9, 497.8", \ + " 104.1, 117.1, 146.6, 204.2, 304.7, 516.6", \ + " 119.7, 135.0, 170.4, 233.5, 333.5, 545.0", \ + " 137.1, 154.9, 196.5, 272.0, 376.8, 587.4", \ + " 157.3, 178.0, 226.4, 315.5, 439.7, 652.3", \ + " 180.7, 205.0, 261.4, 365.4, 513.2, 752.8" ); } +fall_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 86.2, 109.9, 172.6, 313.6, 564.1, 1096.3", \ + " 86.4, 110.0, 172.7, 313.6, 564.1, 1096.3", \ + " 89.1, 111.9, 173.3, 313.7, 564.1, 1096.3", \ + " 95.3, 117.3, 177.0, 314.7, 564.2, 1096.3", \ + " 110.5, 131.3, 188.3, 321.5, 565.8, 1096.3", \ + " 135.9, 156.0, 210.0, 337.8, 575.2, 1097.1", \ + " 170.6, 194.1, 248.6, 369.8, 598.6, 1107.6", \ + " 217.1, 244.3, 306.7, 425.6, 643.7, 1136.9", \ + " 281.7, 313.2, 385.0, 516.1, 724.6, 1197.6", \ + " 372.8, 409.7, 492.7, 643.6, 860.6, 1310.9" ); }} +timing() { /* ring osc delay nr4v0x2, path d to z 83.1 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.9 ; */ +/* intrinsic_fall : 56.0 ; */ +/* rise_resistance : 2.95 ; */ +/* fall_resistance : 2.12 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.8, 49.3, 85.5, 165.9, 307.6, 607.8", \ + " 40.9, 53.6, 89.5, 170.3, 312.4, 612.8", \ + " 46.5, 58.2, 93.3, 174.0, 316.1, 616.6", \ + " 51.5, 65.5, 99.0, 179.2, 321.3, 621.8", \ + " 59.0, 74.6, 110.4, 189.1, 330.7, 631.0", \ + " 67.9, 85.5, 126.1, 204.2, 344.8, 644.5", \ + " 79.1, 99.1, 144.7, 229.0, 367.2, 665.6", \ + " 92.6, 115.5, 166.9, 261.5, 402.1, 697.9", \ + " 108.8, 135.4, 194.2, 300.8, 456.1, 748.7", \ + " 128.4, 159.6, 227.9, 348.9, 524.1, 829.9" ); } +rise_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 134.4, 172.0, 271.6, 494.3, 887.8, 1720.8", \ + " 136.6, 172.5, 269.7, 492.7, 887.4, 1720.8", \ + " 142.5, 177.3, 272.3, 491.5, 886.8, 1720.7", \ + " 151.8, 185.5, 278.0, 493.5, 885.3, 1720.6", \ + " 170.2, 202.2, 291.4, 501.3, 886.4, 1720.2", \ + " 200.3, 228.8, 314.2, 517.5, 895.0, 1719.0", \ + " 234.7, 274.6, 351.9, 548.1, 915.6, 1726.2", \ + " 265.6, 310.5, 412.5, 599.8, 955.6, 1749.3", \ + " 325.3, 369.2, 479.4, 683.1, 1028.0, 1799.6", \ + " 419.2, 464.6, 576.7, 805.7, 1149.2, 1896.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 48.7, 75.0, 131.9, 231.6, 442.6", \ + " 44.6, 55.1, 81.9, 139.6, 239.8, 451.2", \ + " 50.2, 60.5, 87.1, 145.0, 245.5, 457.1", \ + " 56.9, 68.1, 94.4, 152.3, 252.9, 464.7", \ + " 64.8, 78.6, 107.7, 165.2, 265.9, 477.9", \ + " 72.8, 89.2, 124.4, 184.2, 284.6, 496.6", \ + " 81.6, 100.8, 142.6, 213.0, 313.3, 525.0", \ + " 91.0, 113.4, 162.3, 246.3, 356.5, 567.2", \ + " 101.0, 127.4, 184.7, 283.4, 415.9, 631.9", \ + " 111.1, 142.5, 210.0, 325.8, 483.1, 731.8" ); } +fall_transition(x2_195_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.9, 74.3, 136.9, 277.6, 527.8, 1059.5", \ + " 51.8, 74.3, 136.9, 277.6, 527.8, 1059.5", \ + " 56.6, 78.0, 137.7, 277.6, 527.8, 1059.5", \ + " 65.0, 85.3, 142.7, 278.6, 527.8, 1059.5", \ + " 82.8, 101.3, 155.6, 286.3, 529.3, 1059.5", \ + " 102.7, 126.6, 178.8, 303.7, 539.3, 1060.1", \ + " 131.4, 158.5, 218.9, 337.0, 563.5, 1070.8", \ + " 172.6, 203.0, 271.6, 393.7, 609.6, 1100.6", \ + " 232.8, 267.3, 344.2, 483.3, 691.5, 1162.1", \ + " 320.2, 360.3, 447.8, 604.9, 828.2, 1276.5" ); }} +} +} +cell(nr4v1x05) { /* 2008-01-06:07h48 characteristic delay 23.2 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* nr4v1x05 */ +cell_footprint : nr4 ; +pin(a) { /* nr4v1x05 FO4 effort 2.69 logical effort 2.79 */ +direction : input ; +capacitance : 3.22 ; +rise_capacitance : 3.31 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of nr4v1x05 */ +} +pin(b) { /* nr4v1x05 FO4 effort 2.66 logical effort 2.87 */ +direction : input ; +capacitance : 3.34 ; +rise_capacitance : 3.26 ; +fall_capacitance : 3.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of nr4v1x05 */ +} +pin(c) { /* nr4v1x05 FO4 effort 2.41 logical effort 2.73 */ +direction : input ; +capacitance : 3.21 ; +rise_capacitance : 3.02 ; +fall_capacitance : 3.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of nr4v1x05 */ +} +pin(d) { /* nr4v1x05 FO4 effort 2.06 logical effort 2.64 */ +direction : input ; +capacitance : 3.12 ; +rise_capacitance : 2.75 ; +fall_capacitance : 3.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of nr4v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 39 ; +max_fanout : 2 ; +function : "(a+b+c+d)'" ; +internal_power(a_z_n) { /* nr4v1x05 16.05 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_48_5x10) { +values( " 8.23, 8.23, 8.24, 8.25, 8.25", \ + " 8.08, 8.09, 8.10, 8.12, 8.13", \ + " 8.03, 8.04, 8.06, 8.08, 8.09", \ + " 8.00, 8.01, 8.02, 8.04, 8.06", \ + " 8.00, 8.00, 8.01, 8.02, 8.03", \ + " 8.06, 8.06, 8.04, 8.03, 8.03", \ + " 8.26, 8.24, 8.20, 8.14, 8.09", \ + " 8.78, 8.72, 8.61, 8.46, 8.32", \ + " 9.95, 9.84, 9.61, 9.28, 8.95", \ + " 12.25, 12.07, 11.66, 11.04, 10.37" ); }} +internal_power(b_z_n) { /* nr4v1x05 13.19 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_48_5x10) { +values( " 6.76, 6.77, 6.79, 6.80, 6.80", \ + " 6.64, 6.65, 6.68, 6.71, 6.73", \ + " 6.59, 6.60, 6.63, 6.67, 6.69", \ + " 6.56, 6.57, 6.60, 6.63, 6.66", \ + " 6.55, 6.56, 6.57, 6.61, 6.63", \ + " 6.62, 6.62, 6.61, 6.62, 6.63", \ + " 6.86, 6.84, 6.79, 6.74, 6.71", \ + " 7.47, 7.41, 7.28, 7.11, 6.97", \ + " 8.75, 8.63, 8.37, 8.00, 7.65", \ + " 11.08, 10.89, 10.47, 9.81, 9.11" ); }} +internal_power(c_z_n) { /* nr4v1x05 10.07 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_48_5x10) { +values( " 5.10, 5.11, 5.13, 5.15, 5.15", \ + " 5.02, 5.04, 5.08, 5.12, 5.15", \ + " 5.00, 5.02, 5.06, 5.10, 5.14", \ + " 4.98, 5.00, 5.04, 5.08, 5.13", \ + " 5.01, 5.02, 5.04, 5.08, 5.12", \ + " 5.16, 5.15, 5.13, 5.13, 5.14", \ + " 5.54, 5.49, 5.41, 5.33, 5.27", \ + " 6.31, 6.22, 6.04, 5.81, 5.61", \ + " 7.72, 7.57, 7.26, 6.82, 6.39", \ + " 10.04, 9.84, 9.39, 8.69, 7.92" ); }} +internal_power(d_z_n) { /* nr4v1x05 6.76 nW/MHz */ +related_pin : "d" ; +power(pwr_x05_48_5x10) { +values( " 3.32, 3.38, 3.46, 3.53, 3.57", \ + " 3.22, 3.27, 3.37, 3.49, 3.57", \ + " 3.24, 3.27, 3.36, 3.47, 3.56", \ + " 3.31, 3.33, 3.38, 3.47, 3.55", \ + " 3.51, 3.50, 3.49, 3.52, 3.58", \ + " 3.86, 3.81, 3.74, 3.68, 3.67", \ + " 4.49, 4.39, 4.22, 4.04, 3.91", \ + " 5.51, 5.36, 5.07, 4.71, 4.41", \ + " 7.16, 6.96, 6.53, 5.93, 5.36", \ + " 9.80, 9.53, 8.95, 8.06, 7.12" ); }} +timing() { /* ring osc delay nr4v1x05, path a to z 131.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 122.5 ; */ +/* intrinsic_fall : 60.9 ; */ +/* rise_resistance : 10.68 ; */ +/* fall_resistance : 4.20 ; */ +cell_rise(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.8, 121.3, 153.8, 225.8, 352.9, 622.4", \ + " 115.5, 128.0, 160.7, 233.0, 360.4, 630.1", \ + " 120.1, 132.6, 165.3, 237.6, 365.1, 634.9", \ + " 125.6, 138.1, 170.7, 243.1, 370.6, 640.5", \ + " 133.9, 146.4, 179.0, 251.3, 378.9, 648.9", \ + " 142.9, 156.0, 189.1, 261.3, 388.9, 659.0", \ + " 151.4, 165.5, 200.9, 274.6, 401.9, 671.9", \ + " 161.4, 176.4, 213.8, 291.6, 420.3, 689.5", \ + " 175.5, 192.0, 232.2, 313.8, 447.8, 716.7", \ + " 197.0, 215.7, 260.3, 347.4, 486.8, 762.1" ); } +rise_transition(x05_48_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 206.5, 241.1, 334.5, 547.1, 927.5, 1738.4", \ + " 207.1, 241.6, 334.8, 547.2, 927.5, 1738.4", \ + " 207.5, 241.9, 335.0, 547.3, 927.5, 1738.4", \ + " 208.3, 242.6, 335.5, 547.5, 927.6, 1738.4", \ + " 211.9, 245.8, 338.0, 548.9, 928.1, 1738.5", \ + " 219.7, 253.1, 344.4, 554.1, 931.5, 1739.4", \ + " 235.7, 267.8, 357.0, 564.7, 940.0, 1744.8", \ + " 269.4, 299.6, 383.4, 586.0, 957.4, 1757.4", \ + " 323.0, 353.9, 439.2, 631.7, 994.3, 1785.0", \ + " 423.5, 451.6, 532.2, 724.5, 1070.8, 1844.0" ); } +cell_fall(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.3, 45.1, 59.6, 89.4, 138.8, 239.1", \ + " 46.6, 52.2, 66.5, 96.1, 145.5, 245.8", \ + " 52.8, 58.2, 72.1, 101.6, 150.9, 251.2", \ + " 61.6, 66.7, 79.9, 109.0, 158.2, 258.5", \ + " 74.0, 80.1, 94.4, 122.6, 171.4, 271.6", \ + " 86.6, 93.9, 111.1, 142.6, 190.6, 290.5", \ + " 99.8, 108.5, 128.9, 167.0, 220.3, 319.3", \ + " 112.8, 122.9, 147.0, 192.4, 256.8, 362.3", \ + " 125.1, 136.8, 165.1, 218.7, 295.9, 422.9", \ + " 135.6, 149.3, 182.2, 245.3, 336.9, 490.0" ); } +fall_transition(x05_48_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 91.1, 102.0, 131.8, 198.0, 313.5, 557.1", \ + " 93.7, 103.9, 132.2, 196.9, 312.5, 556.7", \ + " 98.7, 108.7, 136.1, 199.0, 312.5, 556.5", \ + " 107.3, 117.0, 143.5, 204.5, 315.4, 556.4", \ + " 125.0, 134.6, 160.0, 218.3, 325.1, 560.0", \ + " 153.7, 163.4, 187.4, 242.8, 344.8, 572.3", \ + " 192.9, 204.2, 231.4, 285.0, 381.6, 599.5", \ + " 245.4, 258.5, 290.0, 350.2, 443.4, 650.0", \ + " 318.2, 333.5, 369.9, 439.4, 542.0, 739.1", \ + " 421.6, 439.5, 481.9, 561.9, 680.1, 886.4" ); }} +timing() { /* ring osc delay nr4v1x05, path b to z 123.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 114.3 ; */ +/* intrinsic_fall : 60.5 ; */ +/* rise_resistance : 10.70 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.6, 115.1, 147.7, 219.8, 347.0, 616.5", \ + " 107.8, 120.4, 153.2, 225.7, 353.2, 622.9", \ + " 112.1, 124.6, 157.4, 229.9, 357.6, 627.5", \ + " 117.4, 129.9, 162.7, 235.2, 362.9, 633.0", \ + " 125.7, 138.3, 171.1, 243.5, 371.3, 641.5", \ + " 134.0, 148.0, 182.1, 254.5, 382.1, 652.4", \ + " 143.0, 158.0, 195.3, 270.6, 397.9, 667.9", \ + " 156.5, 172.6, 212.2, 292.7, 422.2, 691.2", \ + " 178.3, 196.0, 238.7, 323.6, 460.1, 728.7", \ + " 213.0, 232.9, 280.0, 370.9, 513.9, 790.8" ); } +rise_transition(x05_48_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 197.0, 230.6, 321.2, 527.3, 895.9, 1681.4", \ + " 197.6, 231.0, 321.5, 527.4, 895.9, 1681.4", \ + " 198.0, 231.4, 321.7, 527.5, 895.9, 1681.4", \ + " 199.6, 232.8, 322.6, 527.9, 896.1, 1681.4", \ + " 206.4, 239.0, 327.6, 530.7, 897.0, 1681.5", \ + " 218.4, 250.1, 337.4, 538.8, 902.5, 1682.6", \ + " 242.2, 271.9, 355.9, 554.2, 914.5, 1690.2", \ + " 286.9, 316.5, 393.0, 583.9, 938.1, 1706.4", \ + " 346.9, 378.0, 462.7, 642.6, 985.1, 1740.2", \ + " 449.5, 479.1, 560.9, 749.7, 1074.2, 1808.4" ); } +cell_fall(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.4, 45.6, 58.9, 86.9, 134.3, 232.7", \ + " 47.4, 52.7, 65.9, 93.9, 141.4, 239.8", \ + " 53.1, 58.2, 71.4, 99.3, 146.9, 245.2", \ + " 61.1, 66.1, 78.9, 106.7, 154.2, 252.6", \ + " 71.9, 78.0, 92.4, 120.0, 167.4, 265.7", \ + " 82.5, 89.9, 107.2, 139.1, 186.5, 284.6", \ + " 93.1, 101.8, 122.7, 161.4, 215.5, 313.4", \ + " 102.7, 113.1, 137.8, 184.2, 249.8, 356.3", \ + " 110.5, 122.7, 152.0, 207.1, 286.0, 415.1", \ + " 114.5, 128.8, 163.4, 228.9, 323.1, 479.2" ); } +fall_transition(x05_48_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 76.1, 86.9, 115.8, 180.4, 294.7, 537.9", \ + " 77.6, 88.0, 115.9, 179.8, 294.5, 537.9", \ + " 82.4, 92.4, 119.4, 181.7, 294.6, 537.9", \ + " 91.0, 100.6, 126.6, 186.9, 297.2, 538.0", \ + " 109.3, 118.5, 143.1, 200.3, 306.7, 541.5", \ + " 136.5, 146.6, 170.7, 224.8, 326.2, 553.7", \ + " 173.1, 184.8, 212.9, 267.0, 362.8, 580.6", \ + " 222.4, 236.1, 268.6, 330.6, 424.6, 630.9", \ + " 290.9, 307.0, 344.9, 416.5, 521.8, 719.7", \ + " 387.6, 406.8, 451.6, 534.8, 656.4, 866.5" ); }} +timing() { /* ring osc delay nr4v1x05, path c to z 106.5 */ +related_pin : "c" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 93.0 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 10.69 ; */ +/* fall_resistance : 3.91 ; */ +cell_rise(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.4, 94.9, 127.4, 199.4, 326.6, 596.1", \ + " 87.1, 99.6, 132.3, 204.6, 332.0, 601.7", \ + " 91.0, 103.5, 136.2, 208.5, 336.1, 605.9", \ + " 96.2, 108.7, 141.3, 213.7, 341.3, 611.2", \ + " 104.8, 117.7, 150.4, 222.6, 350.2, 620.2", \ + " 113.7, 128.2, 163.8, 236.1, 363.4, 633.3", \ + " 127.3, 142.9, 181.3, 257.7, 384.3, 653.6", \ + " 148.2, 165.0, 206.1, 288.1, 417.3, 685.1", \ + " 179.5, 198.1, 242.7, 330.5, 468.3, 735.3", \ + " 224.3, 245.4, 295.4, 391.1, 538.7, 815.5" ); } +rise_transition(x05_48_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 189.1, 221.9, 310.3, 511.1, 870.0, 1634.6", \ + " 189.1, 221.9, 310.2, 511.0, 870.0, 1634.5", \ + " 189.7, 222.3, 310.4, 511.0, 870.0, 1634.5", \ + " 193.9, 225.9, 312.6, 511.6, 870.1, 1634.6", \ + " 203.2, 234.5, 320.1, 516.9, 871.6, 1634.6", \ + " 220.2, 250.4, 333.9, 527.7, 879.0, 1635.9", \ + " 253.0, 280.8, 360.2, 548.9, 894.7, 1644.4", \ + " 301.3, 334.7, 406.9, 587.3, 924.8, 1663.9", \ + " 358.2, 391.5, 479.2, 653.6, 980.0, 1704.1", \ + " 443.1, 476.4, 565.3, 759.9, 1074.9, 1780.4" ); } +cell_fall(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.0, 40.7, 52.8, 79.2, 125.3, 222.4", \ + " 43.3, 48.0, 60.3, 86.8, 133.0, 230.2", \ + " 48.9, 53.6, 65.9, 92.5, 138.7, 235.9", \ + " 55.8, 61.0, 73.4, 100.0, 146.2, 243.4", \ + " 64.3, 70.7, 85.7, 113.3, 159.5, 256.7", \ + " 72.1, 79.9, 98.2, 131.4, 178.7, 275.7", \ + " 79.2, 88.6, 110.6, 151.1, 207.0, 304.5", \ + " 84.5, 95.7, 122.0, 170.8, 238.8, 347.4", \ + " 86.5, 99.8, 131.3, 189.7, 271.7, 404.1", \ + " 82.6, 98.4, 136.0, 205.9, 304.4, 464.9" ); } +fall_transition(x05_48_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.1, 67.9, 96.5, 160.9, 275.5, 519.3", \ + " 59.5, 69.8, 97.5, 161.1, 275.6, 519.3", \ + " 64.7, 74.5, 101.3, 163.2, 275.9, 519.3", \ + " 73.9, 83.2, 108.8, 168.7, 278.8, 519.4", \ + " 92.5, 101.6, 125.6, 182.3, 288.5, 523.2", \ + " 116.8, 127.6, 153.4, 207.0, 308.1, 535.5", \ + " 150.3, 162.9, 192.8, 249.2, 344.8, 562.6", \ + " 195.9, 210.6, 245.3, 310.4, 406.4, 612.9", \ + " 259.5, 277.0, 317.6, 392.8, 501.9, 701.5", \ + " 349.4, 370.6, 419.1, 506.8, 632.7, 847.8" ); }} +timing() { /* ring osc delay nr4v1x05, path d to z 80.4 */ +related_pin : "d" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 10.62 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 62.2, 95.1, 167.7, 295.3, 565.0", \ + " 53.7, 65.7, 98.4, 171.4, 299.5, 569.7", \ + " 58.8, 70.2, 102.2, 175.0, 303.0, 573.3", \ + " 66.8, 77.4, 108.2, 180.3, 308.1, 578.4", \ + " 77.9, 90.4, 120.4, 190.8, 317.8, 587.6", \ + " 92.0, 105.7, 139.1, 207.5, 332.9, 601.6", \ + " 110.9, 126.0, 162.9, 234.9, 357.6, 624.1", \ + " 135.9, 152.7, 193.4, 273.1, 396.5, 659.3", \ + " 169.8, 188.9, 234.2, 322.3, 456.9, 715.7", \ + " 216.3, 238.2, 289.7, 387.6, 536.8, 806.3" ); } +rise_transition(x05_48_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 171.3, 204.9, 294.2, 494.0, 847.2, 1595.0", \ + " 171.6, 204.1, 291.7, 492.4, 846.7, 1595.0", \ + " 176.4, 208.1, 294.0, 491.0, 846.0, 1594.9", \ + " 184.0, 215.0, 299.1, 493.0, 844.5, 1594.8", \ + " 198.7, 228.9, 310.7, 500.3, 846.1, 1594.2", \ + " 221.5, 250.5, 330.1, 515.0, 854.7, 1593.4", \ + " 259.5, 285.3, 361.8, 541.9, 874.0, 1601.7", \ + " 292.8, 331.7, 411.3, 586.6, 910.2, 1624.6", \ + " 340.0, 378.3, 476.4, 657.3, 973.9, 1671.5", \ + " 420.2, 458.0, 556.4, 764.3, 1078.5, 1759.3" ); } +cell_fall(x05_48_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.0, 31.6, 43.5, 69.5, 115.2, 212.0", \ + " 34.3, 38.9, 50.9, 77.2, 123.2, 220.1", \ + " 39.4, 44.6, 56.6, 82.9, 128.9, 225.9", \ + " 43.9, 50.2, 64.2, 90.4, 136.4, 233.5", \ + " 48.9, 56.7, 74.0, 103.9, 149.7, 246.7", \ + " 52.9, 62.2, 83.3, 120.0, 169.0, 265.8", \ + " 55.1, 66.4, 91.9, 136.6, 196.2, 294.6", \ + " 54.4, 67.9, 98.6, 152.4, 224.9, 337.4", \ + " 48.5, 64.8, 101.7, 166.5, 254.0, 391.6", \ + " 34.2, 53.7, 98.1, 176.2, 281.7, 448.7" ); } +fall_transition(x05_48_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.4, 49.1, 77.8, 142.2, 256.9, 500.6", \ + " 42.1, 51.9, 79.1, 142.2, 256.9, 500.6", \ + " 48.3, 57.6, 83.6, 144.8, 257.1, 500.6", \ + " 58.5, 67.1, 91.6, 150.6, 260.2, 500.6", \ + " 74.4, 85.1, 109.0, 164.7, 270.2, 504.4", \ + " 95.0, 107.3, 136.0, 189.7, 290.1, 516.9", \ + " 124.9, 138.9, 171.6, 232.2, 327.0, 544.1", \ + " 166.9, 183.2, 220.6, 289.6, 388.5, 594.6", \ + " 226.5, 246.0, 289.7, 368.5, 481.9, 683.2", \ + " 312.1, 335.5, 387.7, 479.4, 608.9, 829.3" ); }} +} +} +cell(oai21v0x05) { /* 2008-01-06:07h48 characteristic delay 15.1 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 358 ; /* oai21v0x05 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x05 FO4 effort 1.87 logical effort 1.95 */ +direction : input ; +capacitance : 2.66 ; +rise_capacitance : 2.66 ; +fall_capacitance : 2.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x05 */ +} +pin(a2) { /* oai21v0x05 FO4 effort 1.75 logical effort 1.97 */ +direction : input ; +capacitance : 2.68 ; +rise_capacitance : 2.46 ; +fall_capacitance : 2.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x05 */ +} +pin(b) { /* oai21v0x05 FO4 effort 1.36 logical effort 1.39 */ +direction : input ; +capacitance : 1.93 ; +rise_capacitance : 1.91 ; +fall_capacitance : 1.96 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x05 9.37 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 4.82, 4.83, 4.84, 4.84, 4.83", \ + " 4.70, 4.72, 4.74, 4.76, 4.76", \ + " 4.65, 4.68, 4.71, 4.73, 4.74", \ + " 4.63, 4.65, 4.68, 4.71, 4.72", \ + " 4.66, 4.67, 4.68, 4.70, 4.71", \ + " 4.83, 4.81, 4.77, 4.75, 4.74", \ + " 5.27, 5.18, 5.05, 4.93, 4.85", \ + " 6.14, 5.96, 5.67, 5.36, 5.13", \ + " 7.70, 7.42, 6.90, 6.28, 5.78", \ + " 10.28, 9.90, 9.13, 8.08, 7.13" ); }} +internal_power(a2_z_n) { /* oai21v0x05 7.14 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 3.61, 3.66, 3.71, 3.74, 3.74", \ + " 3.46, 3.53, 3.63, 3.69, 3.72", \ + " 3.43, 3.49, 3.59, 3.67, 3.71", \ + " 3.45, 3.49, 3.57, 3.65, 3.69", \ + " 3.58, 3.58, 3.61, 3.66, 3.69", \ + " 3.90, 3.83, 3.77, 3.74, 3.74", \ + " 4.51, 4.36, 4.15, 3.99, 3.89", \ + " 5.56, 5.31, 4.91, 4.51, 4.24", \ + " 7.31, 6.95, 6.30, 5.56, 4.99", \ + " 10.13, 9.66, 8.73, 7.51, 6.47" ); }} +internal_power(b_z_n) { /* oai21v0x05 5.35 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.66, 2.71, 2.76, 2.79, 2.79", \ + " 2.58, 2.62, 2.69, 2.75, 2.77", \ + " 2.59, 2.62, 2.67, 2.73, 2.76", \ + " 2.64, 2.64, 2.68, 2.72, 2.75", \ + " 2.79, 2.76, 2.73, 2.74, 2.76", \ + " 3.08, 3.00, 2.89, 2.83, 2.80", \ + " 3.61, 3.45, 3.22, 3.04, 2.93", \ + " 4.47, 4.22, 3.84, 3.46, 3.21", \ + " 5.87, 5.52, 4.92, 4.28, 3.79", \ + " 8.12, 7.65, 6.79, 5.77, 4.92" ); }} +timing() { /* ring osc delay oai21v0x05, path a1 to z 73.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.3 ; */ +/* intrinsic_fall : 55.7 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 70.7, 107.6, 189.6, 334.7, 642.7", \ + " 63.7, 78.0, 115.0, 197.1, 342.3, 650.4", \ + " 68.6, 82.9, 120.0, 202.1, 347.4, 655.5", \ + " 75.0, 89.2, 126.2, 208.4, 353.7, 661.8", \ + " 84.4, 99.9, 137.1, 219.2, 364.5, 672.6", \ + " 95.5, 112.6, 152.8, 234.8, 379.9, 687.9", \ + " 110.5, 129.3, 173.6, 258.8, 403.4, 711.1", \ + " 131.0, 151.8, 200.3, 293.3, 438.9, 745.7", \ + " 159.4, 182.9, 236.6, 338.3, 493.4, 798.8", \ + " 198.5, 225.4, 286.3, 398.7, 568.3, 881.2" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.3, 147.0, 251.0, 485.8, 903.7, 1791.5", \ + " 109.1, 147.4, 251.1, 485.8, 903.7, 1791.5", \ + " 112.2, 149.9, 252.2, 485.9, 903.7, 1791.5", \ + " 117.4, 154.5, 255.6, 487.1, 903.7, 1791.5", \ + " 128.9, 164.9, 263.9, 492.4, 905.1, 1791.5", \ + " 150.1, 183.7, 279.4, 503.5, 911.5, 1792.0", \ + " 183.0, 218.1, 308.1, 525.5, 926.6, 1798.3", \ + " 229.3, 265.6, 357.2, 565.1, 956.3, 1815.9", \ + " 296.3, 334.1, 430.4, 633.6, 1011.6, 1853.8", \ + " 390.7, 431.6, 533.6, 746.0, 1109.3, 1928.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.7, 55.3, 82.4, 142.0, 247.1, 469.8", \ + " 50.0, 60.6, 87.9, 147.7, 252.8, 475.5", \ + " 53.3, 64.1, 91.4, 151.3, 256.5, 479.2", \ + " 57.1, 68.4, 95.9, 155.8, 261.0, 483.8", \ + " 61.8, 74.2, 103.5, 163.5, 268.8, 491.6", \ + " 66.3, 80.3, 112.6, 174.8, 280.1, 502.8", \ + " 70.0, 86.3, 122.8, 190.7, 297.2, 519.8", \ + " 72.0, 91.1, 133.2, 209.1, 322.6, 545.0", \ + " 71.1, 93.4, 142.7, 229.5, 354.7, 583.6", \ + " 65.4, 91.3, 149.0, 250.3, 391.8, 640.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 71.7, 98.5, 170.7, 333.6, 623.8, 1240.5", \ + " 73.2, 99.6, 171.0, 333.7, 623.8, 1240.5", \ + " 76.4, 102.3, 172.9, 334.2, 623.8, 1240.5", \ + " 82.4, 107.5, 176.8, 336.5, 624.2, 1240.5", \ + " 96.6, 120.0, 186.5, 342.9, 627.7, 1240.6", \ + " 116.7, 141.6, 205.0, 356.4, 636.2, 1243.9", \ + " 146.6, 172.8, 238.5, 382.8, 655.0, 1254.1", \ + " 190.5, 218.2, 287.0, 429.7, 691.3, 1277.4", \ + " 255.8, 285.3, 357.5, 506.2, 757.6, 1325.2", \ + " 351.4, 383.6, 460.9, 617.1, 872.1, 1415.9" ); }} +timing() { /* ring osc delay oai21v0x05, path a2 to z 61.7 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 46.6 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 63.0, 100.1, 182.2, 327.4, 635.5", \ + " 54.0, 68.3, 105.6, 188.0, 333.3, 641.5", \ + " 59.2, 73.3, 110.5, 192.8, 338.1, 646.3", \ + " 66.9, 80.7, 117.4, 199.5, 344.8, 652.9", \ + " 78.4, 94.1, 130.4, 211.8, 356.7, 664.7", \ + " 92.4, 110.0, 150.2, 230.3, 374.4, 681.8", \ + " 110.8, 130.5, 176.0, 259.5, 402.0, 708.2", \ + " 134.9, 157.0, 208.0, 302.4, 444.3, 748.2", \ + " 167.6, 192.6, 249.8, 356.5, 510.3, 810.3", \ + " 212.3, 241.1, 306.1, 426.0, 601.7, 908.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.3, 143.3, 245.2, 474.9, 883.3, 1750.9", \ + " 108.0, 144.7, 245.1, 474.9, 883.3, 1750.9", \ + " 113.7, 149.2, 247.4, 474.9, 883.3, 1750.9", \ + " 122.7, 157.1, 252.9, 476.5, 883.3, 1750.9", \ + " 140.5, 173.3, 265.5, 483.9, 884.4, 1750.9", \ + " 168.6, 199.1, 287.5, 499.4, 892.6, 1750.9", \ + " 202.8, 241.1, 324.7, 528.8, 912.5, 1757.2", \ + " 247.0, 288.5, 383.1, 579.3, 950.9, 1779.2", \ + " 311.4, 356.4, 462.5, 662.6, 1020.7, 1827.4", \ + " 405.3, 454.8, 570.6, 792.6, 1141.0, 1920.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.5, 46.8, 73.5, 132.7, 237.4, 459.8", \ + " 41.5, 52.1, 79.3, 138.9, 243.8, 466.3", \ + " 44.6, 55.4, 82.7, 142.4, 247.5, 470.1", \ + " 47.0, 59.1, 87.0, 146.9, 252.1, 474.8", \ + " 49.7, 63.4, 94.2, 154.5, 259.8, 482.6", \ + " 51.5, 67.4, 101.8, 165.5, 270.9, 493.8", \ + " 51.6, 70.4, 110.1, 180.5, 287.8, 510.6", \ + " 48.7, 71.0, 117.7, 197.1, 312.7, 535.6", \ + " 40.9, 67.3, 122.7, 214.9, 343.1, 573.8", \ + " 25.4, 56.4, 122.1, 231.2, 377.6, 629.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 51.2, 78.3, 150.7, 313.8, 603.9, 1220.5", \ + " 52.7, 79.0, 150.8, 313.8, 603.9, 1220.5", \ + " 56.5, 82.1, 152.5, 314.0, 603.9, 1220.5", \ + " 63.5, 87.7, 156.5, 316.2, 604.0, 1220.5", \ + " 78.2, 101.2, 166.3, 322.6, 607.4, 1220.5", \ + " 96.8, 122.3, 185.2, 335.9, 615.8, 1223.6", \ + " 125.8, 152.2, 219.1, 362.2, 634.3, 1233.6", \ + " 169.5, 197.0, 266.1, 409.3, 670.3, 1256.6", \ + " 234.8, 263.9, 335.6, 485.3, 736.5, 1304.0", \ + " 331.0, 362.3, 439.1, 594.5, 851.6, 1394.4" ); }} +timing() { /* ring osc delay oai21v0x05, path b to z 50.3 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.5 ; */ +/* intrinsic_fall : 42.3 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.9, 48.5, 86.2, 169.8, 317.7, 631.8", \ + " 41.4, 55.7, 93.5, 177.4, 325.6, 639.8", \ + " 47.8, 61.8, 99.4, 183.3, 331.5, 645.8", \ + " 55.6, 70.5, 107.6, 191.2, 339.4, 653.7", \ + " 66.7, 84.7, 122.6, 205.6, 353.6, 667.8", \ + " 80.3, 101.1, 144.9, 226.9, 374.2, 688.1", \ + " 98.6, 122.4, 173.5, 260.1, 406.1, 719.0", \ + " 122.6, 149.9, 208.6, 309.0, 454.2, 765.4", \ + " 155.2, 186.9, 254.2, 371.1, 528.5, 836.6", \ + " 200.2, 237.3, 315.3, 450.0, 635.3, 947.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.1, 108.6, 213.9, 450.8, 872.0, 1767.1", \ + " 73.3, 110.7, 213.9, 450.8, 872.0, 1767.1", \ + " 79.7, 115.7, 216.2, 450.8, 872.0, 1767.1", \ + " 89.4, 124.0, 221.8, 452.0, 872.0, 1767.1", \ + " 108.2, 140.7, 234.7, 459.1, 872.6, 1767.1", \ + " 134.4, 167.1, 256.7, 474.5, 879.8, 1767.1", \ + " 166.4, 206.4, 294.0, 503.5, 898.9, 1771.3", \ + " 209.5, 254.8, 352.6, 553.2, 936.5, 1791.3", \ + " 270.8, 320.9, 433.8, 635.9, 1004.6, 1837.8", \ + " 359.6, 415.0, 541.6, 768.2, 1122.7, 1928.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.4, 35.5, 61.9, 120.9, 225.5, 447.9", \ + " 31.6, 41.6, 68.1, 127.2, 231.9, 454.3", \ + " 35.1, 46.5, 72.9, 132.0, 236.8, 459.2", \ + " 38.0, 51.5, 79.5, 138.5, 243.3, 465.6", \ + " 40.8, 57.2, 90.6, 150.1, 254.7, 477.0", \ + " 42.3, 61.7, 101.7, 166.8, 271.2, 493.4", \ + " 41.7, 64.6, 112.2, 189.9, 296.4, 518.2", \ + " 37.5, 64.5, 120.5, 213.2, 333.7, 554.9", \ + " 27.2, 59.1, 125.2, 234.9, 379.2, 610.7", \ + " 6.9, 44.5, 122.8, 252.6, 424.6, 694.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.4, 76.1, 147.0, 306.5, 590.1, 1192.7", \ + " 55.7, 80.2, 148.3, 306.5, 590.1, 1192.7", \ + " 63.2, 86.6, 152.5, 307.4, 590.1, 1192.7", \ + " 74.6, 96.7, 160.3, 311.5, 590.3, 1192.7", \ + " 90.8, 116.3, 176.9, 322.9, 595.3, 1192.7", \ + " 111.1, 140.1, 203.5, 344.3, 609.0, 1195.5", \ + " 140.7, 172.2, 244.2, 381.8, 637.5, 1210.2", \ + " 182.4, 217.0, 295.6, 440.9, 688.5, 1244.4", \ + " 241.7, 280.8, 367.0, 528.4, 774.4, 1311.5", \ + " 326.9, 371.9, 468.9, 646.1, 910.2, 1432.7" ); }} +timing() { /* ring osc delay oai21v0x05, path b to z 64.0 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.5 ; */ +/* intrinsic_fall : 42.3 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.9, 50.6, 88.9, 173.7, 323.4, 640.0", \ + " 43.3, 57.9, 96.2, 181.3, 331.3, 648.1", \ + " 49.8, 64.0, 102.1, 187.1, 337.2, 654.0", \ + " 58.1, 72.7, 110.3, 195.1, 345.1, 662.0", \ + " 70.0, 87.5, 125.3, 209.5, 359.2, 676.0", \ + " 84.6, 104.8, 147.9, 230.8, 379.9, 696.3", \ + " 104.1, 127.2, 177.5, 264.0, 411.7, 727.3", \ + " 130.0, 156.2, 214.0, 313.6, 459.8, 773.6", \ + " 165.7, 195.6, 261.4, 377.3, 534.2, 844.8", \ + " 215.7, 249.9, 325.2, 458.5, 642.8, 955.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.8, 157.4, 261.5, 497.4, 918.0, 1812.7", \ + " 123.0, 159.5, 261.5, 497.4, 918.0, 1812.7", \ + " 129.3, 164.5, 263.8, 497.4, 918.0, 1812.7", \ + " 138.8, 172.7, 269.4, 498.6, 918.0, 1812.7", \ + " 156.8, 189.3, 282.2, 505.7, 918.6, 1812.7", \ + " 184.1, 215.0, 304.2, 521.1, 925.8, 1812.7", \ + " 226.0, 256.1, 341.2, 550.0, 944.9, 1816.9", \ + " 277.4, 314.0, 399.5, 599.7, 982.5, 1837.0", \ + " 347.1, 388.6, 487.7, 682.3, 1050.5, 1883.4", \ + " 445.3, 491.4, 604.1, 814.9, 1168.5, 1973.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.2, 45.8, 73.0, 132.7, 237.8, 460.5", \ + " 41.4, 52.0, 79.3, 139.1, 244.3, 466.9", \ + " 46.5, 56.9, 84.1, 143.9, 249.1, 471.8", \ + " 52.1, 63.7, 90.7, 150.4, 255.6, 478.3", \ + " 58.4, 72.3, 102.4, 161.9, 267.0, 489.7", \ + " 63.6, 80.2, 116.2, 178.7, 283.5, 506.0", \ + " 67.3, 86.9, 129.8, 203.1, 308.7, 530.8", \ + " 68.2, 91.2, 141.8, 229.2, 346.0, 567.5", \ + " 64.0, 91.0, 150.6, 254.2, 393.9, 623.3", \ + " 50.9, 82.7, 153.1, 275.7, 442.4, 707.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.3, 95.9, 166.7, 326.2, 609.8, 1212.6", \ + " 73.0, 98.2, 167.2, 326.1, 609.8, 1212.6", \ + " 79.0, 103.4, 170.6, 326.5, 609.8, 1212.6", \ + " 88.5, 112.2, 177.4, 330.0, 609.8, 1212.6", \ + " 107.8, 129.8, 192.6, 340.5, 614.2, 1212.6", \ + " 128.5, 156.2, 217.8, 360.6, 627.1, 1215.0", \ + " 158.5, 188.9, 258.8, 396.9, 654.5, 1228.9", \ + " 200.6, 234.2, 311.4, 455.0, 704.4, 1262.3", \ + " 261.0, 298.6, 383.6, 543.2, 789.1, 1328.4", \ + " 347.6, 390.9, 486.0, 662.1, 924.1, 1448.3" ); }} +timing() { /* ring osc delay oai21v0x05, path b to z 45.9 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.5 ; */ +/* intrinsic_fall : 42.3 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.6, 48.1, 85.8, 169.5, 317.4, 631.5", \ + " 41.0, 55.3, 93.0, 177.0, 325.2, 639.4", \ + " 47.6, 61.5, 98.9, 182.8, 331.0, 645.3", \ + " 55.5, 70.3, 107.2, 190.7, 339.0, 653.3", \ + " 66.8, 84.7, 122.4, 205.2, 353.1, 667.3", \ + " 80.9, 101.5, 144.9, 226.7, 373.8, 687.6", \ + " 99.8, 123.3, 174.0, 260.2, 405.9, 718.7", \ + " 124.9, 151.8, 209.9, 309.6, 454.4, 765.3", \ + " 159.4, 190.4, 256.8, 372.7, 529.4, 836.9", \ + " 207.3, 243.6, 320.2, 453.4, 637.5, 948.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.1, 108.6, 213.9, 450.8, 872.0, 1767.1", \ + " 73.7, 110.9, 213.9, 450.8, 872.0, 1767.1", \ + " 80.2, 116.1, 216.5, 450.8, 872.0, 1767.1", \ + " 89.7, 124.4, 222.2, 452.2, 872.0, 1767.1", \ + " 108.0, 140.8, 235.0, 459.4, 872.7, 1767.1", \ + " 133.3, 166.4, 256.6, 474.7, 880.0, 1767.1", \ + " 163.8, 204.3, 292.8, 503.3, 899.1, 1771.4", \ + " 204.6, 250.4, 349.3, 551.8, 936.2, 1791.4", \ + " 262.6, 313.1, 427.3, 631.8, 1002.8, 1837.4", \ + " 346.5, 402.0, 529.9, 759.2, 1117.4, 1926.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.5, 29.4, 50.2, 96.8, 179.4, 354.8", \ + " 28.1, 36.2, 57.1, 103.7, 186.4, 361.9", \ + " 31.1, 41.2, 62.4, 109.0, 191.7, 367.2", \ + " 33.6, 45.7, 69.6, 116.2, 198.8, 374.3", \ + " 35.8, 50.6, 80.3, 128.8, 211.3, 386.8", \ + " 36.3, 54.1, 90.1, 147.0, 229.4, 404.7", \ + " 34.4, 55.6, 98.9, 168.2, 256.8, 431.7", \ + " 28.2, 53.4, 105.0, 188.7, 295.0, 471.7", \ + " 15.1, 45.0, 106.5, 206.7, 335.9, 532.3", \ + " -9.4, 26.1, 99.4, 219.3, 375.2, 614.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.5, 57.0, 111.8, 234.9, 454.0, 919.4", \ + " 44.3, 62.6, 114.2, 235.0, 454.0, 919.4", \ + " 52.6, 69.9, 119.4, 237.2, 454.0, 919.4", \ + " 64.1, 81.0, 128.4, 242.7, 455.4, 919.4", \ + " 79.7, 100.8, 146.8, 256.2, 462.9, 919.7", \ + " 100.6, 124.3, 175.7, 280.3, 479.7, 926.2", \ + " 130.1, 156.6, 215.4, 321.3, 512.5, 945.9", \ + " 170.7, 201.0, 266.9, 384.9, 569.3, 986.8", \ + " 228.1, 263.2, 337.8, 471.0, 661.9, 1063.2", \ + " 310.2, 351.3, 437.5, 587.9, 803.1, 1196.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 52.5 ; */ +/* intrinsic_fall : 42.3 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.9, 50.6, 88.9, 173.7, 323.4, 640.0", \ + " 43.3, 57.9, 96.2, 181.3, 331.3, 648.1", \ + " 49.8, 64.0, 102.1, 187.1, 337.2, 654.0", \ + " 58.1, 72.7, 110.3, 195.1, 345.1, 662.0", \ + " 70.0, 87.5, 125.3, 209.5, 359.2, 676.0", \ + " 84.6, 104.8, 147.9, 230.8, 379.9, 696.3", \ + " 104.1, 127.2, 177.5, 264.0, 411.7, 727.3", \ + " 130.0, 156.2, 214.0, 313.6, 459.8, 773.6", \ + " 165.7, 195.6, 261.4, 377.3, 534.2, 844.8", \ + " 215.7, 249.9, 325.2, 458.5, 642.8, 955.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.8, 157.4, 261.5, 497.4, 918.0, 1812.7", \ + " 123.0, 159.5, 261.5, 497.4, 918.0, 1812.7", \ + " 129.3, 164.5, 263.8, 497.4, 918.0, 1812.7", \ + " 138.8, 172.7, 269.4, 498.6, 918.0, 1812.7", \ + " 156.8, 189.3, 282.2, 505.7, 918.6, 1812.7", \ + " 184.1, 215.0, 304.2, 521.1, 925.8, 1812.7", \ + " 226.0, 256.1, 341.2, 550.0, 944.9, 1816.9", \ + " 277.4, 314.0, 399.5, 599.7, 982.5, 1837.0", \ + " 347.1, 388.6, 487.7, 682.3, 1050.5, 1883.4", \ + " 445.3, 491.4, 604.1, 814.9, 1168.5, 1973.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.2, 45.8, 73.0, 132.7, 237.8, 460.5", \ + " 41.4, 52.0, 79.3, 139.1, 244.3, 466.9", \ + " 46.5, 56.9, 84.1, 143.9, 249.1, 471.8", \ + " 52.1, 63.7, 90.7, 150.4, 255.6, 478.3", \ + " 58.4, 72.3, 102.4, 161.9, 267.0, 489.7", \ + " 63.6, 80.2, 116.2, 178.7, 283.5, 506.0", \ + " 67.3, 86.9, 129.8, 203.1, 308.7, 530.8", \ + " 68.2, 91.2, 141.8, 229.2, 346.0, 567.5", \ + " 64.0, 91.0, 150.6, 254.2, 393.9, 623.3", \ + " 50.9, 82.7, 153.1, 275.7, 442.4, 707.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.3, 95.9, 166.7, 326.2, 609.8, 1212.6", \ + " 73.0, 98.2, 167.2, 326.1, 609.8, 1212.6", \ + " 79.0, 103.4, 170.6, 326.5, 609.8, 1212.6", \ + " 88.5, 112.2, 177.4, 330.0, 609.8, 1212.6", \ + " 107.8, 129.8, 192.6, 340.5, 614.2, 1212.6", \ + " 128.5, 156.2, 217.8, 360.6, 627.1, 1215.0", \ + " 158.5, 188.9, 258.8, 396.9, 654.5, 1228.9", \ + " 200.6, 234.2, 311.4, 455.0, 704.4, 1262.3", \ + " 261.0, 298.6, 383.6, 543.2, 789.1, 1328.4", \ + " 347.6, 390.9, 486.0, 662.1, 924.1, 1448.3" ); }} +} +} +cell(oai21v0x1) { /* 2008-01-06:07h48 characteristic delay 14.4 ps */ +area : 5 ; /* tracks */ +cell_leakage_power : 612 ; /* oai21v0x1 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x1 FO4 effort 1.79 logical effort 1.90 */ +direction : input ; +capacitance : 4.38 ; +rise_capacitance : 4.37 ; +fall_capacitance : 4.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x1 */ +} +pin(a2) { /* oai21v0x1 FO4 effort 1.65 logical effort 1.87 */ +direction : input ; +capacitance : 4.32 ; +rise_capacitance : 3.97 ; +fall_capacitance : 4.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x1 */ +} +pin(b) { /* oai21v0x1 FO4 effort 1.27 logical effort 1.30 */ +direction : input ; +capacitance : 3.12 ; +rise_capacitance : 3.09 ; +fall_capacitance : 3.15 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 99 ; +max_fanout : 4 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x1 14.67 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 7.57, 7.59, 7.60, 7.60, 7.58", \ + " 7.35, 7.39, 7.44, 7.47, 7.47", \ + " 7.27, 7.32, 7.38, 7.42, 7.43", \ + " 7.24, 7.28, 7.33, 7.39, 7.41", \ + " 7.32, 7.32, 7.34, 7.38, 7.39", \ + " 7.64, 7.58, 7.51, 7.47, 7.45", \ + " 8.43, 8.27, 8.02, 7.79, 7.64", \ + " 9.97, 9.66, 9.13, 8.57, 8.16", \ + " 12.68, 12.20, 11.30, 10.21, 9.33", \ + " 17.11, 16.48, 15.17, 13.36, 11.72" ); }} +internal_power(a2_z_n) { /* oai21v0x1 10.86 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 5.48, 5.58, 5.67, 5.71, 5.72", \ + " 5.22, 5.34, 5.52, 5.64, 5.69", \ + " 5.18, 5.29, 5.45, 5.60, 5.66", \ + " 5.24, 5.30, 5.43, 5.57, 5.65", \ + " 5.51, 5.49, 5.52, 5.59, 5.65", \ + " 6.09, 5.96, 5.82, 5.76, 5.74", \ + " 7.19, 6.91, 6.53, 6.21, 6.02", \ + " 9.04, 8.60, 7.88, 7.15, 6.65", \ + " 12.08, 11.46, 10.32, 9.01, 7.99", \ + " 16.92, 16.12, 14.53, 12.43, 10.60" ); }} +internal_power(b_z_n) { /* oai21v0x1 8.18 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 4.04, 4.12, 4.20, 4.25, 4.26", \ + " 3.92, 3.99, 4.10, 4.18, 4.22", \ + " 3.95, 3.99, 4.07, 4.16, 4.21", \ + " 4.06, 4.05, 4.09, 4.15, 4.20", \ + " 4.36, 4.28, 4.21, 4.20, 4.21", \ + " 4.90, 4.72, 4.51, 4.37, 4.30", \ + " 5.84, 5.54, 5.13, 4.76, 4.55", \ + " 7.38, 6.93, 6.24, 5.55, 5.07", \ + " 9.86, 9.24, 8.18, 7.04, 6.15", \ + " 13.80, 12.99, 11.51, 9.70, 8.19" ); }} +timing() { /* ring osc delay oai21v0x1, path a1 to z 67.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.8 ; */ +/* intrinsic_fall : 52.2 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 66.3, 101.3, 179.0, 316.6, 608.6", \ + " 60.0, 73.5, 108.7, 186.5, 324.2, 616.3", \ + " 64.9, 78.4, 113.6, 191.5, 329.2, 621.3", \ + " 71.2, 84.7, 119.8, 197.8, 335.5, 627.7", \ + " 80.1, 95.1, 130.7, 208.6, 346.3, 638.5", \ + " 90.7, 107.3, 146.3, 224.2, 361.7, 653.8", \ + " 105.3, 123.6, 166.4, 248.3, 385.4, 677.0", \ + " 125.4, 145.7, 192.6, 282.1, 421.0, 711.7", \ + " 153.4, 176.3, 228.3, 326.3, 475.3, 765.0", \ + " 191.9, 218.3, 277.4, 385.8, 548.7, 847.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 98.7, 135.4, 233.9, 456.6, 852.8, 1694.5", \ + " 99.7, 135.9, 234.1, 456.6, 852.8, 1694.5", \ + " 103.0, 138.6, 235.4, 456.7, 852.8, 1694.5", \ + " 108.4, 143.4, 239.0, 458.2, 852.8, 1694.5", \ + " 120.4, 154.2, 247.7, 463.8, 854.6, 1694.5", \ + " 142.7, 173.7, 263.7, 475.4, 861.5, 1695.3", \ + " 174.5, 208.1, 293.1, 498.1, 877.3, 1702.4", \ + " 220.5, 255.1, 343.2, 538.5, 907.9, 1721.1", \ + " 286.7, 323.0, 415.0, 608.1, 964.5, 1760.5", \ + " 380.0, 419.3, 517.0, 720.1, 1063.7, 1836.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 51.3, 76.7, 132.4, 230.6, 438.4", \ + " 46.4, 56.4, 82.0, 137.9, 236.1, 443.9", \ + " 49.7, 59.8, 85.4, 141.4, 239.6, 447.5", \ + " 53.1, 63.9, 89.8, 145.8, 244.1, 452.0", \ + " 57.4, 69.3, 97.3, 153.6, 251.9, 459.8", \ + " 61.3, 74.9, 105.8, 164.8, 263.1, 471.1", \ + " 64.4, 80.2, 115.4, 180.2, 280.3, 488.1", \ + " 65.6, 84.1, 124.9, 197.5, 305.3, 513.3", \ + " 63.8, 85.3, 133.0, 216.6, 335.9, 552.0", \ + " 56.7, 81.8, 137.6, 235.3, 370.8, 607.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.2, 90.2, 157.5, 309.6, 580.4, 1156.0", \ + " 67.0, 91.5, 158.0, 309.7, 580.4, 1156.0", \ + " 70.6, 94.6, 160.2, 310.5, 580.4, 1156.0", \ + " 77.0, 100.2, 164.4, 313.0, 581.2, 1156.0", \ + " 91.8, 113.4, 174.8, 320.0, 585.1, 1156.4", \ + " 111.5, 135.1, 194.3, 334.3, 594.4, 1160.3", \ + " 141.4, 166.2, 228.2, 361.9, 614.3, 1171.5", \ + " 185.1, 211.4, 276.3, 410.2, 652.1, 1196.4", \ + " 249.7, 277.9, 346.3, 486.4, 720.5, 1246.4", \ + " 343.6, 374.7, 448.7, 596.4, 836.6, 1340.3" ); }} +timing() { /* ring osc delay oai21v0x1, path a2 to z 56.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 59.0 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 4.31 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.5, 58.1, 93.3, 171.2, 308.9, 600.9", \ + " 49.9, 63.5, 98.9, 177.0, 314.8, 607.0", \ + " 55.3, 68.7, 103.8, 181.8, 319.6, 611.8", \ + " 63.0, 76.1, 110.8, 188.6, 326.3, 618.4", \ + " 73.9, 89.2, 124.0, 201.0, 338.3, 630.2", \ + " 87.3, 104.5, 143.6, 219.7, 356.1, 647.4", \ + " 105.3, 124.5, 168.7, 249.1, 383.9, 674.0", \ + " 128.9, 150.4, 199.9, 291.2, 426.5, 714.2", \ + " 161.0, 185.5, 240.9, 344.0, 492.8, 776.7", \ + " 205.1, 233.3, 296.5, 412.2, 581.8, 875.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 95.9, 131.9, 228.5, 446.3, 833.5, 1656.1", \ + " 99.1, 133.7, 228.5, 446.3, 833.5, 1656.1", \ + " 105.0, 138.5, 231.2, 446.3, 833.5, 1656.1", \ + " 114.4, 146.7, 237.0, 448.3, 833.5, 1656.1", \ + " 132.6, 163.3, 250.1, 456.3, 835.2, 1656.1", \ + " 161.7, 189.5, 272.6, 472.4, 844.1, 1656.2", \ + " 192.5, 229.7, 310.3, 502.6, 864.9, 1663.8", \ + " 235.9, 276.1, 369.2, 553.9, 904.4, 1687.3", \ + " 299.2, 342.8, 445.4, 637.6, 975.6, 1737.3", \ + " 391.7, 439.8, 551.6, 765.7, 1097.0, 1832.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 42.8, 67.8, 123.1, 220.8, 428.4", \ + " 37.8, 47.8, 73.3, 129.0, 227.0, 434.7", \ + " 40.4, 51.0, 76.5, 132.4, 230.6, 438.3", \ + " 42.5, 54.2, 80.8, 136.8, 235.0, 442.9", \ + " 44.7, 58.1, 87.6, 144.4, 242.7, 450.7", \ + " 46.0, 61.5, 94.7, 155.4, 253.8, 461.9", \ + " 45.3, 63.7, 102.3, 169.6, 270.8, 478.7", \ + " 41.4, 63.4, 108.8, 185.2, 295.1, 503.8", \ + " 32.6, 58.5, 112.4, 201.5, 324.0, 542.1", \ + " 15.6, 46.0, 109.9, 215.5, 356.3, 595.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 45.3, 70.5, 138.0, 290.3, 561.1, 1136.5", \ + " 47.2, 71.6, 138.2, 290.3, 561.1, 1136.5", \ + " 51.4, 75.0, 140.4, 290.7, 561.1, 1136.5", \ + " 59.3, 81.1, 144.7, 293.3, 561.5, 1136.5", \ + " 73.4, 95.8, 155.3, 300.2, 565.3, 1136.7", \ + " 92.2, 116.2, 175.3, 314.4, 574.5, 1140.6", \ + " 121.5, 146.3, 209.2, 342.0, 594.2, 1151.6", \ + " 165.1, 191.1, 256.0, 390.8, 631.8, 1176.2", \ + " 229.7, 257.5, 325.4, 466.0, 700.1, 1225.9", \ + " 324.1, 354.2, 427.7, 574.5, 816.4, 1319.5" ); }} +timing() { /* ring osc delay oai21v0x1, path b to z 44.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 39.7 ; */ +/* rise_resistance : 4.25 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 43.0, 77.5, 153.9, 289.2, 576.3", \ + " 37.3, 50.3, 84.9, 161.6, 297.1, 584.4", \ + " 43.8, 56.6, 90.8, 167.5, 303.0, 590.3", \ + " 50.8, 65.4, 99.1, 175.5, 311.0, 598.3", \ + " 61.1, 78.5, 114.3, 190.0, 325.2, 612.4", \ + " 74.1, 94.1, 136.0, 211.5, 345.9, 632.7", \ + " 91.4, 114.3, 163.1, 244.9, 377.9, 663.8", \ + " 114.2, 140.6, 196.6, 292.3, 426.3, 710.4", \ + " 145.3, 176.0, 240.3, 351.4, 501.0, 781.9", \ + " 188.2, 224.2, 299.1, 426.9, 603.1, 893.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.8, 95.9, 192.1, 408.7, 793.9, 1612.2", \ + " 65.0, 98.7, 192.4, 408.8, 793.9, 1612.2", \ + " 71.7, 104.2, 195.4, 408.8, 793.9, 1612.2", \ + " 81.8, 112.9, 201.4, 410.8, 793.9, 1612.2", \ + " 101.4, 130.2, 215.0, 418.8, 795.2, 1612.2", \ + " 125.2, 157.4, 237.9, 435.2, 803.8, 1612.2", \ + " 156.2, 194.5, 276.1, 465.6, 824.6, 1618.7", \ + " 198.6, 241.5, 335.3, 517.0, 864.3, 1641.5", \ + " 259.2, 306.6, 413.3, 601.5, 935.1, 1691.3", \ + " 347.2, 399.6, 518.8, 733.2, 1056.5, 1786.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.9, 32.3, 56.9, 112.0, 209.7, 417.2", \ + " 29.0, 38.4, 63.2, 118.3, 216.1, 423.6", \ + " 31.9, 43.2, 68.0, 123.1, 220.9, 428.5", \ + " 34.4, 47.7, 74.6, 129.7, 227.4, 435.0", \ + " 36.6, 52.6, 85.2, 141.2, 238.9, 446.4", \ + " 37.5, 56.5, 95.3, 158.1, 255.5, 462.8", \ + " 36.2, 58.6, 104.7, 179.9, 280.7, 487.6", \ + " 31.3, 57.7, 111.9, 201.4, 317.6, 524.4", \ + " 20.3, 51.4, 115.4, 221.1, 360.1, 580.4", \ + " -0.7, 36.0, 111.7, 236.6, 402.1, 661.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 43.7, 68.5, 134.7, 283.6, 548.3, 1110.7", \ + " 50.8, 73.4, 136.5, 283.5, 548.3, 1110.7", \ + " 58.5, 80.1, 141.1, 285.0, 548.2, 1110.7", \ + " 70.5, 90.4, 149.3, 289.6, 548.8, 1110.7", \ + " 84.7, 110.3, 166.4, 301.7, 554.7, 1110.7", \ + " 104.7, 132.5, 193.3, 323.8, 569.5, 1114.8", \ + " 133.8, 164.0, 232.7, 362.0, 599.1, 1131.1", \ + " 174.6, 208.0, 283.0, 421.6, 651.6, 1167.3", \ + " 232.8, 270.7, 353.4, 506.9, 738.3, 1236.9", \ + " 316.8, 360.4, 453.8, 622.5, 874.2, 1360.6" ); }} +timing() { /* ring osc delay oai21v0x1, path b to z 57.8 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 39.7 ; */ +/* rise_resistance : 4.25 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.5, 45.0, 80.0, 157.5, 294.4, 583.9", \ + " 39.1, 52.4, 87.4, 165.1, 302.2, 592.0", \ + " 45.8, 58.6, 93.3, 171.0, 308.2, 597.9", \ + " 53.3, 67.4, 101.6, 179.0, 316.1, 605.9", \ + " 64.5, 81.3, 116.8, 193.5, 330.3, 620.0", \ + " 78.4, 97.7, 139.0, 215.0, 351.1, 640.3", \ + " 97.0, 119.1, 167.1, 248.4, 383.1, 671.4", \ + " 121.9, 147.0, 201.9, 296.8, 431.5, 717.9", \ + " 156.1, 184.8, 247.4, 357.5, 506.3, 789.5", \ + " 204.3, 237.0, 308.9, 435.2, 610.4, 900.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.4, 142.6, 237.6, 453.2, 837.8, 1655.7", \ + " 112.6, 145.5, 237.9, 453.2, 837.8, 1655.7", \ + " 119.2, 150.8, 240.8, 453.2, 837.8, 1655.7", \ + " 129.0, 159.5, 246.9, 455.2, 837.8, 1655.7", \ + " 147.4, 176.5, 260.4, 463.3, 839.1, 1655.7", \ + " 175.1, 202.9, 283.2, 479.6, 847.7, 1655.7", \ + " 215.6, 244.7, 321.2, 510.0, 868.5, 1662.2", \ + " 266.0, 300.4, 380.4, 561.3, 908.1, 1685.0", \ + " 334.8, 373.5, 466.8, 645.7, 978.8, 1734.8", \ + " 432.2, 475.1, 580.5, 780.0, 1100.2, 1829.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.2, 42.2, 67.7, 123.5, 221.6, 429.5", \ + " 38.5, 48.4, 74.0, 129.8, 228.0, 435.9", \ + " 43.7, 53.4, 78.8, 134.7, 232.9, 440.7", \ + " 48.6, 59.9, 85.4, 141.2, 239.4, 447.2", \ + " 54.4, 67.9, 97.1, 152.7, 250.8, 458.6", \ + " 59.0, 75.1, 109.9, 169.5, 267.4, 475.0", \ + " 62.1, 81.0, 122.5, 193.2, 292.6, 499.8", \ + " 62.3, 84.5, 133.2, 217.4, 329.9, 536.6", \ + " 57.4, 83.5, 140.8, 240.4, 374.9, 592.6", \ + " 43.7, 74.3, 142.0, 259.7, 419.9, 675.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.9, 87.7, 153.9, 302.7, 567.4, 1130.0", \ + " 67.3, 90.7, 154.7, 302.6, 567.4, 1130.0", \ + " 73.5, 96.2, 158.5, 303.5, 567.4, 1130.0", \ + " 83.2, 105.2, 165.7, 307.5, 567.7, 1130.0", \ + " 101.5, 123.1, 181.4, 318.6, 572.9, 1130.0", \ + " 121.8, 148.3, 207.0, 339.5, 586.8, 1133.5", \ + " 151.2, 180.4, 247.4, 376.5, 615.5, 1149.2", \ + " 192.7, 224.9, 298.6, 435.0, 666.8, 1184.5", \ + " 252.0, 288.4, 369.6, 521.5, 752.5, 1253.0", \ + " 337.2, 379.2, 470.6, 638.1, 887.9, 1375.6" ); }} +timing() { /* ring osc delay oai21v0x1, path b to z 40.7 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 39.7 ; */ +/* rise_resistance : 4.25 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.3, 42.6, 77.1, 153.6, 288.9, 576.0", \ + " 37.0, 50.0, 84.4, 161.1, 296.6, 583.9", \ + " 43.6, 56.3, 90.4, 167.0, 302.5, 589.9", \ + " 50.7, 65.2, 98.8, 175.0, 310.5, 597.8", \ + " 61.3, 78.5, 114.2, 189.6, 324.7, 611.9", \ + " 74.7, 94.5, 136.1, 211.3, 345.6, 632.3", \ + " 92.7, 115.3, 163.7, 245.0, 377.8, 663.5", \ + " 116.7, 142.6, 198.0, 293.0, 426.6, 710.3", \ + " 149.7, 179.7, 243.1, 353.2, 502.0, 782.3", \ + " 195.6, 230.8, 304.2, 430.6, 605.4, 894.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.8, 95.9, 192.1, 408.8, 793.9, 1612.2", \ + " 65.4, 99.0, 192.5, 408.8, 793.9, 1612.2", \ + " 72.1, 104.6, 195.6, 408.8, 793.9, 1612.2", \ + " 82.0, 113.2, 201.8, 410.9, 793.9, 1612.2", \ + " 101.0, 130.2, 215.3, 419.1, 795.3, 1612.2", \ + " 123.9, 156.5, 237.7, 435.4, 804.0, 1612.2", \ + " 153.4, 192.1, 274.8, 465.2, 824.8, 1618.8", \ + " 193.5, 236.9, 331.9, 515.3, 863.8, 1641.6", \ + " 250.8, 298.5, 406.5, 596.9, 932.9, 1690.8", \ + " 334.0, 386.4, 506.7, 723.8, 1050.6, 1783.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.4, 26.8, 46.2, 89.6, 166.7, 330.5", \ + " 25.6, 33.6, 53.1, 96.6, 173.8, 337.6", \ + " 28.3, 38.2, 58.4, 101.9, 179.1, 342.9", \ + " 30.3, 42.2, 65.6, 109.1, 186.2, 350.0", \ + " 31.9, 46.5, 75.4, 121.7, 198.7, 362.5", \ + " 31.9, 49.3, 84.4, 139.6, 216.8, 380.4", \ + " 29.2, 50.0, 92.1, 159.3, 244.3, 407.5", \ + " 22.4, 47.0, 97.1, 178.0, 281.0, 447.6", \ + " 8.5, 37.8, 97.4, 194.2, 318.9, 508.3", \ + " -16.6, 18.0, 89.0, 204.6, 354.8, 585.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.4, 51.3, 102.4, 217.4, 421.8, 856.2", \ + " 40.9, 57.7, 105.5, 217.7, 421.8, 856.2", \ + " 49.5, 65.3, 111.0, 220.3, 421.8, 856.2", \ + " 59.9, 76.7, 120.3, 226.2, 423.8, 856.2", \ + " 75.0, 95.5, 139.2, 240.4, 432.2, 856.9", \ + " 95.3, 118.3, 168.3, 265.3, 450.0, 864.7", \ + " 124.1, 149.9, 206.4, 306.8, 483.9, 886.0", \ + " 163.9, 193.4, 256.7, 369.7, 541.9, 928.8", \ + " 220.0, 254.4, 326.3, 453.8, 635.2, 1007.5", \ + " 300.9, 341.0, 424.5, 568.3, 774.0, 1142.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 48.9 ; */ +/* intrinsic_fall : 39.7 ; */ +/* rise_resistance : 4.25 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.5, 45.0, 80.0, 157.5, 294.4, 583.9", \ + " 39.1, 52.4, 87.4, 165.1, 302.2, 592.0", \ + " 45.8, 58.6, 93.3, 171.0, 308.2, 597.9", \ + " 53.3, 67.4, 101.6, 179.0, 316.1, 605.9", \ + " 64.5, 81.3, 116.8, 193.5, 330.3, 620.0", \ + " 78.4, 97.7, 139.0, 215.0, 351.1, 640.3", \ + " 97.0, 119.1, 167.1, 248.4, 383.1, 671.4", \ + " 121.9, 147.0, 201.9, 296.8, 431.5, 717.9", \ + " 156.1, 184.8, 247.4, 357.5, 506.3, 789.5", \ + " 204.3, 237.0, 308.9, 435.2, 610.4, 900.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 107.4, 142.6, 237.6, 453.2, 837.8, 1655.7", \ + " 112.6, 145.5, 237.9, 453.2, 837.8, 1655.7", \ + " 119.2, 150.8, 240.8, 453.2, 837.8, 1655.7", \ + " 129.0, 159.5, 246.9, 455.2, 837.8, 1655.7", \ + " 147.4, 176.5, 260.4, 463.3, 839.1, 1655.7", \ + " 175.1, 202.9, 283.2, 479.6, 847.7, 1655.7", \ + " 215.6, 244.7, 321.2, 510.0, 868.5, 1662.2", \ + " 266.0, 300.4, 380.4, 561.3, 908.1, 1685.0", \ + " 334.8, 373.5, 466.8, 645.7, 978.8, 1734.8", \ + " 432.2, 475.1, 580.5, 780.0, 1100.2, 1829.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.2, 42.2, 67.7, 123.5, 221.6, 429.5", \ + " 38.5, 48.4, 74.0, 129.8, 228.0, 435.9", \ + " 43.7, 53.4, 78.8, 134.7, 232.9, 440.7", \ + " 48.6, 59.9, 85.4, 141.2, 239.4, 447.2", \ + " 54.4, 67.9, 97.1, 152.7, 250.8, 458.6", \ + " 59.0, 75.1, 109.9, 169.5, 267.4, 475.0", \ + " 62.1, 81.0, 122.5, 193.2, 292.6, 499.8", \ + " 62.3, 84.5, 133.2, 217.4, 329.9, 536.6", \ + " 57.4, 83.5, 140.8, 240.4, 374.9, 592.6", \ + " 43.7, 74.3, 142.0, 259.7, 419.9, 675.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.9, 87.7, 153.9, 302.7, 567.4, 1130.0", \ + " 67.3, 90.7, 154.7, 302.6, 567.4, 1130.0", \ + " 73.5, 96.2, 158.5, 303.5, 567.4, 1130.0", \ + " 83.2, 105.2, 165.7, 307.5, 567.7, 1130.0", \ + " 101.5, 123.1, 181.4, 318.6, 572.9, 1130.0", \ + " 121.8, 148.3, 207.0, 339.5, 586.8, 1133.5", \ + " 151.2, 180.4, 247.4, 376.5, 615.5, 1149.2", \ + " 192.7, 224.9, 298.6, 435.0, 666.8, 1184.5", \ + " 252.0, 288.4, 369.6, 521.5, 752.5, 1253.0", \ + " 337.2, 379.2, 470.6, 638.1, 887.9, 1375.6" ); }} +} +} +cell(oai21v0x2) { /* 2008-01-06:07h48 characteristic delay 13.7 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 1317 ; /* oai21v0x2 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x2 FO4 effort 1.79 logical effort 1.82 */ +direction : input ; +capacitance : 8.65 ; +rise_capacitance : 8.68 ; +fall_capacitance : 8.63 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x2 */ +} +pin(a2) { /* oai21v0x2 FO4 effort 1.58 logical effort 1.68 */ +direction : input ; +capacitance : 7.95 ; +rise_capacitance : 7.30 ; +fall_capacitance : 8.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x2 */ +} +pin(b) { /* oai21v0x2 FO4 effort 1.27 logical effort 1.31 */ +direction : input ; +capacitance : 6.39 ; +rise_capacitance : 6.36 ; +fall_capacitance : 6.42 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 199 ; +max_fanout : 6 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x2 29.95 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 15.46, 15.49, 15.52, 15.52, 15.49", \ + " 15.01, 15.09, 15.20, 15.26, 15.26", \ + " 14.86, 14.94, 15.07, 15.17, 15.20", \ + " 14.77, 14.85, 14.98, 15.09, 15.14", \ + " 14.88, 14.90, 14.96, 15.05, 15.10", \ + " 15.43, 15.34, 15.25, 15.20, 15.18", \ + " 16.83, 16.55, 16.13, 15.76, 15.52", \ + " 19.63, 19.07, 18.12, 17.14, 16.43", \ + " 24.64, 23.74, 22.08, 20.10, 18.53", \ + " 32.92, 31.70, 29.24, 25.87, 22.87" ); }} +internal_power(a2_z_n) { /* oai21v0x2 21.95 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 11.07, 11.28, 11.46, 11.56, 11.58", \ + " 10.55, 10.82, 11.17, 11.43, 11.53", \ + " 10.46, 10.69, 11.04, 11.34, 11.49", \ + " 10.55, 10.69, 10.98, 11.27, 11.44", \ + " 11.02, 11.00, 11.10, 11.29, 11.44", \ + " 12.07, 11.85, 11.64, 11.57, 11.59", \ + " 14.08, 13.58, 12.90, 12.36, 12.06", \ + " 17.54, 16.70, 15.38, 14.08, 13.20", \ + " 23.24, 22.04, 19.89, 17.48, 15.64", \ + " 32.38, 30.82, 27.76, 23.81, 20.45" ); }} +internal_power(b_z_n) { /* oai21v0x2 16.66 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 8.20, 8.39, 8.59, 8.71, 8.74", \ + " 7.95, 8.10, 8.35, 8.56, 8.67", \ + " 8.03, 8.10, 8.29, 8.50, 8.62", \ + " 8.28, 8.26, 8.33, 8.49, 8.60", \ + " 8.93, 8.76, 8.61, 8.59, 8.64", \ + " 10.11, 9.74, 9.28, 8.97, 8.84", \ + " 12.16, 11.53, 10.64, 9.86, 9.38", \ + " 15.50, 14.56, 13.09, 11.61, 10.56", \ + " 20.85, 19.56, 17.35, 14.89, 12.95", \ + " 29.33, 27.67, 24.59, 20.74, 17.48" ); }} +timing() { /* ring osc delay oai21v0x2, path a1 to z 70.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.2 ; */ +/* intrinsic_fall : 57.0 ; */ +/* rise_resistance : 2.08 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.2, 65.1, 98.8, 173.7, 306.4, 587.9", \ + " 59.4, 72.4, 106.2, 181.3, 314.0, 595.7", \ + " 64.3, 77.3, 111.2, 186.3, 319.1, 600.7", \ + " 70.6, 83.6, 117.4, 192.5, 325.4, 607.1", \ + " 79.2, 93.8, 128.2, 203.3, 336.1, 617.9", \ + " 89.3, 105.5, 143.5, 218.8, 351.5, 633.1", \ + " 102.6, 120.7, 162.7, 242.6, 374.9, 656.2", \ + " 120.3, 140.5, 187.1, 275.1, 410.0, 690.6", \ + " 144.3, 167.3, 219.6, 316.7, 462.9, 743.3", \ + " 176.6, 203.3, 263.1, 371.8, 532.7, 824.8" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 96.5, 131.9, 227.0, 441.7, 823.8, 1635.5", \ + " 97.6, 132.5, 227.2, 441.8, 823.8, 1635.5", \ + " 100.8, 135.2, 228.5, 441.9, 823.8, 1635.5", \ + " 106.3, 140.1, 232.2, 443.4, 823.8, 1635.5", \ + " 118.4, 150.9, 241.0, 449.3, 825.8, 1635.5", \ + " 140.7, 170.6, 257.2, 461.2, 833.1, 1636.5", \ + " 171.8, 204.6, 286.9, 484.3, 849.4, 1644.2", \ + " 217.3, 251.3, 337.4, 525.4, 880.9, 1663.7", \ + " 283.4, 318.9, 408.8, 596.0, 938.7, 1704.5", \ + " 376.5, 415.2, 510.7, 708.4, 1039.7, 1783.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 53.0, 78.3, 133.7, 231.1, 437.5", \ + " 48.9, 58.9, 84.4, 139.9, 237.4, 443.9", \ + " 53.1, 63.1, 88.6, 144.2, 241.8, 448.3", \ + " 58.1, 68.7, 94.3, 150.0, 247.6, 454.1", \ + " 64.5, 76.6, 104.2, 160.0, 257.7, 464.3", \ + " 70.7, 84.8, 116.3, 174.6, 272.3, 478.9", \ + " 76.5, 93.1, 129.9, 195.5, 294.5, 500.9", \ + " 81.6, 100.9, 143.9, 219.5, 327.2, 533.6", \ + " 85.4, 107.8, 157.9, 246.1, 369.0, 583.2", \ + " 86.8, 112.7, 171.1, 274.2, 417.0, 656.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 68.4, 93.3, 160.4, 312.3, 582.6, 1157.4", \ + " 69.9, 94.3, 160.8, 312.3, 582.6, 1157.4", \ + " 73.7, 97.5, 162.9, 312.8, 582.6, 1157.4", \ + " 80.7, 103.7, 167.6, 315.5, 583.1, 1157.4", \ + " 96.5, 118.0, 179.2, 323.4, 587.1, 1157.5", \ + " 118.6, 142.0, 200.4, 339.5, 597.6, 1161.2", \ + " 150.1, 175.5, 237.3, 370.0, 620.4, 1173.8", \ + " 195.4, 222.7, 289.1, 422.1, 662.6, 1202.4", \ + " 261.7, 291.1, 362.3, 504.4, 737.2, 1258.9", \ + " 356.8, 389.7, 467.4, 620.5, 861.4, 1362.7" ); }} +timing() { /* ring osc delay oai21v0x2, path a2 to z 58.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 58.2 ; */ +/* intrinsic_fall : 47.0 ; */ +/* rise_resistance : 2.08 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 56.7, 90.6, 165.6, 298.3, 580.0", \ + " 49.2, 62.2, 96.3, 171.5, 304.4, 586.1", \ + " 54.5, 67.3, 101.2, 176.4, 309.2, 591.0", \ + " 61.9, 74.7, 108.1, 183.1, 315.9, 597.6", \ + " 72.3, 87.3, 121.2, 195.4, 327.8, 609.4", \ + " 84.8, 101.8, 140.2, 213.9, 345.5, 626.5", \ + " 101.2, 120.3, 164.0, 243.0, 373.1, 652.9", \ + " 122.3, 143.8, 193.1, 283.5, 415.2, 692.8", \ + " 150.2, 174.9, 230.6, 333.1, 480.0, 754.6", \ + " 187.7, 216.3, 280.3, 396.3, 564.6, 851.8" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 93.6, 128.5, 221.7, 431.8, 805.2, 1598.4", \ + " 97.0, 130.4, 221.8, 431.8, 805.2, 1598.4", \ + " 103.0, 135.3, 224.6, 431.7, 805.2, 1598.4", \ + " 112.5, 143.6, 230.6, 434.1, 805.2, 1598.4", \ + " 131.0, 160.6, 244.0, 442.4, 807.2, 1598.4", \ + " 160.7, 187.4, 267.1, 459.2, 816.7, 1598.7", \ + " 191.1, 227.4, 305.7, 490.3, 838.4, 1607.2", \ + " 234.9, 274.3, 366.2, 543.1, 879.5, 1632.1", \ + " 298.5, 341.5, 441.8, 628.7, 953.0, 1684.3", \ + " 391.0, 438.9, 548.8, 757.8, 1077.6, 1783.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.3, 44.0, 68.9, 123.8, 220.9, 427.1", \ + " 39.7, 49.7, 75.1, 130.5, 227.9, 434.1", \ + " 43.4, 53.7, 79.2, 134.8, 232.3, 438.7", \ + " 46.6, 58.5, 84.7, 140.4, 238.1, 444.5", \ + " 50.3, 64.2, 94.1, 150.3, 248.1, 454.7", \ + " 53.2, 69.7, 104.3, 164.6, 262.5, 469.2", \ + " 55.1, 74.5, 115.3, 184.3, 284.4, 491.1", \ + " 54.9, 77.9, 125.9, 206.1, 316.6, 523.5", \ + " 51.6, 78.5, 135.1, 229.5, 356.4, 572.8", \ + " 42.8, 74.3, 141.1, 252.6, 401.2, 644.9" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 47.8, 73.0, 140.4, 292.4, 562.8, 1137.4", \ + " 49.5, 73.8, 140.6, 292.4, 562.8, 1137.4", \ + " 54.2, 77.5, 142.5, 292.6, 562.8, 1137.4", \ + " 62.4, 84.3, 147.4, 295.2, 562.9, 1137.4", \ + " 78.5, 99.8, 159.4, 303.0, 566.8, 1137.4", \ + " 98.5, 122.9, 181.1, 319.2, 577.2, 1140.9", \ + " 128.8, 154.7, 218.1, 349.8, 599.8, 1153.2", \ + " 173.7, 200.8, 268.0, 402.1, 641.9, 1181.5", \ + " 239.8, 268.9, 339.8, 483.7, 716.5, 1237.9", \ + " 335.2, 367.2, 444.4, 597.6, 841.2, 1341.7" ); }} +timing() { /* ring osc delay oai21v0x2, path b to z 44.6 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.0, 43.5, 78.2, 154.8, 290.2, 577.4", \ + " 37.6, 50.8, 85.5, 162.4, 298.1, 585.4", \ + " 44.2, 57.0, 91.4, 168.2, 303.9, 591.4", \ + " 51.3, 65.9, 99.6, 176.2, 311.8, 599.3", \ + " 62.0, 79.2, 114.9, 190.7, 326.0, 613.4", \ + " 75.5, 95.3, 136.9, 212.3, 346.8, 633.7", \ + " 93.8, 116.4, 164.6, 245.9, 379.0, 664.9", \ + " 118.3, 144.1, 199.3, 294.0, 427.7, 711.6", \ + " 151.8, 181.9, 245.1, 354.6, 503.1, 783.6", \ + " 198.6, 234.0, 307.3, 432.9, 607.0, 895.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.5, 95.6, 191.9, 408.5, 793.6, 1612.0", \ + " 64.4, 98.2, 192.0, 408.5, 793.6, 1612.0", \ + " 70.8, 103.4, 194.8, 408.5, 793.6, 1612.0", \ + " 80.5, 111.8, 200.7, 410.3, 793.6, 1612.0", \ + " 99.6, 128.7, 213.9, 418.1, 794.8, 1612.0", \ + " 123.0, 155.2, 236.2, 434.1, 803.1, 1612.0", \ + " 153.3, 191.6, 273.6, 463.7, 823.5, 1618.2", \ + " 195.1, 237.8, 331.7, 514.1, 862.3, 1640.5", \ + " 255.1, 301.9, 408.2, 596.8, 931.6, 1689.3", \ + " 342.4, 393.9, 512.1, 726.2, 1050.6, 1782.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.3, 31.6, 56.1, 110.8, 207.8, 413.9", \ + " 27.7, 37.1, 61.7, 116.5, 213.6, 419.7", \ + " 30.0, 41.3, 66.1, 120.8, 217.9, 424.0", \ + " 31.9, 45.0, 72.0, 126.7, 223.8, 429.9", \ + " 33.2, 48.9, 81.1, 137.2, 234.1, 440.1", \ + " 33.0, 51.4, 89.6, 152.5, 249.2, 454.9", \ + " 30.1, 51.8, 96.8, 171.1, 272.1, 477.4", \ + " 22.8, 48.5, 101.3, 188.8, 304.9, 510.8", \ + " 8.5, 38.7, 100.9, 204.0, 341.0, 561.7", \ + " -17.5, 18.2, 91.7, 213.3, 375.1, 633.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.8, 70.7, 136.9, 285.6, 549.8, 1111.4", \ + " 52.8, 75.6, 138.6, 285.3, 549.8, 1111.4", \ + " 60.4, 82.1, 143.2, 287.0, 549.8, 1111.4", \ + " 72.3, 92.2, 151.3, 291.7, 550.5, 1111.4", \ + " 84.9, 111.4, 168.0, 303.7, 556.6, 1111.3", \ + " 104.0, 131.9, 194.2, 325.5, 571.3, 1116.0", \ + " 132.6, 162.3, 231.4, 362.6, 600.7, 1132.6", \ + " 173.0, 205.6, 279.6, 420.4, 652.1, 1168.7", \ + " 230.7, 267.7, 348.4, 501.4, 736.2, 1237.5", \ + " 314.4, 356.7, 447.6, 613.1, 866.8, 1358.3" ); }} +timing() { /* ring osc delay oai21v0x2, path b to z 57.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.6, 80.8, 158.5, 295.5, 585.2", \ + " 39.5, 52.9, 88.1, 166.1, 303.4, 593.3", \ + " 46.2, 59.1, 94.0, 171.9, 309.3, 599.2", \ + " 53.9, 68.0, 102.2, 179.9, 317.2, 607.2", \ + " 65.4, 82.1, 117.5, 194.4, 331.3, 621.2", \ + " 79.9, 99.0, 139.9, 215.9, 352.1, 641.6", \ + " 99.5, 121.2, 168.7, 249.6, 384.3, 672.7", \ + " 126.0, 150.6, 204.7, 298.6, 433.0, 719.4", \ + " 162.7, 190.8, 252.3, 360.8, 508.6, 791.4", \ + " 214.9, 246.9, 317.2, 441.4, 614.6, 903.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 108.7, 143.9, 238.9, 454.5, 839.0, 1656.9", \ + " 113.4, 146.4, 239.0, 454.5, 839.0, 1656.9", \ + " 119.8, 151.6, 241.7, 454.5, 839.0, 1656.9", \ + " 129.3, 159.9, 247.6, 456.2, 839.0, 1656.9", \ + " 147.1, 176.5, 260.8, 464.0, 840.2, 1656.9", \ + " 174.1, 202.2, 283.0, 480.0, 848.4, 1656.9", \ + " 214.1, 243.0, 320.1, 509.6, 868.8, 1663.1", \ + " 263.7, 298.0, 378.1, 559.8, 907.5, 1685.4", \ + " 331.7, 370.1, 463.1, 642.6, 976.9, 1734.2", \ + " 428.1, 470.5, 575.2, 774.2, 1095.8, 1827.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 41.8, 67.2, 122.6, 220.1, 426.5", \ + " 37.5, 47.4, 72.8, 128.3, 225.8, 432.3", \ + " 42.2, 51.9, 77.2, 132.7, 230.2, 436.6", \ + " 46.4, 57.7, 83.1, 138.5, 236.0, 442.5", \ + " 51.1, 64.4, 93.6, 149.0, 246.4, 452.7", \ + " 54.5, 70.2, 104.5, 164.3, 261.4, 467.5", \ + " 56.0, 74.3, 114.7, 184.8, 284.3, 490.0", \ + " 53.9, 75.3, 122.7, 205.2, 317.8, 523.4", \ + " 45.6, 70.8, 126.4, 223.5, 356.2, 574.2", \ + " 26.9, 56.6, 122.1, 236.5, 393.2, 647.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.7, 90.5, 156.6, 305.3, 569.5, 1131.3", \ + " 70.1, 93.5, 157.4, 305.0, 569.5, 1131.3", \ + " 76.2, 98.9, 161.2, 306.0, 569.5, 1131.3", \ + " 85.5, 107.7, 168.3, 310.1, 569.9, 1131.3", \ + " 103.2, 125.1, 183.7, 321.1, 575.3, 1131.2", \ + " 122.0, 148.9, 208.5, 341.8, 589.3, 1135.3", \ + " 150.4, 179.4, 247.2, 377.8, 617.6, 1151.2", \ + " 191.2, 222.7, 295.9, 434.5, 667.9, 1186.5", \ + " 250.0, 285.4, 364.9, 516.9, 751.1, 1254.2", \ + " 334.7, 375.4, 464.5, 629.2, 881.7, 1374.0" ); }} +timing() { /* ring osc delay oai21v0x2, path b to z 39.4 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.5, 43.0, 77.7, 154.3, 289.7, 576.9", \ + " 37.2, 50.2, 84.8, 161.8, 297.4, 584.8", \ + " 43.9, 56.6, 90.8, 167.5, 303.2, 590.7", \ + " 51.2, 65.6, 99.2, 175.5, 311.2, 598.7", \ + " 62.3, 79.3, 114.7, 190.2, 325.4, 612.7", \ + " 76.4, 95.8, 137.1, 212.0, 346.3, 633.1", \ + " 95.6, 117.8, 165.4, 246.2, 378.8, 664.4", \ + " 121.6, 146.9, 201.2, 295.1, 428.1, 711.6", \ + " 157.7, 186.9, 248.9, 357.1, 504.5, 784.3", \ + " 208.6, 242.8, 314.2, 438.0, 610.4, 897.9" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 59.6, 95.6, 191.9, 408.5, 793.6, 1612.0", \ + " 64.9, 98.6, 192.2, 408.5, 793.6, 1612.0", \ + " 71.3, 104.0, 195.2, 408.5, 793.6, 1612.0", \ + " 80.8, 112.3, 201.2, 410.5, 793.6, 1612.0", \ + " 99.2, 128.6, 214.2, 418.4, 794.9, 1612.0", \ + " 121.3, 153.9, 235.9, 434.3, 803.4, 1612.0", \ + " 149.6, 188.4, 271.6, 463.2, 823.6, 1618.3", \ + " 188.2, 231.5, 326.8, 511.6, 861.5, 1640.5", \ + " 243.6, 290.8, 398.7, 590.4, 928.5, 1688.5", \ + " 324.4, 375.8, 495.3, 713.0, 1042.2, 1778.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.8, 24.5, 42.0, 81.2, 150.8, 298.5", \ + " 23.4, 31.1, 48.7, 87.9, 157.5, 305.3", \ + " 25.4, 34.9, 53.8, 93.0, 162.6, 310.4", \ + " 26.8, 38.1, 60.4, 99.9, 169.4, 317.2", \ + " 27.3, 41.0, 68.5, 112.1, 181.5, 329.2", \ + " 25.8, 42.3, 75.5, 128.1, 198.9, 346.4", \ + " 21.1, 40.8, 80.6, 144.4, 225.3, 372.5", \ + " 11.2, 34.7, 82.2, 158.8, 256.7, 411.1", \ + " -6.8, 21.0, 77.7, 169.3, 287.5, 468.4", \ + " -38.2, -5.2, 62.3, 172.0, 314.1, 533.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 30.9, 47.9, 94.7, 199.8, 386.8, 784.1", \ + " 39.7, 55.1, 98.4, 200.4, 386.8, 784.1", \ + " 48.7, 62.8, 104.3, 203.6, 387.0, 784.1", \ + " 57.7, 74.4, 114.0, 210.2, 389.9, 784.1", \ + " 72.0, 91.5, 133.1, 225.2, 399.4, 785.8", \ + " 91.7, 113.3, 161.2, 250.9, 418.6, 795.6", \ + " 119.8, 144.0, 197.2, 292.6, 454.2, 819.2", \ + " 158.6, 186.3, 245.7, 353.0, 513.2, 864.8", \ + " 213.5, 245.9, 313.4, 433.1, 606.1, 946.7", \ + " 292.7, 330.6, 409.2, 543.8, 738.1, 1083.4" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 49.4 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 45.6, 80.8, 158.5, 295.5, 585.2", \ + " 39.5, 52.9, 88.1, 166.1, 303.4, 593.3", \ + " 46.2, 59.1, 94.0, 171.9, 309.3, 599.2", \ + " 53.9, 68.0, 102.2, 179.9, 317.2, 607.2", \ + " 65.4, 82.1, 117.5, 194.4, 331.3, 621.2", \ + " 79.9, 99.0, 139.9, 215.9, 352.1, 641.6", \ + " 99.5, 121.2, 168.7, 249.6, 384.3, 672.7", \ + " 126.0, 150.6, 204.7, 298.6, 433.0, 719.4", \ + " 162.7, 190.8, 252.3, 360.8, 508.6, 791.4", \ + " 214.9, 246.9, 317.2, 441.4, 614.6, 903.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 108.7, 143.9, 238.9, 454.5, 839.0, 1656.9", \ + " 113.4, 146.4, 239.0, 454.5, 839.0, 1656.9", \ + " 119.8, 151.6, 241.7, 454.5, 839.0, 1656.9", \ + " 129.3, 159.9, 247.6, 456.2, 839.0, 1656.9", \ + " 147.1, 176.5, 260.8, 464.0, 840.2, 1656.9", \ + " 174.1, 202.2, 283.0, 480.0, 848.4, 1656.9", \ + " 214.1, 243.0, 320.1, 509.6, 868.8, 1663.1", \ + " 263.7, 298.0, 378.1, 559.8, 907.5, 1685.4", \ + " 331.7, 370.1, 463.1, 642.6, 976.9, 1734.2", \ + " 428.1, 470.5, 575.2, 774.2, 1095.8, 1827.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 41.8, 67.2, 122.6, 220.1, 426.5", \ + " 37.5, 47.4, 72.8, 128.3, 225.8, 432.3", \ + " 42.2, 51.9, 77.2, 132.7, 230.2, 436.6", \ + " 46.4, 57.7, 83.1, 138.5, 236.0, 442.5", \ + " 51.1, 64.4, 93.6, 149.0, 246.4, 452.7", \ + " 54.5, 70.2, 104.5, 164.3, 261.4, 467.5", \ + " 56.0, 74.3, 114.7, 184.8, 284.3, 490.0", \ + " 53.9, 75.3, 122.7, 205.2, 317.8, 523.4", \ + " 45.6, 70.8, 126.4, 223.5, 356.2, 574.2", \ + " 26.9, 56.6, 122.1, 236.5, 393.2, 647.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 65.7, 90.5, 156.6, 305.3, 569.5, 1131.3", \ + " 70.1, 93.5, 157.4, 305.0, 569.5, 1131.3", \ + " 76.2, 98.9, 161.2, 306.0, 569.5, 1131.3", \ + " 85.5, 107.7, 168.3, 310.1, 569.9, 1131.3", \ + " 103.2, 125.1, 183.7, 321.1, 575.3, 1131.2", \ + " 122.0, 148.9, 208.5, 341.8, 589.3, 1135.3", \ + " 150.4, 179.4, 247.2, 377.8, 617.6, 1151.2", \ + " 191.2, 222.7, 295.9, 434.5, 667.9, 1186.5", \ + " 250.0, 285.4, 364.9, 516.9, 751.1, 1254.2", \ + " 334.7, 375.4, 464.5, 629.2, 881.7, 1374.0" ); }} +} +} +cell(oai21v0x3) { /* 2008-01-06:07h49 characteristic delay 13.8 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1721 ; /* oai21v0x3 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x3 FO4 effort 1.75 logical effort 1.87 */ +direction : input ; +capacitance : 12.11 ; +rise_capacitance : 12.07 ; +fall_capacitance : 12.15 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x3 */ +} +pin(a2) { /* oai21v0x3 FO4 effort 1.60 logical effort 1.82 */ +direction : input ; +capacitance : 11.82 ; +rise_capacitance : 10.82 ; +fall_capacitance : 12.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x3 */ +} +pin(b) { /* oai21v0x3 FO4 effort 1.18 logical effort 1.17 */ +direction : input ; +capacitance : 8.00 ; +rise_capacitance : 7.92 ; +fall_capacitance : 8.07 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 277 ; +max_fanout : 8 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x3 38.64 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 19.97, 20.02, 20.07, 20.07, 20.02", \ + " 19.33, 19.46, 19.61, 19.69, 19.69", \ + " 19.13, 19.26, 19.44, 19.57, 19.60", \ + " 19.05, 19.15, 19.32, 19.47, 19.52", \ + " 19.28, 19.29, 19.35, 19.45, 19.49", \ + " 20.24, 20.05, 19.84, 19.71, 19.63", \ + " 22.54, 22.01, 21.25, 20.60, 20.17", \ + " 26.96, 25.98, 24.37, 22.73, 21.58", \ + " 34.64, 33.16, 30.44, 27.25, 24.76", \ + " 47.14, 45.19, 41.27, 35.98, 31.29" ); }} +internal_power(a2_z_n) { /* oai21v0x3 28.25 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 14.18, 14.52, 14.80, 14.94, 14.96", \ + " 13.42, 13.84, 14.37, 14.73, 14.87", \ + " 13.35, 13.67, 14.19, 14.61, 14.80", \ + " 13.55, 13.73, 14.12, 14.53, 14.75", \ + " 14.35, 14.27, 14.35, 14.59, 14.76", \ + " 16.04, 15.62, 15.21, 15.03, 15.00", \ + " 19.19, 18.32, 17.16, 16.25, 15.73", \ + " 24.48, 23.10, 20.92, 18.85, 17.45", \ + " 33.06, 31.14, 27.74, 23.94, 21.08", \ + " 46.69, 44.25, 39.51, 33.39, 28.23" ); }} +internal_power(b_z_n) { /* oai21v0x3 20.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 10.18, 10.44, 10.68, 10.80, 10.82", \ + " 9.89, 10.09, 10.39, 10.63, 10.73", \ + " 10.02, 10.11, 10.33, 10.57, 10.68", \ + " 10.37, 10.32, 10.39, 10.56, 10.66", \ + " 11.27, 10.99, 10.75, 10.70, 10.72", \ + " 12.86, 12.28, 11.62, 11.18, 10.98", \ + " 15.62, 14.65, 13.37, 12.30, 11.65", \ + " 20.08, 18.65, 16.54, 14.52, 13.13", \ + " 27.24, 25.28, 22.08, 18.70, 16.13", \ + " 38.55, 36.04, 31.53, 26.21, 21.84" ); }} +timing() { /* ring osc delay oai21v0x3, path a1 to z 63.0 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.4 ; */ +/* intrinsic_fall : 49.9 ; */ +/* rise_resistance : 1.56 ; */ +/* fall_resistance : 1.09 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.9, 65.4, 103.1, 187.0, 335.6, 650.9", \ + " 57.9, 72.6, 110.5, 194.5, 343.2, 658.6", \ + " 62.8, 77.4, 115.4, 199.5, 348.2, 663.7", \ + " 69.1, 83.7, 121.6, 205.8, 354.5, 670.0", \ + " 77.7, 94.1, 132.5, 216.6, 365.3, 680.8", \ + " 88.1, 106.2, 148.2, 232.2, 380.7, 696.1", \ + " 102.6, 122.5, 168.6, 256.3, 404.3, 719.3", \ + " 122.5, 144.6, 195.1, 290.8, 439.9, 753.9", \ + " 150.4, 175.4, 231.4, 335.9, 494.7, 807.2", \ + " 189.0, 217.7, 281.3, 396.8, 570.1, 889.9" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 93.4, 133.0, 239.4, 479.9, 907.8, 1816.9", \ + " 94.5, 133.5, 239.6, 480.0, 907.8, 1816.9", \ + " 97.9, 136.3, 240.8, 480.0, 907.8, 1816.9", \ + " 103.5, 141.2, 244.4, 481.3, 907.8, 1816.9", \ + " 115.8, 152.0, 253.0, 486.7, 909.2, 1816.9", \ + " 138.4, 171.7, 268.9, 498.0, 915.6, 1817.2", \ + " 169.6, 206.0, 298.0, 520.1, 930.7, 1823.4", \ + " 215.4, 252.9, 347.8, 559.7, 960.2, 1840.8", \ + " 281.1, 320.4, 419.8, 628.3, 1015.3, 1878.3", \ + " 373.5, 416.1, 521.6, 740.0, 1112.4, 1951.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 49.8, 76.7, 135.7, 239.5, 459.5", \ + " 44.2, 54.9, 82.0, 141.1, 245.0, 465.0", \ + " 47.4, 58.2, 85.3, 144.5, 248.5, 468.5", \ + " 50.6, 62.2, 89.7, 148.9, 252.9, 473.1", \ + " 54.6, 67.5, 97.2, 156.7, 260.7, 480.9", \ + " 58.2, 72.9, 105.7, 168.0, 272.0, 492.1", \ + " 60.7, 77.8, 115.3, 183.5, 289.1, 509.1", \ + " 61.2, 81.2, 124.7, 201.2, 314.3, 534.3", \ + " 58.4, 81.8, 132.7, 220.7, 345.7, 572.9", \ + " 50.1, 77.3, 136.9, 239.8, 381.7, 629.0" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 60.6, 87.0, 158.3, 319.4, 606.1, 1215.5", \ + " 62.5, 88.5, 158.8, 319.4, 606.1, 1215.5", \ + " 66.2, 91.6, 161.0, 320.2, 606.1, 1215.5", \ + " 73.0, 97.3, 165.3, 322.7, 606.7, 1215.5", \ + " 87.7, 110.9, 175.7, 329.6, 610.5, 1215.8", \ + " 107.3, 132.5, 195.3, 343.7, 619.5, 1219.4", \ + " 136.9, 163.5, 229.3, 371.0, 638.9, 1230.0", \ + " 180.3, 208.5, 277.5, 419.0, 676.1, 1254.0", \ + " 244.3, 274.6, 347.5, 495.5, 743.6, 1302.7", \ + " 337.1, 370.9, 449.7, 605.9, 859.1, 1394.7" ); }} +timing() { /* ring osc delay oai21v0x3, path a2 to z 51.9 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 40.9 ; */ +/* rise_resistance : 1.55 ; */ +/* fall_resistance : 1.10 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.7, 57.5, 95.5, 179.6, 328.2, 643.6", \ + " 48.1, 62.9, 101.1, 185.4, 334.2, 649.7", \ + " 53.5, 68.0, 105.9, 190.2, 339.0, 654.5", \ + " 61.1, 75.5, 112.9, 196.9, 345.6, 661.1", \ + " 71.7, 88.4, 126.1, 209.2, 357.6, 672.9", \ + " 84.9, 103.7, 145.8, 227.9, 375.3, 690.1", \ + " 102.6, 123.6, 171.2, 257.2, 403.0, 716.5", \ + " 126.1, 149.6, 202.8, 300.1, 445.4, 756.5", \ + " 158.0, 184.8, 244.5, 354.3, 511.6, 818.8", \ + " 202.1, 232.9, 300.9, 424.2, 603.8, 916.8" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.6, 129.5, 233.9, 469.1, 887.3, 1775.6", \ + " 94.1, 131.3, 233.8, 469.1, 887.3, 1775.6", \ + " 100.3, 136.3, 236.4, 469.1, 887.3, 1775.6", \ + " 109.8, 144.5, 242.1, 470.7, 887.3, 1775.6", \ + " 128.2, 161.2, 255.0, 478.3, 888.4, 1775.6", \ + " 157.3, 187.5, 277.2, 493.9, 896.4, 1775.6", \ + " 186.6, 227.3, 314.6, 523.2, 916.2, 1781.5", \ + " 229.3, 273.2, 373.1, 573.6, 954.3, 1803.1", \ + " 291.7, 339.3, 450.0, 656.4, 1023.5, 1850.6", \ + " 382.9, 435.5, 556.0, 785.2, 1142.7, 1942.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.4, 41.8, 68.3, 126.7, 230.3, 450.0", \ + " 36.0, 46.7, 73.7, 132.6, 236.3, 456.2", \ + " 38.4, 49.8, 76.9, 136.0, 239.9, 459.9", \ + " 40.2, 52.9, 81.1, 140.4, 244.4, 464.4", \ + " 42.1, 56.6, 88.0, 148.0, 252.1, 472.2", \ + " 42.8, 59.7, 95.1, 159.0, 263.2, 483.4", \ + " 41.4, 61.6, 102.6, 173.5, 280.1, 500.2", \ + " 36.8, 60.7, 109.2, 189.5, 304.7, 525.3", \ + " 26.9, 55.1, 112.6, 206.2, 334.5, 563.5", \ + " 8.5, 41.6, 109.9, 220.9, 367.9, 618.5" ); } +fall_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 41.0, 67.7, 139.3, 300.5, 587.2, 1196.5", \ + " 43.2, 69.0, 139.5, 300.5, 587.2, 1196.5", \ + " 47.7, 72.5, 141.7, 300.9, 587.2, 1196.5", \ + " 56.2, 78.7, 146.0, 303.4, 587.5, 1196.5", \ + " 69.6, 94.0, 156.6, 310.3, 591.2, 1196.6", \ + " 88.2, 113.9, 176.7, 324.2, 600.0, 1200.1", \ + " 117.3, 144.0, 210.7, 351.5, 619.3, 1210.5", \ + " 160.6, 188.6, 257.5, 399.8, 656.2, 1234.2", \ + " 224.5, 254.5, 326.8, 475.6, 723.5, 1282.6", \ + " 318.1, 350.4, 429.0, 584.4, 839.5, 1374.3" ); }} +timing() { /* ring osc delay oai21v0x3, path b to z 39.4 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.1 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.9, 40.9, 77.1, 157.3, 299.3, 600.8", \ + " 34.7, 48.4, 84.6, 165.0, 307.3, 608.9", \ + " 40.9, 54.7, 90.5, 170.9, 313.2, 614.8", \ + " 47.5, 63.4, 98.8, 179.0, 321.2, 622.8", \ + " 57.4, 76.2, 114.1, 193.5, 335.4, 636.9", \ + " 69.9, 91.4, 135.8, 214.9, 356.1, 657.3", \ + " 86.5, 111.3, 162.9, 248.3, 388.1, 688.3", \ + " 108.6, 137.1, 196.4, 296.2, 436.4, 734.8", \ + " 138.6, 171.9, 240.0, 355.9, 510.9, 806.1", \ + " 180.2, 219.3, 298.6, 432.1, 614.8, 917.1" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.8, 91.7, 192.8, 420.2, 824.6, 1683.8", \ + " 59.7, 94.9, 193.1, 420.2, 824.6, 1683.8", \ + " 66.7, 100.5, 196.1, 420.2, 824.6, 1683.8", \ + " 77.1, 109.3, 202.2, 422.1, 824.6, 1683.8", \ + " 97.1, 126.9, 215.8, 429.9, 825.7, 1683.8", \ + " 119.2, 154.4, 238.7, 446.1, 833.8, 1683.8", \ + " 149.6, 190.6, 277.0, 476.2, 854.0, 1689.3", \ + " 191.5, 237.3, 336.2, 527.3, 893.0, 1711.0", \ + " 251.5, 302.0, 414.4, 611.5, 962.9, 1759.3", \ + " 338.8, 394.8, 520.4, 743.9, 1083.4, 1852.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.2, 31.1, 57.2, 115.5, 218.9, 438.6", \ + " 27.1, 37.3, 63.5, 121.8, 225.3, 445.1", \ + " 29.7, 42.0, 68.3, 126.7, 230.2, 449.9", \ + " 31.8, 46.3, 74.9, 133.2, 236.7, 456.4", \ + " 33.6, 51.1, 85.6, 144.8, 248.1, 467.8", \ + " 34.1, 54.7, 95.9, 161.6, 264.7, 484.2", \ + " 32.3, 56.6, 105.5, 184.1, 290.0, 509.0", \ + " 26.8, 55.4, 113.0, 206.5, 327.2, 545.8", \ + " 15.1, 48.9, 116.8, 227.3, 371.7, 601.8", \ + " -6.7, 33.2, 113.4, 244.1, 416.1, 685.0" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.5, 65.8, 135.9, 293.6, 573.8, 1169.3", \ + " 47.1, 71.0, 137.7, 293.5, 573.8, 1169.3", \ + " 55.2, 77.8, 142.3, 294.8, 573.8, 1169.3", \ + " 66.1, 88.2, 150.5, 299.3, 574.2, 1169.3", \ + " 80.0, 107.6, 167.6, 311.1, 579.6, 1169.3", \ + " 99.7, 129.6, 194.4, 332.9, 593.8, 1172.6", \ + " 128.4, 160.9, 233.8, 370.8, 622.7, 1187.7", \ + " 168.5, 204.7, 284.3, 430.0, 674.3, 1222.6", \ + " 225.8, 267.0, 354.8, 516.2, 760.4, 1290.4", \ + " 308.8, 356.1, 455.3, 632.8, 896.1, 1412.2" ); }} +timing() { /* ring osc delay oai21v0x3, path b to z 52.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.1 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 42.8, 79.5, 160.8, 304.4, 608.3", \ + " 36.5, 50.3, 87.0, 168.5, 312.3, 616.3", \ + " 43.0, 56.6, 92.9, 174.4, 318.3, 622.3", \ + " 50.1, 65.5, 101.3, 182.4, 326.2, 630.3", \ + " 60.8, 79.0, 116.5, 196.9, 340.4, 644.4", \ + " 74.2, 95.0, 138.7, 218.4, 361.2, 664.7", \ + " 92.2, 116.0, 166.7, 251.8, 393.2, 695.8", \ + " 116.4, 143.4, 201.5, 300.5, 441.5, 742.2", \ + " 149.7, 180.6, 246.9, 361.8, 516.1, 813.6", \ + " 196.7, 232.0, 308.1, 440.1, 621.8, 924.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.2, 137.1, 236.9, 463.3, 867.1, 1726.0", \ + " 106.1, 140.3, 237.2, 463.3, 867.1, 1726.0", \ + " 112.9, 145.8, 240.1, 463.3, 867.1, 1726.0", \ + " 122.9, 154.6, 246.2, 465.2, 867.1, 1726.0", \ + " 141.6, 171.9, 259.8, 473.0, 868.2, 1726.0", \ + " 169.7, 198.5, 282.6, 489.2, 876.3, 1726.0", \ + " 209.1, 240.7, 320.6, 519.2, 896.5, 1731.5", \ + " 258.6, 295.2, 380.0, 570.2, 935.4, 1753.1", \ + " 326.8, 367.8, 466.3, 654.4, 1005.3, 1801.4", \ + " 423.5, 469.0, 580.2, 788.6, 1125.7, 1894.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.8, 67.7, 126.8, 230.6, 450.7", \ + " 36.5, 47.0, 74.0, 133.1, 237.0, 457.1", \ + " 41.5, 52.0, 78.9, 138.0, 241.9, 461.9", \ + " 46.2, 58.4, 85.5, 144.5, 248.4, 468.4", \ + " 51.5, 66.2, 97.2, 156.0, 259.8, 479.8", \ + " 55.7, 73.1, 110.1, 172.9, 276.4, 496.2", \ + " 58.3, 78.8, 122.8, 196.9, 301.6, 521.0", \ + " 58.0, 81.9, 133.7, 222.0, 339.0, 557.8", \ + " 52.5, 80.6, 141.5, 245.9, 385.9, 613.7", \ + " 38.0, 71.1, 142.9, 266.4, 433.2, 697.6" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.2, 84.5, 154.6, 312.2, 592.5, 1188.2", \ + " 63.1, 87.8, 155.5, 312.2, 592.5, 1188.2", \ + " 69.4, 93.4, 159.3, 312.9, 592.5, 1188.2", \ + " 79.2, 102.5, 166.5, 316.7, 592.7, 1188.2", \ + " 96.7, 120.5, 182.2, 327.6, 597.5, 1188.2", \ + " 116.6, 145.2, 207.7, 348.3, 610.8, 1191.0", \ + " 145.6, 177.1, 248.1, 385.0, 638.8, 1205.5", \ + " 186.5, 221.3, 299.5, 443.3, 689.3, 1239.5", \ + " 245.0, 284.3, 370.6, 530.4, 774.4, 1306.3", \ + " 329.1, 374.6, 471.7, 648.0, 909.2, 1427.0" ); }} +timing() { /* ring osc delay oai21v0x3, path b to z 35.9 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.1 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.5, 40.5, 76.8, 157.0, 299.0, 600.5", \ + " 34.5, 48.0, 84.1, 164.6, 306.8, 608.4", \ + " 40.7, 54.4, 90.1, 170.5, 312.7, 614.4", \ + " 47.5, 63.3, 98.5, 178.5, 320.7, 622.4", \ + " 57.7, 76.3, 113.9, 193.1, 334.9, 636.5", \ + " 70.5, 91.9, 135.9, 214.8, 355.8, 656.9", \ + " 88.0, 112.4, 163.5, 248.5, 388.0, 688.0", \ + " 111.2, 139.2, 197.8, 296.9, 436.7, 734.7", \ + " 143.2, 175.7, 242.8, 357.7, 511.8, 806.6", \ + " 187.8, 226.0, 303.7, 435.7, 617.1, 918.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.8, 91.7, 192.7, 420.2, 824.6, 1683.8", \ + " 60.1, 95.2, 193.2, 420.2, 824.6, 1683.8", \ + " 67.1, 100.9, 196.3, 420.2, 824.6, 1683.8", \ + " 77.2, 109.7, 202.5, 422.2, 824.6, 1683.8", \ + " 96.6, 126.8, 216.0, 430.2, 825.7, 1683.8", \ + " 117.8, 153.4, 238.4, 446.3, 833.9, 1683.8", \ + " 146.6, 188.2, 275.6, 475.8, 854.1, 1689.4", \ + " 186.2, 232.5, 332.7, 525.6, 892.5, 1711.0", \ + " 243.0, 293.8, 407.6, 607.1, 960.8, 1758.9", \ + " 325.6, 381.5, 508.2, 734.5, 1077.7, 1850.1" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.0, 25.8, 46.4, 92.4, 174.0, 347.4", \ + " 23.9, 32.7, 53.3, 99.4, 181.1, 354.5", \ + " 26.3, 37.2, 58.7, 104.7, 186.4, 359.8", \ + " 28.0, 41.0, 65.9, 111.9, 193.5, 366.9", \ + " 29.2, 45.0, 75.8, 124.5, 206.0, 379.4", \ + " 28.7, 47.7, 84.9, 142.6, 224.1, 397.3", \ + " 25.6, 48.2, 92.8, 163.1, 251.6, 424.4", \ + " 18.1, 44.9, 98.1, 182.6, 289.3, 464.5", \ + " 3.6, 35.4, 98.7, 199.8, 329.2, 525.1", \ + " -22.2, 15.4, 90.7, 211.5, 367.4, 606.1" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.5, 49.4, 103.5, 225.2, 441.6, 901.6", \ + " 38.3, 56.0, 106.5, 225.4, 441.6, 901.6", \ + " 47.3, 63.7, 112.0, 227.9, 441.6, 901.6", \ + " 56.6, 75.1, 121.3, 233.7, 443.3, 901.6", \ + " 71.3, 93.5, 140.1, 247.6, 451.2, 902.0", \ + " 91.3, 116.1, 169.2, 272.1, 468.5, 909.0", \ + " 119.5, 147.4, 207.4, 313.4, 501.7, 929.1", \ + " 158.5, 190.5, 257.9, 376.5, 558.9, 970.6", \ + " 213.7, 251.1, 327.6, 461.4, 651.8, 1047.6", \ + " 293.5, 337.1, 425.9, 577.0, 791.9, 1181.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 46.1 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.02 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 42.8, 79.5, 160.8, 304.4, 608.3", \ + " 36.5, 50.3, 87.0, 168.5, 312.3, 616.3", \ + " 43.0, 56.6, 92.9, 174.4, 318.3, 622.3", \ + " 50.1, 65.5, 101.3, 182.4, 326.2, 630.3", \ + " 60.8, 79.0, 116.5, 196.9, 340.4, 644.4", \ + " 74.2, 95.0, 138.7, 218.4, 361.2, 664.7", \ + " 92.2, 116.0, 166.7, 251.8, 393.2, 695.8", \ + " 116.4, 143.4, 201.5, 300.5, 441.5, 742.2", \ + " 149.7, 180.6, 246.9, 361.8, 516.1, 813.6", \ + " 196.7, 232.0, 308.1, 440.1, 621.8, 924.6" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 100.2, 137.1, 236.9, 463.3, 867.1, 1726.0", \ + " 106.1, 140.3, 237.2, 463.3, 867.1, 1726.0", \ + " 112.9, 145.8, 240.1, 463.3, 867.1, 1726.0", \ + " 122.9, 154.6, 246.2, 465.2, 867.1, 1726.0", \ + " 141.6, 171.9, 259.8, 473.0, 868.2, 1726.0", \ + " 169.7, 198.5, 282.6, 489.2, 876.3, 1726.0", \ + " 209.1, 240.7, 320.6, 519.2, 896.5, 1731.5", \ + " 258.6, 295.2, 380.0, 570.2, 935.4, 1753.1", \ + " 326.8, 367.8, 466.3, 654.4, 1005.3, 1801.4", \ + " 423.5, 469.0, 580.2, 788.6, 1125.7, 1894.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.8, 67.7, 126.8, 230.6, 450.7", \ + " 36.5, 47.0, 74.0, 133.1, 237.0, 457.1", \ + " 41.5, 52.0, 78.9, 138.0, 241.9, 461.9", \ + " 46.2, 58.4, 85.5, 144.5, 248.4, 468.4", \ + " 51.5, 66.2, 97.2, 156.0, 259.8, 479.8", \ + " 55.7, 73.1, 110.1, 172.9, 276.4, 496.2", \ + " 58.3, 78.8, 122.8, 196.9, 301.6, 521.0", \ + " 58.0, 81.9, 133.7, 222.0, 339.0, 557.8", \ + " 52.5, 80.6, 141.5, 245.9, 385.9, 613.7", \ + " 38.0, 71.1, 142.9, 266.4, 433.2, 697.6" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.2, 84.5, 154.6, 312.2, 592.5, 1188.2", \ + " 63.1, 87.8, 155.5, 312.2, 592.5, 1188.2", \ + " 69.4, 93.4, 159.3, 312.9, 592.5, 1188.2", \ + " 79.2, 102.5, 166.5, 316.7, 592.7, 1188.2", \ + " 96.7, 120.5, 182.2, 327.6, 597.5, 1188.2", \ + " 116.6, 145.2, 207.7, 348.3, 610.8, 1191.0", \ + " 145.6, 177.1, 248.1, 385.0, 638.8, 1205.5", \ + " 186.5, 221.3, 299.5, 443.3, 689.3, 1239.5", \ + " 245.0, 284.3, 370.6, 530.4, 774.4, 1306.3", \ + " 329.1, 374.6, 471.7, 648.0, 909.2, 1427.0" ); }} +} +} +cell(oai21v0x4) { /* 2008-01-06:07h49 characteristic delay 13.7 ps */ +area : 13 ; /* tracks */ +cell_leakage_power : 2414 ; /* oai21v0x4 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x4 FO4 effort 1.75 logical effort 1.88 */ +direction : input ; +capacitance : 16.96 ; +rise_capacitance : 16.91 ; +fall_capacitance : 17.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x4 */ +} +pin(a2) { /* oai21v0x4 FO4 effort 1.57 logical effort 1.77 */ +direction : input ; +capacitance : 15.94 ; +rise_capacitance : 14.59 ; +fall_capacitance : 17.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x4 */ +} +pin(b) { /* oai21v0x4 FO4 effort 1.17 logical effort 1.16 */ +direction : input ; +capacitance : 11.19 ; +rise_capacitance : 11.09 ; +fall_capacitance : 11.29 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 384 ; +max_fanout : 10 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x4 53.34 nW/MHz */ +related_pin : "a1" ; +power(pwr_x4_520_5x10) { +values( " 27.58, 27.66, 27.73, 27.73, 27.68", \ + " 26.68, 26.86, 27.08, 27.21, 27.22", \ + " 26.39, 26.57, 26.84, 27.04, 27.09", \ + " 26.27, 26.42, 26.67, 26.90, 26.98", \ + " 26.59, 26.61, 26.70, 26.85, 26.93", \ + " 27.92, 27.66, 27.38, 27.22, 27.13", \ + " 31.08, 30.37, 29.35, 28.45, 27.88", \ + " 37.16, 35.84, 33.67, 31.43, 29.85", \ + " 47.70, 45.73, 42.07, 37.71, 34.28", \ + " 64.86, 62.26, 57.00, 49.81, 43.39" ); }} +internal_power(a2_z_n) { /* oai21v0x4 38.68 nW/MHz */ +related_pin : "a2" ; +power(pwr_x4_520_5x10) { +values( " 19.40, 19.84, 20.22, 20.40, 20.43", \ + " 18.41, 18.95, 19.64, 20.12, 20.31", \ + " 18.33, 18.75, 19.41, 19.97, 20.23", \ + " 18.62, 18.84, 19.34, 19.87, 20.16", \ + " 19.73, 19.61, 19.69, 19.97, 20.19", \ + " 22.08, 21.50, 20.90, 20.62, 20.55", \ + " 26.42, 25.25, 23.63, 22.34, 21.60", \ + " 33.70, 31.84, 28.87, 25.99, 24.03", \ + " 45.50, 42.93, 38.32, 33.10, 29.12", \ + " 64.24, 60.97, 54.57, 46.21, 39.10" ); }} +internal_power(b_z_n) { /* oai21v0x4 29.07 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 14.23, 14.59, 14.93, 15.11, 15.15", \ + " 13.83, 14.09, 14.52, 14.87, 15.01", \ + " 14.01, 14.13, 14.44, 14.77, 14.95", \ + " 14.52, 14.44, 14.53, 14.76, 14.92", \ + " 15.79, 15.40, 15.07, 14.98, 15.01", \ + " 18.06, 17.27, 16.33, 15.69, 15.39", \ + " 21.98, 20.66, 18.86, 17.32, 16.39", \ + " 28.31, 26.36, 23.41, 20.56, 18.56", \ + " 38.43, 35.78, 31.35, 26.58, 22.92", \ + " 54.44, 51.04, 44.85, 37.39, 31.20" ); }} +timing() { /* ring osc delay oai21v0x4, path a1 to z 63.2 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.4 ; */ +/* intrinsic_fall : 50.0 ; */ +/* rise_resistance : 1.12 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.7, 64.7, 101.0, 181.6, 324.5, 627.7", \ + " 57.8, 71.8, 108.3, 189.1, 332.1, 635.4", \ + " 62.7, 76.7, 113.2, 194.1, 337.1, 640.4", \ + " 68.9, 83.0, 119.4, 200.4, 343.4, 646.8", \ + " 77.4, 93.3, 130.3, 211.1, 354.2, 657.6", \ + " 87.7, 105.2, 145.9, 226.7, 369.6, 672.9", \ + " 102.0, 121.3, 165.9, 250.9, 393.2, 696.0", \ + " 121.6, 143.1, 192.0, 284.9, 428.8, 730.7", \ + " 149.1, 173.2, 227.7, 329.3, 483.3, 783.9", \ + " 186.8, 214.8, 276.6, 389.1, 557.4, 866.7" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.2, 130.3, 232.7, 463.9, 875.4, 1749.4", \ + " 93.3, 130.8, 232.8, 464.0, 875.4, 1749.4", \ + " 96.7, 133.6, 234.1, 464.1, 875.4, 1749.4", \ + " 102.4, 138.6, 237.8, 465.4, 875.4, 1749.4", \ + " 114.7, 149.5, 246.5, 471.0, 877.0, 1749.4", \ + " 137.3, 169.3, 262.5, 482.5, 883.7, 1750.0", \ + " 168.4, 203.5, 292.0, 505.0, 899.2, 1756.7", \ + " 213.9, 250.2, 342.1, 545.1, 929.3, 1774.8", \ + " 279.4, 317.4, 413.4, 614.2, 985.2, 1813.3", \ + " 371.4, 412.6, 514.7, 725.9, 1083.4, 1888.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.8, 48.9, 74.6, 130.9, 229.8, 439.5", \ + " 43.9, 54.1, 79.9, 136.3, 235.4, 445.1", \ + " 47.2, 57.5, 83.4, 139.9, 239.0, 448.7", \ + " 50.6, 61.7, 88.0, 144.5, 243.7, 453.4", \ + " 54.8, 67.3, 95.8, 152.7, 251.9, 461.7", \ + " 58.6, 72.9, 104.7, 164.5, 263.7, 473.5", \ + " 61.4, 78.1, 114.6, 180.6, 281.7, 491.3", \ + " 62.4, 81.9, 124.3, 198.9, 308.0, 517.9", \ + " 60.2, 82.9, 132.7, 218.8, 340.3, 558.3", \ + " 52.8, 79.2, 137.5, 238.3, 377.1, 616.4" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 60.3, 85.5, 153.5, 307.2, 580.8, 1162.4", \ + " 62.3, 87.0, 154.1, 307.3, 580.8, 1162.4", \ + " 66.2, 90.3, 156.4, 308.1, 580.8, 1162.4", \ + " 73.2, 96.3, 160.9, 310.8, 581.6, 1162.4", \ + " 88.3, 110.3, 171.8, 318.1, 585.6, 1162.7", \ + " 108.3, 132.4, 192.1, 333.0, 595.2, 1166.7", \ + " 138.2, 163.8, 226.8, 361.4, 615.8, 1178.2", \ + " 181.9, 209.0, 275.4, 410.8, 654.6, 1203.7", \ + " 246.0, 275.3, 345.7, 488.1, 724.4, 1254.9", \ + " 338.6, 371.5, 448.1, 599.0, 842.2, 1350.6" ); }} +timing() { /* ring osc delay oai21v0x4, path a2 to z 51.7 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.6 ; */ +/* intrinsic_fall : 40.7 ; */ +/* rise_resistance : 1.12 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.0, 56.2, 92.7, 173.5, 316.5, 619.8", \ + " 47.6, 61.7, 98.3, 179.4, 322.4, 625.8", \ + " 53.0, 66.8, 103.2, 184.2, 327.3, 630.7", \ + " 60.5, 74.4, 110.3, 190.9, 333.9, 637.3", \ + " 71.0, 87.2, 123.5, 203.3, 345.9, 649.1", \ + " 84.1, 102.2, 143.1, 222.0, 363.7, 666.3", \ + " 101.6, 121.9, 168.0, 251.5, 391.5, 692.8", \ + " 124.8, 147.5, 199.2, 293.8, 434.0, 732.9", \ + " 156.2, 182.1, 240.1, 347.0, 500.3, 795.3", \ + " 199.4, 229.4, 295.6, 415.6, 590.5, 893.5" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.5, 126.9, 227.3, 453.5, 855.6, 1709.8", \ + " 93.1, 128.9, 227.3, 453.4, 855.6, 1709.8", \ + " 99.3, 133.9, 230.1, 453.4, 855.5, 1709.8", \ + " 108.8, 142.1, 235.8, 455.4, 855.5, 1709.8", \ + " 127.2, 158.9, 248.9, 463.2, 857.0, 1709.8", \ + " 155.7, 185.3, 271.4, 479.2, 865.5, 1709.8", \ + " 185.0, 224.4, 309.0, 509.0, 885.9, 1716.6", \ + " 227.7, 270.1, 367.7, 560.0, 924.8, 1739.3", \ + " 289.9, 336.0, 443.3, 643.1, 995.1, 1788.1", \ + " 380.8, 431.7, 548.6, 770.9, 1115.4, 1881.7" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.7, 40.6, 65.8, 121.5, 220.2, 429.6", \ + " 35.5, 45.6, 71.3, 127.5, 226.4, 435.9", \ + " 37.9, 48.9, 74.7, 131.1, 230.0, 439.7", \ + " 39.9, 52.1, 79.2, 135.6, 234.7, 444.4", \ + " 41.9, 56.0, 86.3, 143.6, 242.8, 452.6", \ + " 42.8, 59.3, 93.7, 155.3, 254.5, 464.4", \ + " 41.8, 61.4, 101.5, 170.2, 272.3, 482.1", \ + " 37.5, 60.8, 108.2, 186.7, 298.0, 508.5", \ + " 28.2, 55.7, 112.0, 203.8, 328.6, 548.6", \ + " 10.7, 42.9, 109.7, 218.7, 362.8, 605.5" ); } +fall_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 40.9, 66.4, 134.6, 288.5, 562.1, 1143.5", \ + " 43.2, 67.7, 134.9, 288.5, 562.1, 1143.5", \ + " 47.9, 71.3, 137.2, 289.0, 562.1, 1143.5", \ + " 56.5, 77.9, 141.8, 291.7, 562.5, 1143.5", \ + " 70.1, 93.5, 152.9, 298.9, 566.5, 1143.7", \ + " 89.0, 113.7, 173.7, 313.6, 575.9, 1147.5", \ + " 118.4, 144.0, 208.0, 342.0, 596.3, 1158.8", \ + " 161.8, 188.9, 255.3, 391.8, 634.9, 1184.1", \ + " 225.8, 254.9, 324.9, 468.1, 704.5, 1235.0", \ + " 319.2, 350.7, 427.1, 577.4, 822.5, 1330.5" ); }} +timing() { /* ring osc delay oai21v0x4, path b to z 39.3 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.8, 40.2, 74.7, 151.2, 286.4, 573.6", \ + " 34.6, 47.6, 82.2, 158.9, 294.3, 581.6", \ + " 40.8, 53.9, 88.1, 164.7, 300.2, 587.6", \ + " 47.4, 62.7, 96.4, 172.8, 308.2, 595.5", \ + " 57.3, 75.3, 111.7, 187.3, 322.4, 609.6", \ + " 69.8, 90.4, 133.2, 208.8, 343.2, 630.0", \ + " 86.6, 110.3, 159.9, 242.3, 375.3, 661.1", \ + " 108.8, 136.1, 193.0, 289.5, 423.8, 707.7", \ + " 139.0, 170.9, 236.4, 348.3, 498.6, 779.3", \ + " 181.0, 218.5, 294.8, 423.6, 600.3, 890.7" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.1, 89.1, 185.4, 402.0, 787.1, 1605.5", \ + " 58.9, 92.4, 185.8, 402.0, 787.1, 1605.5", \ + " 65.9, 98.0, 188.9, 402.0, 787.1, 1605.5", \ + " 76.2, 106.9, 195.1, 404.2, 787.1, 1605.5", \ + " 96.0, 124.5, 208.9, 412.4, 788.6, 1605.5", \ + " 118.0, 152.1, 232.0, 428.9, 797.3, 1605.5", \ + " 148.2, 187.6, 270.5, 459.4, 818.3, 1612.1", \ + " 189.9, 233.8, 329.3, 511.1, 858.0, 1635.0", \ + " 249.7, 298.1, 406.3, 595.7, 929.0, 1684.9", \ + " 336.8, 390.4, 511.1, 727.1, 1050.5, 1779.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.9, 30.4, 55.3, 110.8, 209.4, 418.7", \ + " 26.6, 36.5, 61.4, 117.1, 215.7, 425.1", \ + " 29.1, 41.0, 66.2, 121.8, 220.5, 429.9", \ + " 31.1, 45.1, 72.7, 128.3, 226.9, 436.3", \ + " 32.8, 49.5, 82.9, 139.7, 238.2, 447.5", \ + " 33.0, 52.8, 92.6, 156.4, 254.6, 463.7", \ + " 30.9, 54.2, 101.4, 177.7, 279.6, 488.3", \ + " 25.0, 52.5, 108.0, 198.5, 316.0, 524.7", \ + " 12.8, 45.2, 110.6, 217.5, 357.7, 580.0", \ + " -9.7, 28.5, 105.8, 232.1, 398.8, 660.5" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.4, 64.5, 131.4, 281.8, 549.2, 1117.5", \ + " 47.1, 69.8, 133.3, 281.7, 549.2, 1117.5", \ + " 55.2, 76.7, 138.1, 283.3, 549.2, 1117.5", \ + " 66.0, 87.2, 146.4, 288.0, 549.8, 1117.5", \ + " 79.8, 106.3, 163.6, 300.2, 555.8, 1117.4", \ + " 99.3, 128.0, 190.5, 322.4, 570.6, 1121.6", \ + " 128.0, 159.1, 229.2, 360.5, 600.2, 1137.9", \ + " 168.2, 202.7, 279.0, 419.8, 652.6, 1174.0", \ + " 225.4, 264.7, 348.8, 504.4, 738.9, 1243.3", \ + " 308.5, 353.5, 448.7, 619.2, 873.9, 1366.5" ); }} +timing() { /* ring osc delay oai21v0x4, path b to z 51.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.5, 42.1, 77.1, 154.5, 291.3, 580.8", \ + " 36.4, 49.5, 84.5, 162.2, 299.2, 588.9", \ + " 42.9, 55.8, 90.5, 168.1, 305.2, 594.8", \ + " 50.0, 64.8, 98.8, 176.1, 313.1, 602.8", \ + " 60.7, 78.1, 114.1, 190.7, 327.4, 616.9", \ + " 74.1, 94.0, 136.1, 212.2, 348.2, 637.3", \ + " 92.2, 114.9, 163.8, 245.7, 380.2, 668.4", \ + " 116.5, 142.3, 198.1, 293.8, 428.7, 715.0", \ + " 150.0, 179.6, 243.3, 354.1, 503.7, 786.6", \ + " 197.4, 231.1, 304.3, 431.5, 607.3, 898.0" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.0, 134.2, 229.1, 444.7, 829.2, 1647.2", \ + " 104.8, 137.4, 229.5, 444.7, 829.2, 1647.2", \ + " 111.7, 143.0, 232.6, 444.7, 829.2, 1647.2", \ + " 121.6, 151.8, 238.8, 446.9, 829.2, 1647.2", \ + " 140.2, 169.1, 252.5, 455.0, 830.7, 1647.2", \ + " 168.3, 195.7, 275.6, 471.6, 839.4, 1647.2", \ + " 207.3, 237.9, 313.7, 502.0, 860.3, 1653.8", \ + " 256.6, 291.7, 373.1, 553.6, 900.0, 1676.8", \ + " 324.4, 363.7, 458.4, 638.2, 971.0, 1726.6", \ + " 420.9, 464.4, 571.0, 772.3, 1092.5, 1821.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.7, 39.9, 65.7, 121.9, 220.9, 430.6", \ + " 36.0, 46.1, 71.9, 128.2, 227.3, 437.0", \ + " 40.9, 51.0, 76.6, 133.0, 232.0, 441.8", \ + " 45.4, 57.2, 83.2, 139.4, 238.5, 448.2", \ + " 50.5, 64.6, 94.7, 150.9, 249.8, 459.4", \ + " 54.5, 71.1, 106.9, 167.5, 266.2, 475.6", \ + " 56.8, 76.3, 118.7, 190.6, 291.1, 500.1", \ + " 56.0, 78.9, 128.7, 214.1, 328.0, 536.5", \ + " 49.9, 76.8, 135.3, 236.3, 372.1, 591.8", \ + " 34.6, 66.3, 135.4, 254.5, 416.0, 673.3" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.0, 83.1, 149.9, 300.3, 567.8, 1136.2", \ + " 62.9, 86.4, 151.0, 300.2, 567.8, 1136.2", \ + " 69.3, 92.1, 154.9, 301.2, 567.7, 1136.2", \ + " 79.2, 101.3, 162.2, 305.3, 568.1, 1136.2", \ + " 96.4, 119.4, 178.1, 316.5, 573.4, 1136.2", \ + " 116.2, 143.6, 203.7, 337.6, 587.4, 1139.8", \ + " 145.0, 175.1, 243.5, 374.6, 616.1, 1155.4", \ + " 185.9, 219.0, 294.1, 432.9, 667.3, 1190.7", \ + " 244.3, 281.8, 364.5, 518.6, 752.7, 1259.0", \ + " 328.3, 371.8, 464.9, 634.4, 887.4, 1381.0" ); }} +timing() { /* ring osc delay oai21v0x4, path b to z 35.7 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.4, 39.8, 74.3, 150.8, 286.1, 573.2", \ + " 34.4, 47.3, 81.7, 158.4, 293.9, 581.2", \ + " 40.6, 53.7, 87.7, 164.3, 299.8, 587.1", \ + " 47.4, 62.5, 96.1, 172.3, 307.7, 595.1", \ + " 57.6, 75.4, 111.6, 187.0, 322.0, 609.2", \ + " 70.5, 90.9, 133.4, 208.7, 342.9, 629.6", \ + " 88.1, 111.4, 160.6, 242.5, 375.2, 660.8", \ + " 111.6, 138.3, 194.6, 290.4, 424.1, 707.7", \ + " 143.8, 175.0, 239.4, 350.2, 499.6, 779.8", \ + " 189.1, 225.6, 300.3, 427.4, 602.8, 892.2" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.0, 89.1, 185.4, 402.0, 787.1, 1605.5", \ + " 59.3, 92.7, 185.9, 402.0, 787.1, 1605.5", \ + " 66.2, 98.4, 189.2, 402.0, 787.1, 1605.5", \ + " 76.4, 107.2, 195.5, 404.4, 787.1, 1605.5", \ + " 95.5, 124.4, 209.1, 412.7, 788.7, 1605.5", \ + " 116.6, 151.0, 231.7, 429.1, 797.5, 1605.5", \ + " 145.1, 185.0, 268.9, 459.0, 818.3, 1612.2", \ + " 184.4, 228.8, 325.4, 509.2, 857.4, 1635.1", \ + " 240.8, 289.5, 399.0, 590.8, 926.6, 1684.3", \ + " 323.0, 376.4, 498.2, 717.0, 1044.2, 1777.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.6, 25.0, 44.3, 87.5, 164.3, 327.3", \ + " 23.4, 31.8, 51.2, 94.5, 171.3, 334.3", \ + " 25.6, 36.0, 56.5, 99.8, 176.6, 339.6", \ + " 27.2, 39.7, 63.7, 106.9, 183.7, 346.7", \ + " 28.2, 43.3, 73.0, 119.5, 196.2, 359.1", \ + " 27.4, 45.5, 81.4, 137.1, 214.2, 376.9", \ + " 23.9, 45.5, 88.4, 156.3, 241.5, 403.9", \ + " 16.0, 41.6, 92.7, 174.3, 277.6, 443.8", \ + " 0.9, 31.3, 92.1, 189.5, 314.6, 504.3", \ + " -25.9, 10.1, 82.4, 198.9, 349.4, 580.6" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.1, 47.7, 98.6, 213.3, 417.2, 850.4", \ + " 37.9, 54.6, 102.0, 213.7, 417.2, 850.4", \ + " 47.1, 62.4, 107.7, 216.4, 417.2, 850.4", \ + " 56.1, 74.0, 117.2, 222.5, 419.4, 850.4", \ + " 70.8, 91.8, 136.2, 237.0, 427.9, 851.3", \ + " 90.6, 114.2, 165.1, 262.0, 446.0, 859.3", \ + " 118.8, 145.4, 202.5, 303.6, 480.1, 880.8", \ + " 157.7, 188.1, 252.3, 366.1, 538.3, 923.9", \ + " 212.7, 248.3, 321.4, 449.4, 631.5, 1002.9", \ + " 292.5, 333.8, 418.7, 563.3, 769.5, 1138.4" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 37.2 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.72 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.5, 42.1, 77.1, 154.5, 291.3, 580.8", \ + " 36.4, 49.5, 84.5, 162.2, 299.2, 588.9", \ + " 42.9, 55.8, 90.5, 168.1, 305.2, 594.8", \ + " 50.0, 64.8, 98.8, 176.1, 313.1, 602.8", \ + " 60.7, 78.1, 114.1, 190.7, 327.4, 616.9", \ + " 74.1, 94.0, 136.1, 212.2, 348.2, 637.3", \ + " 92.2, 114.9, 163.8, 245.7, 380.2, 668.4", \ + " 116.5, 142.3, 198.1, 293.8, 428.7, 715.0", \ + " 150.0, 179.6, 243.3, 354.1, 503.7, 786.6", \ + " 197.4, 231.1, 304.3, 431.5, 607.3, 898.0" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.0, 134.2, 229.1, 444.7, 829.2, 1647.2", \ + " 104.8, 137.4, 229.5, 444.7, 829.2, 1647.2", \ + " 111.7, 143.0, 232.6, 444.7, 829.2, 1647.2", \ + " 121.6, 151.8, 238.8, 446.9, 829.2, 1647.2", \ + " 140.2, 169.1, 252.5, 455.0, 830.7, 1647.2", \ + " 168.3, 195.7, 275.6, 471.6, 839.4, 1647.2", \ + " 207.3, 237.9, 313.7, 502.0, 860.3, 1653.8", \ + " 256.6, 291.7, 373.1, 553.6, 900.0, 1676.8", \ + " 324.4, 363.7, 458.4, 638.2, 971.0, 1726.6", \ + " 420.9, 464.4, 571.0, 772.3, 1092.5, 1821.4" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.7, 39.9, 65.7, 121.9, 220.9, 430.6", \ + " 36.0, 46.1, 71.9, 128.2, 227.3, 437.0", \ + " 40.9, 51.0, 76.6, 133.0, 232.0, 441.8", \ + " 45.4, 57.2, 83.2, 139.4, 238.5, 448.2", \ + " 50.5, 64.6, 94.7, 150.9, 249.8, 459.4", \ + " 54.5, 71.1, 106.9, 167.5, 266.2, 475.6", \ + " 56.8, 76.3, 118.7, 190.6, 291.1, 500.1", \ + " 56.0, 78.9, 128.7, 214.1, 328.0, 536.5", \ + " 49.9, 76.8, 135.3, 236.3, 372.1, 591.8", \ + " 34.6, 66.3, 135.4, 254.5, 416.0, 673.3" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.0, 83.1, 149.9, 300.3, 567.8, 1136.2", \ + " 62.9, 86.4, 151.0, 300.2, 567.8, 1136.2", \ + " 69.3, 92.1, 154.9, 301.2, 567.7, 1136.2", \ + " 79.2, 101.3, 162.2, 305.3, 568.1, 1136.2", \ + " 96.4, 119.4, 178.1, 316.5, 573.4, 1136.2", \ + " 116.2, 143.6, 203.7, 337.6, 587.4, 1139.8", \ + " 145.0, 175.1, 243.5, 374.6, 616.1, 1155.4", \ + " 185.9, 219.0, 294.1, 432.9, 667.3, 1190.7", \ + " 244.3, 281.8, 364.5, 518.6, 752.7, 1259.0", \ + " 328.3, 371.8, 464.9, 634.4, 887.4, 1381.0" ); }} +} +} +cell(oai21v0x6) { /* 2008-01-06:07h49 characteristic delay 13.8 ps */ +area : 19 ; /* tracks */ +cell_leakage_power : 3627 ; /* oai21v0x6 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x6 FO4 effort 1.75 logical effort 1.90 */ +direction : input ; +capacitance : 25.91 ; +rise_capacitance : 25.84 ; +fall_capacitance : 25.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x6 */ +} +pin(a2) { /* oai21v0x6 FO4 effort 1.56 logical effort 1.79 */ +direction : input ; +capacitance : 24.35 ; +rise_capacitance : 22.30 ; +fall_capacitance : 26.39 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x6 */ +} +pin(b) { /* oai21v0x6 FO4 effort 1.16 logical effort 1.14 */ +direction : input ; +capacitance : 16.32 ; +rise_capacitance : 16.17 ; +fall_capacitance : 16.47 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 592 ; +max_fanout : 15 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x6 79.82 nW/MHz */ +related_pin : "a1" ; +power(pwr_x6_780_5x10) { +values( " 41.32, 41.45, 41.56, 41.57, 41.49", \ + " 39.91, 40.19, 40.54, 40.76, 40.78", \ + " 39.46, 39.75, 40.17, 40.49, 40.58", \ + " 39.29, 39.52, 39.91, 40.27, 40.41", \ + " 39.80, 39.82, 39.97, 40.20, 40.32", \ + " 41.86, 41.46, 41.01, 40.77, 40.64", \ + " 46.75, 45.65, 44.06, 42.68, 41.80", \ + " 56.12, 54.09, 50.73, 47.28, 44.83", \ + " 72.31, 69.29, 63.67, 56.96, 51.68", \ + " 98.61, 94.65, 86.61, 75.58, 65.70" ); }} +internal_power(a2_z_n) { /* oai21v0x6 57.25 nW/MHz */ +related_pin : "a2" ; +power(pwr_x6_780_5x10) { +values( " 28.70, 29.38, 29.98, 30.26, 30.31", \ + " 27.18, 28.00, 29.08, 29.83, 30.13", \ + " 27.07, 27.70, 28.73, 29.59, 30.00", \ + " 27.54, 27.87, 28.63, 29.44, 29.89", \ + " 29.29, 29.08, 29.17, 29.60, 29.93", \ + " 32.93, 32.01, 31.06, 30.61, 30.50", \ + " 39.64, 37.81, 35.29, 33.27, 32.12", \ + " 50.85, 47.97, 43.37, 38.91, 35.87", \ + " 68.98, 65.03, 57.92, 49.86, 43.73", \ + " 97.71, 92.72, 82.91, 70.05, 59.10" ); }} +internal_power(b_z_n) { /* oai21v0x6 43.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 21.14, 21.65, 22.15, 22.40, 22.44", \ + " 20.56, 20.94, 21.56, 22.04, 22.24", \ + " 20.83, 21.00, 21.44, 21.92, 22.16", \ + " 21.58, 21.46, 21.58, 21.90, 22.12", \ + " 23.45, 22.87, 22.36, 22.23, 22.25", \ + " 26.78, 25.60, 24.21, 23.26, 22.81", \ + " 32.54, 30.57, 27.92, 25.65, 24.27", \ + " 41.84, 38.94, 34.58, 30.37, 27.43", \ + " 56.75, 52.79, 46.22, 39.19, 33.80", \ + " 80.32, 75.24, 66.02, 55.01, 45.90" ); }} +timing() { /* ring osc delay oai21v0x6, path a1 to z 61.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.1 ; */ +/* intrinsic_fall : 50.0 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.53 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.3, 62.9, 98.3, 177.0, 316.2, 611.9", \ + " 56.3, 70.1, 105.7, 184.5, 323.9, 619.6", \ + " 61.2, 74.9, 110.6, 189.4, 328.9, 624.6", \ + " 67.4, 81.2, 116.8, 195.7, 335.2, 631.0", \ + " 75.7, 91.3, 127.7, 206.5, 345.9, 641.8", \ + " 85.7, 103.0, 143.1, 222.1, 361.4, 657.1", \ + " 99.7, 118.8, 162.8, 246.2, 385.0, 680.2", \ + " 118.9, 140.1, 188.5, 279.7, 420.5, 714.9", \ + " 145.7, 169.7, 223.5, 323.5, 474.7, 768.1", \ + " 182.6, 210.3, 271.5, 382.4, 547.8, 850.8" ); } +rise_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 89.3, 126.3, 226.1, 451.5, 852.6, 1704.9", \ + " 90.4, 126.9, 226.3, 451.6, 852.6, 1704.9", \ + " 93.9, 129.8, 227.6, 451.7, 852.6, 1704.9", \ + " 99.7, 134.9, 231.4, 453.1, 852.7, 1704.9", \ + " 112.3, 146.0, 240.3, 458.9, 854.5, 1704.9", \ + " 135.0, 166.1, 256.5, 470.6, 861.4, 1705.6", \ + " 166.1, 200.3, 286.4, 493.5, 877.2, 1712.7", \ + " 211.9, 247.2, 337.1, 534.1, 907.9, 1731.3", \ + " 278.0, 314.9, 408.5, 604.2, 964.6, 1770.6", \ + " 370.9, 411.0, 510.4, 716.4, 1064.1, 1846.8" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.5, 49.8, 76.0, 133.4, 234.3, 448.0", \ + " 44.3, 54.8, 81.2, 138.6, 239.6, 453.4", \ + " 47.5, 58.0, 84.5, 142.0, 243.1, 456.9", \ + " 50.6, 62.0, 88.8, 146.5, 247.6, 461.4", \ + " 54.7, 67.2, 96.3, 154.2, 255.3, 469.2", \ + " 58.3, 72.6, 104.7, 165.5, 266.6, 480.4", \ + " 61.1, 77.7, 114.2, 180.8, 283.7, 497.4", \ + " 62.1, 81.5, 123.7, 198.4, 308.9, 522.7", \ + " 60.1, 82.6, 132.0, 217.7, 339.9, 561.4", \ + " 52.9, 79.1, 136.8, 237.0, 375.5, 617.1" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 60.8, 86.5, 155.8, 312.2, 590.7, 1182.8", \ + " 62.8, 88.0, 156.3, 312.3, 590.7, 1182.8", \ + " 66.5, 91.1, 158.6, 313.1, 590.8, 1182.8", \ + " 73.4, 97.0, 162.9, 315.7, 591.5, 1182.8", \ + " 88.2, 110.7, 173.5, 322.8, 595.4, 1183.1", \ + " 107.9, 132.4, 193.3, 337.1, 604.6, 1187.0", \ + " 137.5, 163.4, 227.4, 364.8, 624.5, 1198.0", \ + " 181.0, 208.3, 275.4, 413.1, 662.1, 1222.5", \ + " 244.9, 274.3, 345.2, 489.4, 730.2, 1271.9", \ + " 337.1, 370.1, 447.1, 599.2, 846.0, 1364.9" ); }} +timing() { /* ring osc delay oai21v0x6, path a2 to z 50.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.2 ; */ +/* intrinsic_fall : 40.3 ; */ +/* rise_resistance : 0.73 ; */ +/* fall_resistance : 0.53 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 54.4, 90.0, 168.8, 308.2, 603.9", \ + " 46.1, 59.9, 95.6, 174.7, 314.2, 610.0", \ + " 51.6, 65.0, 100.6, 179.5, 319.0, 614.8", \ + " 58.8, 72.6, 107.6, 186.3, 325.7, 621.4", \ + " 69.1, 85.1, 120.9, 198.7, 337.7, 633.2", \ + " 81.9, 99.9, 140.3, 217.4, 355.5, 650.4", \ + " 99.1, 119.2, 164.7, 246.8, 383.3, 677.0", \ + " 121.8, 144.3, 195.3, 288.6, 425.8, 717.1", \ + " 152.6, 178.3, 235.6, 340.8, 492.0, 779.5", \ + " 194.9, 224.7, 290.1, 408.4, 580.7, 877.8" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 86.5, 123.0, 220.8, 441.3, 833.3, 1666.2", \ + " 90.4, 125.2, 220.9, 441.3, 833.3, 1666.2", \ + " 96.8, 130.3, 223.8, 441.3, 833.3, 1666.2", \ + " 106.5, 138.8, 229.8, 443.5, 833.3, 1666.2", \ + " 125.3, 155.9, 243.2, 451.6, 835.0, 1666.2", \ + " 153.4, 182.7, 266.0, 467.9, 844.0, 1666.2", \ + " 182.8, 221.4, 304.2, 498.3, 864.9, 1673.7", \ + " 225.8, 267.4, 363.7, 550.0, 904.5, 1697.2", \ + " 288.5, 333.7, 438.7, 634.2, 975.9, 1747.1", \ + " 380.3, 430.3, 544.7, 762.3, 1097.8, 1842.4" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.9, 41.0, 66.7, 123.6, 224.1, 437.6", \ + " 35.4, 45.8, 72.1, 129.4, 230.2, 443.8", \ + " 37.8, 48.9, 75.3, 132.7, 233.7, 447.4", \ + " 39.6, 51.9, 79.5, 137.1, 238.1, 451.9", \ + " 41.4, 55.5, 86.2, 144.7, 245.8, 459.7", \ + " 42.2, 58.7, 93.3, 155.8, 256.9, 470.9", \ + " 41.0, 60.7, 100.7, 170.0, 273.9, 487.7", \ + " 36.7, 60.0, 107.2, 185.8, 298.4, 512.8", \ + " 27.5, 54.8, 110.9, 202.3, 327.8, 551.2", \ + " 10.1, 42.2, 108.5, 216.9, 360.7, 605.7" ); } +fall_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 40.6, 66.6, 136.1, 292.7, 571.2, 1163.1", \ + " 42.9, 67.9, 136.3, 292.7, 571.2, 1163.1", \ + " 47.5, 71.4, 138.6, 293.2, 571.2, 1163.1", \ + " 56.1, 77.8, 143.0, 295.8, 571.7, 1163.1", \ + " 69.2, 93.2, 153.8, 302.8, 575.5, 1163.3", \ + " 87.9, 112.9, 174.1, 317.0, 584.5, 1167.0", \ + " 117.2, 143.0, 207.9, 344.6, 604.1, 1177.8", \ + " 160.5, 187.7, 254.7, 393.4, 641.5, 1202.0", \ + " 224.5, 253.6, 324.0, 468.7, 709.5, 1251.2", \ + " 318.1, 349.4, 425.9, 577.1, 825.5, 1344.0" ); }} +timing() { /* ring osc delay oai21v0x6, path b to z 38.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.49 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.3, 39.7, 74.2, 150.6, 285.8, 573.0", \ + " 34.2, 47.2, 81.7, 158.3, 293.7, 581.0", \ + " 40.3, 53.5, 87.6, 164.2, 299.7, 587.0", \ + " 46.8, 62.2, 96.0, 172.2, 307.7, 595.0", \ + " 56.6, 74.7, 111.3, 186.8, 321.9, 609.1", \ + " 68.8, 89.6, 132.6, 208.3, 342.7, 629.4", \ + " 85.3, 109.2, 159.1, 241.7, 374.7, 660.5", \ + " 107.0, 134.5, 191.9, 288.7, 423.1, 707.1", \ + " 136.4, 168.6, 234.6, 347.0, 497.7, 778.6", \ + " 177.2, 215.1, 292.0, 421.5, 598.9, 889.7" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.6, 88.7, 184.9, 401.5, 786.6, 1605.0", \ + " 58.6, 92.1, 185.4, 401.5, 786.6, 1605.0", \ + " 65.7, 97.7, 188.6, 401.5, 786.6, 1605.0", \ + " 76.2, 106.8, 194.8, 403.8, 786.6, 1605.0", \ + " 96.1, 124.5, 208.7, 412.1, 788.1, 1605.0", \ + " 118.2, 152.3, 232.1, 428.7, 797.0, 1605.0", \ + " 148.5, 188.0, 270.8, 459.5, 818.1, 1611.7", \ + " 190.5, 234.5, 329.9, 511.5, 858.0, 1634.8", \ + " 250.7, 299.2, 407.5, 596.7, 929.5, 1685.0", \ + " 338.2, 392.0, 512.9, 728.8, 1051.8, 1780.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.1, 30.8, 56.1, 112.7, 213.2, 426.7", \ + " 27.0, 36.9, 62.4, 119.1, 219.6, 433.1", \ + " 29.6, 41.6, 67.2, 123.9, 224.5, 437.9", \ + " 31.7, 45.8, 73.8, 130.4, 231.0, 444.4", \ + " 33.5, 50.5, 84.3, 142.0, 242.4, 455.8", \ + " 34.0, 54.1, 94.4, 158.9, 259.0, 472.2", \ + " 32.3, 56.0, 103.8, 180.9, 284.3, 497.1", \ + " 27.0, 54.9, 111.1, 202.8, 321.5, 533.9", \ + " 15.7, 48.5, 114.8, 223.0, 364.8, 590.0", \ + " -5.5, 33.2, 111.5, 239.3, 408.0, 672.4" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.2, 64.7, 132.8, 286.0, 558.2, 1136.7", \ + " 46.9, 70.0, 134.7, 285.9, 558.2, 1136.7", \ + " 54.9, 76.8, 139.4, 287.3, 558.2, 1136.7", \ + " 65.7, 87.3, 147.6, 291.9, 558.7, 1136.7", \ + " 79.6, 106.5, 164.8, 304.0, 564.4, 1136.7", \ + " 99.4, 128.5, 191.7, 326.0, 578.9, 1140.4", \ + " 128.0, 159.7, 230.8, 364.1, 608.3, 1156.2", \ + " 168.2, 203.4, 281.0, 423.4, 660.4, 1191.8", \ + " 225.4, 265.5, 351.1, 508.9, 746.7, 1260.5", \ + " 308.5, 354.4, 451.3, 624.6, 882.4, 1383.2" ); }} +timing() { /* ring osc delay oai21v0x6, path b to z 51.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.49 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.1, 41.6, 76.6, 154.0, 290.9, 580.4", \ + " 36.0, 49.1, 84.1, 161.8, 298.8, 588.4", \ + " 42.4, 55.4, 90.1, 167.6, 304.7, 594.4", \ + " 49.5, 64.3, 98.4, 175.7, 312.7, 602.4", \ + " 60.0, 77.5, 113.7, 190.2, 326.9, 616.5", \ + " 73.3, 93.4, 135.6, 211.8, 347.7, 636.9", \ + " 91.1, 114.0, 163.0, 245.2, 379.8, 668.0", \ + " 115.0, 141.0, 197.1, 293.1, 428.1, 714.5", \ + " 147.9, 177.6, 241.7, 353.1, 502.9, 786.0", \ + " 194.3, 228.2, 301.8, 429.7, 606.1, 897.2" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.6, 134.8, 229.7, 445.3, 829.8, 1647.8", \ + " 105.6, 138.2, 230.2, 445.3, 829.8, 1647.8", \ + " 112.6, 143.8, 233.3, 445.3, 829.8, 1647.8", \ + " 122.7, 152.7, 239.6, 447.5, 829.8, 1647.8", \ + " 141.4, 170.2, 253.4, 455.8, 831.3, 1647.8", \ + " 169.8, 196.9, 276.6, 472.4, 840.1, 1647.8", \ + " 209.2, 239.6, 315.1, 503.1, 861.2, 1654.5", \ + " 259.0, 293.8, 375.0, 555.0, 901.1, 1677.5", \ + " 327.4, 366.6, 460.8, 640.2, 972.5, 1727.7", \ + " 424.7, 468.0, 574.4, 775.2, 1094.8, 1823.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.4, 40.7, 67.0, 124.4, 225.3, 439.1", \ + " 36.7, 47.0, 73.3, 130.7, 231.7, 445.5", \ + " 41.8, 52.0, 78.1, 135.6, 236.6, 450.4", \ + " 46.6, 58.4, 84.7, 142.1, 243.1, 456.9", \ + " 52.0, 66.2, 96.5, 153.7, 254.5, 468.3", \ + " 56.3, 73.2, 109.3, 170.5, 271.1, 484.7", \ + " 59.2, 79.0, 121.8, 194.3, 296.3, 509.5", \ + " 59.2, 82.3, 132.6, 218.9, 333.8, 546.3", \ + " 54.1, 81.3, 140.4, 242.5, 379.7, 602.3", \ + " 40.4, 72.3, 142.1, 262.5, 425.9, 685.6" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.5, 84.1, 152.2, 305.3, 577.5, 1156.2", \ + " 63.3, 87.3, 153.1, 305.2, 577.5, 1156.2", \ + " 69.6, 92.9, 156.9, 306.0, 577.5, 1156.2", \ + " 79.4, 102.0, 164.1, 310.0, 577.8, 1156.2", \ + " 96.9, 120.0, 179.9, 321.0, 582.8, 1156.2", \ + " 116.8, 144.6, 205.5, 341.9, 596.5, 1159.4", \ + " 145.9, 176.4, 245.6, 378.8, 624.9, 1174.5", \ + " 186.8, 220.5, 296.7, 437.1, 675.7, 1209.3", \ + " 245.2, 283.5, 367.5, 523.6, 761.1, 1276.9", \ + " 329.4, 373.6, 468.3, 640.4, 896.0, 1398.4" ); }} +timing() { /* ring osc delay oai21v0x6, path b to z 35.4 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.49 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.0, 39.3, 73.8, 150.2, 285.5, 572.7", \ + " 34.0, 46.8, 81.2, 157.9, 293.3, 580.6", \ + " 40.1, 53.2, 87.2, 163.8, 299.2, 586.5", \ + " 46.8, 62.0, 95.7, 171.8, 307.2, 594.5", \ + " 56.8, 74.8, 111.1, 186.5, 321.5, 608.6", \ + " 69.6, 90.1, 132.8, 208.2, 342.4, 629.1", \ + " 86.7, 110.3, 159.7, 241.9, 374.7, 660.3", \ + " 109.6, 136.7, 193.3, 289.5, 423.4, 707.1", \ + " 141.0, 172.5, 237.5, 348.9, 498.7, 779.0", \ + " 184.9, 221.9, 297.2, 425.2, 601.3, 891.1" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.6, 88.7, 184.9, 401.5, 786.6, 1605.0", \ + " 59.0, 92.4, 185.5, 401.5, 786.6, 1605.0", \ + " 66.0, 98.2, 188.8, 401.6, 786.6, 1605.0", \ + " 76.4, 107.0, 195.2, 404.0, 786.6, 1605.0", \ + " 95.6, 124.4, 209.0, 412.3, 788.2, 1605.0", \ + " 116.7, 151.3, 231.8, 428.9, 797.1, 1605.0", \ + " 145.6, 185.5, 269.3, 459.1, 818.1, 1611.8", \ + " 185.3, 229.7, 326.3, 509.7, 857.5, 1634.8", \ + " 242.1, 290.9, 400.5, 592.0, 927.3, 1684.4", \ + " 325.0, 378.7, 500.6, 719.3, 1045.9, 1778.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.9, 25.5, 45.5, 90.2, 169.5, 338.0", \ + " 23.8, 32.4, 52.5, 97.2, 176.5, 345.0", \ + " 26.2, 36.8, 57.8, 102.5, 181.9, 350.3", \ + " 27.9, 40.6, 65.0, 109.7, 189.0, 357.4", \ + " 29.1, 44.5, 74.7, 122.3, 201.5, 369.9", \ + " 28.7, 47.1, 83.6, 140.2, 219.6, 387.9", \ + " 25.6, 47.6, 91.3, 160.3, 247.1, 415.0", \ + " 18.4, 44.4, 96.4, 179.3, 284.3, 455.1", \ + " 4.2, 35.1, 96.9, 196.0, 323.1, 515.8", \ + " -21.1, 15.4, 88.9, 207.2, 360.2, 595.1" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.3, 48.6, 101.2, 219.4, 429.7, 876.5", \ + " 38.1, 55.3, 104.3, 219.7, 429.7, 876.5", \ + " 47.2, 63.0, 109.9, 222.3, 429.7, 876.5", \ + " 56.4, 74.6, 119.3, 228.2, 431.6, 876.5", \ + " 71.1, 92.7, 138.2, 242.3, 439.7, 877.1", \ + " 91.1, 115.2, 167.2, 267.1, 457.3, 884.5", \ + " 119.3, 146.5, 205.0, 308.5, 491.0, 905.2", \ + " 158.2, 189.5, 255.2, 371.3, 548.6, 947.4", \ + " 213.5, 249.9, 324.6, 455.5, 641.6, 1025.3", \ + " 293.4, 335.7, 422.4, 570.2, 780.8, 1159.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 45.8 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.49 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.1, 41.6, 76.6, 154.0, 290.9, 580.4", \ + " 36.0, 49.1, 84.1, 161.8, 298.8, 588.4", \ + " 42.4, 55.4, 90.1, 167.6, 304.7, 594.4", \ + " 49.5, 64.3, 98.4, 175.7, 312.7, 602.4", \ + " 60.0, 77.5, 113.7, 190.2, 326.9, 616.5", \ + " 73.3, 93.4, 135.6, 211.8, 347.7, 636.9", \ + " 91.1, 114.0, 163.0, 245.2, 379.8, 668.0", \ + " 115.0, 141.0, 197.1, 293.1, 428.1, 714.5", \ + " 147.9, 177.6, 241.7, 353.1, 502.9, 786.0", \ + " 194.3, 228.2, 301.8, 429.7, 606.1, 897.2" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.6, 134.8, 229.7, 445.3, 829.8, 1647.8", \ + " 105.6, 138.2, 230.2, 445.3, 829.8, 1647.8", \ + " 112.6, 143.8, 233.3, 445.3, 829.8, 1647.8", \ + " 122.7, 152.7, 239.6, 447.5, 829.8, 1647.8", \ + " 141.4, 170.2, 253.4, 455.8, 831.3, 1647.8", \ + " 169.8, 196.9, 276.6, 472.4, 840.1, 1647.8", \ + " 209.2, 239.6, 315.1, 503.1, 861.2, 1654.5", \ + " 259.0, 293.8, 375.0, 555.0, 901.1, 1677.5", \ + " 327.4, 366.6, 460.8, 640.2, 972.5, 1727.7", \ + " 424.7, 468.0, 574.4, 775.2, 1094.8, 1823.0" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.4, 40.7, 67.0, 124.4, 225.3, 439.1", \ + " 36.7, 47.0, 73.3, 130.7, 231.7, 445.5", \ + " 41.8, 52.0, 78.1, 135.6, 236.6, 450.4", \ + " 46.6, 58.4, 84.7, 142.1, 243.1, 456.9", \ + " 52.0, 66.2, 96.5, 153.7, 254.5, 468.3", \ + " 56.3, 73.2, 109.3, 170.5, 271.1, 484.7", \ + " 59.2, 79.0, 121.8, 194.3, 296.3, 509.5", \ + " 59.2, 82.3, 132.6, 218.9, 333.8, 546.3", \ + " 54.1, 81.3, 140.4, 242.5, 379.7, 602.3", \ + " 40.4, 72.3, 142.1, 262.5, 425.9, 685.6" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 58.5, 84.1, 152.2, 305.3, 577.5, 1156.2", \ + " 63.3, 87.3, 153.1, 305.2, 577.5, 1156.2", \ + " 69.6, 92.9, 156.9, 306.0, 577.5, 1156.2", \ + " 79.4, 102.0, 164.1, 310.0, 577.8, 1156.2", \ + " 96.9, 120.0, 179.9, 321.0, 582.8, 1156.2", \ + " 116.8, 144.6, 205.5, 341.9, 596.5, 1159.4", \ + " 145.9, 176.4, 245.6, 378.8, 624.9, 1174.5", \ + " 186.8, 220.5, 296.7, 437.1, 675.7, 1209.3", \ + " 245.2, 283.5, 367.5, 523.6, 761.1, 1276.9", \ + " 329.4, 373.6, 468.3, 640.4, 896.0, 1398.4" ); }} +} +} +cell(oai21v0x8) { /* 2008-01-06:07h49 characteristic delay 13.8 ps */ +area : 24 ; /* tracks */ +cell_leakage_power : 4874 ; /* oai21v0x8 */ +cell_footprint : oai21 ; +pin(a1) { /* oai21v0x8 FO4 effort 1.76 logical effort 1.92 */ +direction : input ; +capacitance : 35.42 ; +rise_capacitance : 35.32 ; +fall_capacitance : 35.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21v0x8 */ +} +pin(a2) { /* oai21v0x8 FO4 effort 1.57 logical effort 1.81 */ +direction : input ; +capacitance : 33.34 ; +rise_capacitance : 30.56 ; +fall_capacitance : 36.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21v0x8 */ +} +pin(b) { /* oai21v0x8 FO4 effort 1.15 logical effort 1.13 */ +direction : input ; +capacitance : 21.56 ; +rise_capacitance : 21.36 ; +fall_capacitance : 21.77 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai21v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 798 ; +max_fanout : 20 ; +function : "((a1+a2)*b)'" ; +internal_power(a1_z_n) { /* oai21v0x8 106.63 nW/MHz */ +related_pin : "a1" ; +power(pwr_x8_1040_5x10) { +values( " 55.24, 55.42, 55.58, 55.60, 55.50", \ + " 53.29, 53.69, 54.18, 54.49, 54.53", \ + " 52.70, 53.09, 53.67, 54.11, 54.25", \ + " 52.47, 52.78, 53.31, 53.81, 54.02", \ + " 53.20, 53.21, 53.41, 53.73, 53.90", \ + " 56.06, 55.50, 54.87, 54.52, 54.34", \ + " 62.82, 61.30, 59.10, 57.18, 55.96", \ + " 75.68, 72.91, 68.31, 63.54, 60.17", \ + " 97.85, 93.74, 86.08, 76.89, 69.62", \ + " 133.76, 128.40, 117.51, 102.49, 88.94" ); }} +internal_power(a2_z_n) { /* oai21v0x8 75.99 nW/MHz */ +related_pin : "a2" ; +power(pwr_x8_1040_5x10) { +values( " 38.04, 39.01, 39.84, 40.24, 40.31", \ + " 35.99, 37.12, 38.60, 39.63, 40.05", \ + " 35.87, 36.73, 38.12, 39.31, 39.87", \ + " 36.55, 36.98, 37.99, 39.10, 39.73", \ + " 38.99, 38.68, 38.78, 39.34, 39.79", \ + " 44.03, 42.75, 41.40, 40.75, 40.58", \ + " 53.28, 50.74, 47.25, 44.44, 42.83", \ + " 68.63, 64.71, 58.39, 52.23, 48.03", \ + " 93.42, 88.06, 78.37, 67.31, 58.87", \ + " 132.63, 125.88, 112.58, 95.05, 80.02" ); }} +internal_power(b_z_n) { /* oai21v0x8 57.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 27.98, 28.66, 29.31, 29.64, 29.69", \ + " 27.22, 27.73, 28.54, 29.17, 29.44", \ + " 27.60, 27.81, 28.39, 29.01, 29.32", \ + " 28.61, 28.44, 28.59, 29.00, 29.27", \ + " 31.13, 30.33, 29.64, 29.44, 29.46", \ + " 35.59, 33.99, 32.11, 30.83, 30.21", \ + " 43.29, 40.64, 37.08, 34.03, 32.17", \ + " 55.72, 51.82, 45.98, 40.34, 36.40", \ + " 75.62, 70.32, 61.53, 52.12, 44.91", \ + " 107.09, 100.29, 87.97, 73.25, 61.07" ); }} +timing() { /* ring osc delay oai21v0x8, path a1 to z 60.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 63.5 ; */ +/* intrinsic_fall : 49.3 ; */ +/* rise_resistance : 0.54 ; */ +/* fall_resistance : 0.39 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.6, 62.0, 96.9, 174.2, 311.1, 601.8", \ + " 55.7, 69.2, 104.2, 181.7, 318.7, 609.5", \ + " 60.6, 74.0, 109.1, 186.7, 323.7, 614.5", \ + " 66.7, 80.3, 115.3, 192.9, 330.1, 620.9", \ + " 74.8, 90.3, 126.2, 203.7, 340.8, 631.6", \ + " 84.8, 101.9, 141.5, 219.3, 356.2, 646.9", \ + " 98.7, 117.6, 161.1, 243.5, 379.9, 670.1", \ + " 117.9, 138.9, 186.7, 276.8, 415.5, 704.8", \ + " 144.7, 168.5, 221.6, 320.3, 469.6, 758.1", \ + " 181.7, 209.1, 269.6, 379.0, 542.3, 840.9" ); } +rise_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.4, 123.8, 221.8, 443.5, 837.8, 1675.6", \ + " 88.6, 124.4, 222.0, 443.5, 837.8, 1675.6", \ + " 92.1, 127.3, 223.4, 443.6, 837.8, 1675.6", \ + " 97.9, 132.4, 227.2, 445.2, 837.8, 1675.6", \ + " 110.6, 143.6, 236.2, 451.0, 839.7, 1675.6", \ + " 133.3, 163.9, 252.6, 462.9, 846.8, 1676.4", \ + " 164.4, 198.1, 282.7, 485.9, 862.8, 1683.8", \ + " 210.2, 244.9, 333.4, 526.8, 893.8, 1702.7", \ + " 276.3, 312.6, 404.8, 597.2, 950.9, 1742.4", \ + " 369.3, 408.8, 506.6, 709.4, 1050.9, 1819.3" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.1, 49.4, 75.3, 132.1, 231.9, 443.4", \ + " 43.8, 54.2, 80.3, 137.2, 237.2, 448.7", \ + " 46.9, 57.3, 83.5, 140.5, 240.5, 452.1", \ + " 49.8, 61.2, 87.8, 144.8, 244.8, 456.4", \ + " 53.6, 66.1, 94.9, 152.3, 252.4, 464.0", \ + " 57.1, 71.2, 103.0, 163.3, 263.4, 474.9", \ + " 59.6, 76.0, 112.1, 178.1, 280.1, 491.5", \ + " 60.3, 79.4, 121.1, 195.0, 304.5, 516.2", \ + " 57.9, 80.1, 128.9, 213.5, 334.3, 553.9", \ + " 50.1, 76.0, 133.0, 231.8, 368.6, 607.8" ); } +fall_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 60.0, 85.4, 153.9, 308.5, 583.9, 1169.2", \ + " 62.1, 86.9, 154.4, 308.6, 583.9, 1169.2", \ + " 65.8, 90.1, 156.8, 309.5, 583.9, 1169.2", \ + " 72.7, 96.0, 161.1, 312.1, 584.7, 1169.2", \ + " 87.4, 109.7, 171.7, 319.2, 588.7, 1169.6", \ + " 106.9, 131.1, 191.4, 333.5, 597.9, 1173.6", \ + " 136.4, 161.9, 225.3, 361.0, 617.6, 1184.6", \ + " 179.7, 206.7, 272.9, 409.3, 655.1, 1209.0", \ + " 243.4, 272.5, 342.4, 484.9, 722.9, 1258.2", \ + " 335.3, 367.9, 443.9, 594.0, 838.1, 1350.8" ); }} +timing() { /* ring osc delay oai21v0x8, path a2 to z 49.0 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.7 ; */ +/* intrinsic_fall : 39.5 ; */ +/* rise_resistance : 0.54 ; */ +/* fall_resistance : 0.40 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 53.5, 88.6, 166.0, 303.1, 593.8", \ + " 45.4, 59.0, 94.2, 171.9, 309.0, 599.8", \ + " 50.9, 64.1, 99.1, 176.7, 313.9, 604.7", \ + " 58.1, 71.7, 106.1, 183.4, 320.5, 611.3", \ + " 68.3, 84.1, 119.4, 195.9, 332.5, 623.1", \ + " 81.0, 98.8, 138.7, 214.6, 350.4, 640.3", \ + " 98.1, 118.0, 163.0, 244.2, 378.2, 666.9", \ + " 120.8, 143.1, 193.4, 285.7, 420.8, 707.1", \ + " 151.5, 177.0, 233.6, 337.6, 487.0, 769.6", \ + " 194.0, 223.4, 288.1, 404.8, 575.0, 868.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.6, 120.5, 216.6, 433.4, 818.8, 1637.5", \ + " 88.7, 122.7, 216.8, 433.4, 818.8, 1637.5", \ + " 95.1, 128.0, 219.8, 433.4, 818.8, 1637.5", \ + " 104.9, 136.5, 225.8, 435.7, 818.8, 1637.5", \ + " 123.9, 153.8, 239.4, 444.0, 820.6, 1637.5", \ + " 151.5, 180.7, 262.4, 460.5, 829.8, 1637.7", \ + " 180.8, 218.9, 300.7, 491.1, 851.0, 1645.6", \ + " 223.7, 264.8, 360.2, 543.1, 891.0, 1669.5", \ + " 286.3, 331.0, 434.6, 627.5, 962.9, 1720.0", \ + " 378.1, 427.5, 540.4, 755.1, 1085.2, 1816.0" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.4, 40.4, 65.9, 122.2, 221.7, 432.9", \ + " 34.9, 45.1, 71.2, 127.8, 227.6, 438.9", \ + " 37.0, 48.2, 74.3, 131.1, 231.0, 442.5", \ + " 38.7, 51.0, 78.4, 135.3, 235.4, 446.9", \ + " 40.4, 54.3, 84.8, 142.7, 242.8, 454.5", \ + " 40.9, 57.3, 91.5, 153.5, 253.6, 465.3", \ + " 39.5, 58.9, 98.5, 167.1, 270.1, 481.7", \ + " 34.8, 57.9, 104.6, 182.2, 293.9, 506.2", \ + " 25.1, 52.2, 107.6, 198.0, 322.1, 543.6", \ + " 7.1, 38.9, 104.5, 211.6, 353.7, 596.3" ); } +fall_transition(x8_1040_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 39.7, 65.3, 134.1, 288.9, 564.2, 1149.4", \ + " 42.1, 66.7, 134.3, 288.9, 564.2, 1149.4", \ + " 46.6, 70.3, 136.7, 289.4, 564.2, 1149.4", \ + " 55.4, 76.7, 141.1, 292.1, 564.8, 1149.4", \ + " 68.2, 92.1, 151.9, 299.1, 568.6, 1149.6", \ + " 86.8, 111.5, 172.2, 313.2, 577.7, 1153.4", \ + " 116.1, 141.5, 205.6, 340.7, 597.2, 1164.3", \ + " 159.3, 186.1, 252.1, 389.5, 634.4, 1188.4", \ + " 223.1, 251.8, 321.1, 464.0, 702.1, 1237.3", \ + " 316.6, 347.2, 422.8, 571.8, 817.5, 1329.7" ); }} +timing() { /* ring osc delay oai21v0x8, path b to z 38.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.6 ; */ +/* intrinsic_fall : 37.6 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.37 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.1, 39.5, 73.9, 150.3, 285.6, 572.7", \ + " 34.0, 47.0, 81.4, 158.1, 293.5, 580.8", \ + " 40.0, 53.3, 87.4, 164.0, 299.4, 586.7", \ + " 46.5, 61.9, 95.7, 172.0, 307.4, 594.7", \ + " 56.3, 74.4, 111.0, 186.6, 321.6, 608.8", \ + " 68.5, 89.4, 132.4, 208.1, 342.5, 629.2", \ + " 85.0, 108.9, 158.9, 241.5, 374.5, 660.3", \ + " 106.6, 134.3, 191.6, 288.5, 422.9, 706.9", \ + " 136.0, 168.3, 234.4, 346.8, 497.5, 778.4", \ + " 176.8, 214.8, 291.8, 421.3, 598.7, 889.5" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.0, 88.1, 184.4, 401.0, 786.1, 1604.5", \ + " 58.2, 91.6, 184.9, 401.0, 786.1, 1604.5", \ + " 65.2, 97.3, 188.1, 401.0, 786.1, 1604.5", \ + " 75.8, 106.3, 194.4, 403.3, 786.1, 1604.5", \ + " 95.5, 124.1, 208.3, 411.6, 787.6, 1604.5", \ + " 117.5, 151.9, 231.6, 428.3, 796.5, 1604.5", \ + " 147.7, 187.3, 270.3, 459.0, 817.6, 1611.2", \ + " 189.5, 233.6, 329.3, 511.0, 857.5, 1634.3", \ + " 249.5, 298.1, 406.6, 596.0, 928.9, 1684.5", \ + " 336.7, 390.7, 511.7, 728.0, 1051.1, 1779.8" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.8, 30.3, 55.4, 111.4, 210.8, 422.0", \ + " 26.6, 36.5, 61.7, 117.8, 217.3, 428.5", \ + " 29.2, 41.2, 66.6, 122.7, 222.1, 433.3", \ + " 31.3, 45.4, 73.2, 129.2, 228.7, 439.9", \ + " 33.0, 50.0, 83.7, 140.9, 240.2, 451.3", \ + " 33.5, 53.5, 93.8, 157.9, 256.9, 467.9", \ + " 31.7, 55.4, 103.0, 179.9, 282.3, 492.8", \ + " 26.2, 54.1, 110.3, 201.6, 319.6, 529.9", \ + " 14.7, 47.6, 113.8, 221.8, 362.9, 586.3", \ + " -6.7, 32.0, 110.3, 237.9, 405.9, 668.9" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.3, 63.6, 130.9, 282.2, 551.4, 1123.3", \ + " 46.1, 68.9, 132.8, 282.2, 551.4, 1123.3", \ + " 54.2, 75.9, 137.6, 283.7, 551.4, 1123.3", \ + " 64.9, 86.4, 145.9, 288.4, 551.9, 1123.3", \ + " 78.9, 105.5, 163.2, 300.6, 557.8, 1123.3", \ + " 98.6, 127.5, 190.2, 322.7, 572.5, 1127.2", \ + " 127.3, 158.8, 229.3, 361.0, 602.1, 1143.2", \ + " 167.4, 202.5, 279.5, 420.6, 654.5, 1179.1", \ + " 224.5, 264.5, 349.6, 506.1, 741.2, 1248.3", \ + " 307.4, 353.2, 449.7, 621.8, 877.2, 1371.7" ); }} +timing() { /* ring osc delay oai21v0x8, path b to z 51.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.6 ; */ +/* intrinsic_fall : 37.6 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.37 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.9, 41.4, 76.4, 153.8, 290.7, 580.3", \ + " 35.8, 49.0, 83.9, 161.6, 298.6, 588.3", \ + " 42.2, 55.3, 89.9, 167.5, 304.6, 594.3", \ + " 49.3, 64.2, 98.2, 175.5, 312.5, 602.3", \ + " 59.8, 77.4, 113.5, 190.1, 326.8, 616.4", \ + " 73.1, 93.2, 135.4, 211.6, 347.6, 636.8", \ + " 90.9, 113.8, 162.9, 245.0, 379.6, 667.9", \ + " 114.8, 140.9, 197.0, 293.0, 428.0, 714.4", \ + " 147.8, 177.5, 241.6, 353.0, 502.8, 785.9", \ + " 194.4, 228.2, 301.8, 429.7, 606.1, 897.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.9, 135.0, 230.0, 445.5, 830.0, 1648.0", \ + " 106.0, 138.4, 230.4, 445.5, 830.0, 1648.0", \ + " 112.9, 144.1, 233.6, 445.5, 830.0, 1648.0", \ + " 123.0, 153.0, 239.9, 447.8, 830.0, 1648.0", \ + " 141.7, 170.5, 253.7, 456.0, 831.5, 1648.0", \ + " 170.0, 197.2, 276.9, 472.7, 840.3, 1648.0", \ + " 209.4, 239.8, 315.4, 503.4, 861.4, 1654.7", \ + " 259.2, 294.1, 375.1, 555.2, 901.4, 1677.8", \ + " 327.6, 366.7, 460.9, 640.4, 972.7, 1727.9", \ + " 424.7, 468.0, 574.4, 775.1, 1094.9, 1823.2" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.3, 66.3, 123.1, 223.0, 434.5", \ + " 36.5, 46.6, 72.7, 129.5, 229.4, 441.0", \ + " 41.6, 51.6, 77.5, 134.4, 234.3, 445.8", \ + " 46.3, 58.1, 84.2, 141.0, 240.9, 452.4", \ + " 51.7, 65.9, 96.0, 152.6, 252.4, 463.8", \ + " 56.1, 72.9, 108.8, 169.5, 269.1, 480.4", \ + " 58.9, 78.6, 121.3, 193.4, 294.4, 505.3", \ + " 58.9, 81.9, 132.1, 218.0, 332.1, 542.4", \ + " 53.8, 80.9, 139.8, 241.4, 377.9, 598.7", \ + " 40.0, 71.8, 141.4, 261.4, 424.0, 682.2" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 83.0, 150.3, 301.7, 570.8, 1142.9", \ + " 62.6, 86.3, 151.3, 301.6, 570.8, 1142.9", \ + " 69.0, 92.0, 155.2, 302.5, 570.8, 1142.9", \ + " 78.8, 101.1, 162.4, 306.5, 571.1, 1142.9", \ + " 96.2, 119.2, 178.4, 317.7, 576.3, 1142.9", \ + " 116.2, 143.7, 204.0, 338.7, 590.1, 1146.3", \ + " 145.3, 175.6, 244.2, 375.7, 618.7, 1161.6", \ + " 186.2, 219.7, 295.3, 434.3, 669.9, 1196.7", \ + " 244.6, 282.6, 366.2, 520.9, 755.6, 1264.8", \ + " 328.5, 372.6, 466.9, 637.7, 891.0, 1386.9" ); }} +timing() { /* ring osc delay oai21v0x8, path b to z 34.9 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.6 ; */ +/* intrinsic_fall : 37.6 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.37 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.8, 39.1, 73.6, 150.0, 285.3, 572.4", \ + " 33.8, 46.6, 81.0, 157.6, 293.1, 580.4", \ + " 39.9, 53.0, 87.0, 163.5, 299.0, 586.3", \ + " 46.5, 61.8, 95.5, 171.6, 307.0, 594.3", \ + " 56.6, 74.5, 110.9, 186.3, 321.3, 608.4", \ + " 69.2, 89.8, 132.5, 208.0, 342.2, 628.8", \ + " 86.4, 110.0, 159.5, 241.7, 374.4, 660.0", \ + " 109.2, 136.4, 193.0, 289.3, 423.2, 706.8", \ + " 140.5, 172.1, 237.2, 348.6, 498.5, 778.8", \ + " 184.4, 221.4, 296.9, 424.9, 601.0, 890.9" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.0, 88.1, 184.4, 401.0, 786.1, 1604.5", \ + " 58.6, 91.9, 185.0, 401.0, 786.1, 1604.5", \ + " 65.6, 97.7, 188.3, 401.0, 786.1, 1604.5", \ + " 75.9, 106.6, 194.7, 403.5, 786.1, 1604.5", \ + " 95.0, 123.9, 208.5, 411.8, 787.7, 1604.5", \ + " 116.1, 150.8, 231.3, 428.4, 796.6, 1604.5", \ + " 144.8, 184.9, 268.8, 458.6, 817.6, 1611.3", \ + " 184.4, 228.9, 325.7, 509.2, 857.0, 1634.3", \ + " 241.2, 290.1, 399.8, 591.5, 926.8, 1683.9", \ + " 323.8, 377.6, 499.8, 718.7, 1045.4, 1777.5" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.7, 25.3, 45.2, 89.7, 168.7, 336.5", \ + " 23.6, 32.2, 52.1, 96.7, 175.7, 343.5", \ + " 25.9, 36.5, 57.5, 102.0, 181.1, 348.9", \ + " 27.6, 40.3, 64.7, 109.2, 188.2, 356.0", \ + " 28.7, 44.2, 74.4, 121.9, 200.8, 368.5", \ + " 28.3, 46.8, 83.2, 139.8, 218.9, 386.5", \ + " 25.2, 47.2, 90.9, 159.8, 246.5, 413.6", \ + " 17.8, 44.0, 96.0, 178.8, 283.6, 453.8", \ + " 3.6, 34.6, 96.4, 195.4, 322.5, 514.7", \ + " -21.8, 14.8, 88.4, 206.6, 359.5, 594.0" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 28.9, 48.1, 100.3, 218.0, 427.2, 871.7", \ + " 37.8, 54.9, 103.6, 218.3, 427.2, 871.7", \ + " 46.9, 62.6, 109.2, 220.9, 427.2, 871.7", \ + " 56.0, 74.2, 118.6, 226.9, 429.1, 871.7", \ + " 70.7, 92.3, 137.5, 241.1, 437.3, 872.4", \ + " 90.7, 114.8, 166.5, 265.9, 455.0, 879.8", \ + " 118.8, 146.0, 204.4, 307.3, 488.8, 900.7", \ + " 157.8, 189.0, 254.6, 370.2, 546.5, 943.0", \ + " 212.9, 249.3, 323.9, 454.5, 639.6, 1021.0", \ + " 292.8, 335.1, 421.7, 569.2, 778.9, 1155.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 45.6 ; */ +/* intrinsic_fall : 37.6 ; */ +/* rise_resistance : 0.53 ; */ +/* fall_resistance : 0.37 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.9, 41.4, 76.4, 153.8, 290.7, 580.3", \ + " 35.8, 49.0, 83.9, 161.6, 298.6, 588.3", \ + " 42.2, 55.3, 89.9, 167.5, 304.6, 594.3", \ + " 49.3, 64.2, 98.2, 175.5, 312.5, 602.3", \ + " 59.8, 77.4, 113.5, 190.1, 326.8, 616.4", \ + " 73.1, 93.2, 135.4, 211.6, 347.6, 636.8", \ + " 90.9, 113.8, 162.9, 245.0, 379.6, 667.9", \ + " 114.8, 140.9, 197.0, 293.0, 428.0, 714.4", \ + " 147.8, 177.5, 241.6, 353.0, 502.8, 785.9", \ + " 194.4, 228.2, 301.8, 429.7, 606.1, 897.1" ); } +rise_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.9, 135.0, 230.0, 445.5, 830.0, 1648.0", \ + " 106.0, 138.4, 230.4, 445.5, 830.0, 1648.0", \ + " 112.9, 144.1, 233.6, 445.5, 830.0, 1648.0", \ + " 123.0, 153.0, 239.9, 447.8, 830.0, 1648.0", \ + " 141.7, 170.5, 253.7, 456.0, 831.5, 1648.0", \ + " 170.0, 197.2, 276.9, 472.7, 840.3, 1648.0", \ + " 209.4, 239.8, 315.4, 503.4, 861.4, 1654.7", \ + " 259.2, 294.1, 375.1, 555.2, 901.4, 1677.8", \ + " 327.6, 366.7, 460.9, 640.4, 972.7, 1727.9", \ + " 424.7, 468.0, 574.4, 775.1, 1094.9, 1823.2" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.3, 66.3, 123.1, 223.0, 434.5", \ + " 36.5, 46.6, 72.7, 129.5, 229.4, 441.0", \ + " 41.6, 51.6, 77.5, 134.4, 234.3, 445.8", \ + " 46.3, 58.1, 84.2, 141.0, 240.9, 452.4", \ + " 51.7, 65.9, 96.0, 152.6, 252.4, 463.8", \ + " 56.1, 72.9, 108.8, 169.5, 269.1, 480.4", \ + " 58.9, 78.6, 121.3, 193.4, 294.4, 505.3", \ + " 58.9, 81.9, 132.1, 218.0, 332.1, 542.4", \ + " 53.8, 80.9, 139.8, 241.4, 377.9, 598.7", \ + " 40.0, 71.8, 141.4, 261.4, 424.0, 682.2" ); } +fall_transition(x8_1040_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 83.0, 150.3, 301.7, 570.8, 1142.9", \ + " 62.6, 86.3, 151.3, 301.6, 570.8, 1142.9", \ + " 69.0, 92.0, 155.2, 302.5, 570.8, 1142.9", \ + " 78.8, 101.1, 162.4, 306.5, 571.1, 1142.9", \ + " 96.2, 119.2, 178.4, 317.7, 576.3, 1142.9", \ + " 116.2, 143.7, 204.0, 338.7, 590.1, 1146.3", \ + " 145.3, 175.6, 244.2, 375.7, 618.7, 1161.6", \ + " 186.2, 219.7, 295.3, 434.3, 669.9, 1196.7", \ + " 244.6, 282.6, 366.2, 520.9, 755.6, 1264.8", \ + " 328.5, 372.6, 466.9, 637.7, 891.0, 1386.9" ); }} +} +} +cell(oai21a2v0x05) { /* 2008-01-06:07h49 characteristic delay 14.2 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 543 ; /* oai21a2v0x05 */ +cell_footprint : oai21a2 ; +pin(a1) { /* oai21a2v0x05 FO4 effort 1.89 logical effort 1.98 */ +direction : input ; +capacitance : 2.70 ; +rise_capacitance : 2.69 ; +fall_capacitance : 2.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21a2v0x05 */ +} +pin(a2) { /* oai21a2v0x05 FO4 effort 2.08 */ +direction : input ; +capacitance : 2.23 ; +rise_capacitance : 2.27 ; +fall_capacitance : 2.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21a2v0x05 */ +internal_power(a2) { /* oai21a2v0x05 6.77 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.34, 3.27, 3.30, 3.38, 3.60, 4.00, 4.69, 5.81, 7.62, 10.49" ); }} +} +pin(b) { /* oai21a2v0x05 FO4 effort 1.32 logical effort 1.34 */ +direction : input ; +capacitance : 1.86 ; +rise_capacitance : 1.83 ; +fall_capacitance : 1.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oai21a2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a1+a2')*b)'" ; +internal_power(a1_z_n) { /* oai21a2v0x05 9.24 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 4.76, 4.77, 4.78, 4.78, 4.77", \ + " 4.63, 4.65, 4.68, 4.70, 4.70", \ + " 4.59, 4.61, 4.65, 4.68, 4.68", \ + " 4.56, 4.59, 4.62, 4.65, 4.67", \ + " 4.60, 4.60, 4.62, 4.65, 4.66", \ + " 4.77, 4.74, 4.71, 4.69, 4.68", \ + " 5.21, 5.12, 4.98, 4.87, 4.79", \ + " 6.09, 5.91, 5.61, 5.29, 5.07", \ + " 7.65, 7.37, 6.84, 6.22, 5.72", \ + " 10.24, 9.86, 9.08, 8.02, 7.07" ); }} +internal_power(a2_z_p) { /* oai21a2v0x05 14.75 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 3.90, 3.99, 4.07, 4.12, 4.14", \ + " 3.87, 3.95, 4.05, 4.11, 4.13", \ + " 3.84, 3.92, 4.02, 4.09, 4.12", \ + " 3.81, 3.89, 3.99, 4.07, 4.11", \ + " 3.79, 3.86, 3.96, 4.05, 4.09", \ + " 3.79, 3.84, 3.93, 4.03, 4.08", \ + " 3.80, 3.83, 3.91, 4.00, 4.05", \ + " 3.83, 3.85, 3.90, 3.97, 4.03", \ + " 3.92, 3.90, 3.91, 3.96, 4.01", \ + " 4.10, 4.03, 3.98, 3.99, 4.02" ); }} +internal_power(b_z_n) { /* oai21a2v0x05 5.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.54, 2.59, 2.64, 2.66, 2.67", \ + " 2.47, 2.51, 2.57, 2.63, 2.65", \ + " 2.48, 2.51, 2.56, 2.61, 2.64", \ + " 2.54, 2.54, 2.56, 2.61, 2.63", \ + " 2.70, 2.66, 2.63, 2.63, 2.64", \ + " 3.00, 2.90, 2.79, 2.72, 2.69", \ + " 3.53, 3.36, 3.13, 2.93, 2.81", \ + " 4.40, 4.14, 3.75, 3.37, 3.10", \ + " 5.81, 5.45, 4.84, 4.19, 3.69", \ + " 8.07, 7.59, 6.72, 5.68, 4.83" ); }} +timing() { /* ring osc delay oai21a2v0x05, path a1 to z 72.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.8 ; */ +/* intrinsic_fall : 54.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.6, 71.9, 108.9, 191.0, 336.2, 644.2", \ + " 64.4, 78.8, 116.1, 198.3, 343.6, 651.7", \ + " 69.1, 83.5, 120.8, 203.1, 348.4, 656.6", \ + " 75.2, 89.6, 126.9, 209.2, 354.6, 662.8", \ + " 84.4, 100.1, 137.5, 219.8, 365.2, 673.4", \ + " 95.1, 112.5, 153.0, 235.3, 380.5, 688.6", \ + " 109.9, 128.9, 173.5, 259.1, 403.9, 711.7", \ + " 130.1, 151.2, 200.0, 293.4, 439.3, 746.2", \ + " 158.3, 182.0, 236.1, 338.2, 493.6, 799.2", \ + " 197.2, 224.3, 285.6, 398.4, 568.3, 881.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.7, 147.3, 251.0, 485.6, 903.4, 1791.2", \ + " 109.3, 147.6, 251.1, 485.7, 903.4, 1791.2", \ + " 112.3, 149.9, 252.1, 485.8, 903.4, 1791.2", \ + " 117.3, 154.4, 255.4, 486.9, 903.5, 1791.2", \ + " 128.5, 164.5, 263.6, 492.1, 904.9, 1791.2", \ + " 149.3, 183.1, 279.0, 503.2, 911.3, 1791.7", \ + " 181.9, 217.3, 307.5, 525.1, 926.3, 1798.0", \ + " 228.0, 264.5, 356.5, 564.6, 955.9, 1815.6", \ + " 294.8, 332.8, 429.4, 632.9, 1011.2, 1853.6", \ + " 389.0, 430.0, 532.3, 745.2, 1108.7, 1927.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.7, 54.4, 81.7, 141.5, 246.6, 469.3", \ + " 48.9, 59.7, 87.2, 147.1, 252.4, 475.1", \ + " 52.3, 63.2, 90.7, 150.7, 256.0, 478.7", \ + " 56.1, 67.5, 95.2, 155.2, 260.5, 483.3", \ + " 60.7, 73.3, 102.8, 163.0, 268.4, 491.2", \ + " 65.1, 79.3, 111.8, 174.2, 279.6, 502.4", \ + " 68.7, 85.2, 121.9, 190.1, 296.7, 519.4", \ + " 70.6, 89.8, 132.3, 208.4, 322.1, 544.6", \ + " 69.6, 92.0, 141.6, 228.8, 354.1, 583.1", \ + " 63.8, 89.8, 147.8, 249.4, 391.1, 639.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 71.0, 97.6, 169.4, 332.2, 622.2, 1238.8", \ + " 72.4, 98.5, 169.7, 332.2, 622.2, 1238.8", \ + " 75.7, 101.4, 171.6, 332.6, 622.2, 1238.8", \ + " 81.8, 106.6, 175.5, 335.0, 622.6, 1238.8", \ + " 96.1, 119.1, 185.3, 341.5, 626.0, 1238.9", \ + " 116.0, 140.7, 203.9, 354.9, 634.6, 1242.2", \ + " 145.8, 171.9, 237.4, 381.4, 653.5, 1252.5", \ + " 189.6, 217.1, 285.8, 428.4, 689.7, 1275.8", \ + " 254.7, 284.0, 356.2, 504.8, 756.2, 1323.5", \ + " 349.9, 382.2, 459.5, 615.7, 870.7, 1414.3" ); }} +timing() { /* ring osc delay oai21a2v0x05, path a2 to z 93.5 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.2 ; */ +/* intrinsic_fall : 91.2 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 5.31 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.3, 88.9, 126.3, 208.6, 353.8, 661.9", \ + " 81.0, 95.5, 133.0, 215.4, 360.7, 668.8", \ + " 85.5, 100.1, 137.5, 220.0, 365.4, 673.5", \ + " 90.5, 104.9, 142.4, 224.9, 370.3, 678.5", \ + " 96.6, 111.1, 148.4, 230.9, 376.3, 684.5", \ + " 102.6, 116.9, 154.3, 236.6, 382.0, 690.3", \ + " 108.6, 122.9, 159.9, 242.3, 387.6, 695.8", \ + " 113.8, 128.2, 165.1, 247.2, 392.6, 700.6", \ + " 117.2, 131.8, 169.0, 251.1, 396.3, 704.3", \ + " 116.6, 131.7, 169.5, 252.3, 397.8, 705.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.3, 138.6, 236.3, 457.4, 850.6, 1685.8", \ + " 102.4, 138.6, 236.4, 457.4, 850.6, 1685.8", \ + " 102.7, 138.8, 236.4, 457.4, 850.6, 1685.8", \ + " 103.7, 139.5, 236.6, 457.4, 850.6, 1685.8", \ + " 105.6, 141.0, 237.5, 457.7, 850.6, 1685.8", \ + " 108.4, 143.2, 238.7, 458.2, 850.9, 1685.8", \ + " 112.2, 146.4, 240.9, 459.1, 851.3, 1686.1", \ + " 118.4, 151.9, 244.6, 461.0, 852.1, 1686.5", \ + " 127.5, 160.4, 251.6, 465.3, 854.4, 1687.4", \ + " 140.5, 173.1, 263.1, 474.0, 860.1, 1690.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.6, 82.0, 109.8, 169.5, 274.5, 497.0", \ + " 78.4, 89.8, 117.6, 177.4, 282.4, 505.0", \ + " 84.5, 95.9, 123.8, 183.6, 288.7, 511.3", \ + " 92.2, 103.6, 131.5, 191.5, 296.6, 519.2", \ + " 103.6, 115.2, 143.2, 203.3, 308.5, 531.2", \ + " 117.0, 128.7, 157.1, 217.4, 322.7, 545.4", \ + " 134.2, 146.2, 174.9, 235.3, 340.7, 563.5", \ + " 156.1, 168.5, 197.6, 258.2, 363.8, 586.6", \ + " 184.7, 197.9, 227.8, 288.7, 394.3, 617.3", \ + " 222.7, 236.9, 268.1, 330.0, 435.8, 658.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.3, 75.7, 142.4, 294.8, 567.2, 1147.1", \ + " 51.4, 75.7, 142.4, 294.8, 567.2, 1147.1", \ + " 51.6, 75.8, 142.5, 294.8, 567.2, 1147.1", \ + " 52.2, 76.3, 142.7, 294.8, 567.2, 1147.1", \ + " 54.4, 77.9, 143.5, 295.1, 567.2, 1147.1", \ + " 57.3, 80.4, 145.1, 296.0, 567.6, 1147.1", \ + " 61.3, 83.7, 147.5, 297.1, 568.1, 1147.3", \ + " 67.1, 88.8, 150.8, 299.1, 568.9, 1147.6", \ + " 75.8, 96.9, 156.9, 302.4, 570.7, 1148.2", \ + " 88.4, 109.0, 167.0, 308.9, 574.3, 1149.6" ); }} +timing() { /* ring osc delay oai21a2v0x05, path b to z 47.8 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.0 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.2, 46.8, 84.5, 168.1, 316.1, 630.1", \ + " 39.8, 54.1, 91.9, 175.8, 324.0, 638.2", \ + " 46.4, 60.3, 97.8, 181.7, 329.9, 644.1", \ + " 53.9, 69.1, 106.0, 189.6, 337.8, 652.1", \ + " 64.8, 83.0, 121.2, 204.1, 352.0, 666.2", \ + " 78.3, 99.3, 143.4, 225.4, 372.7, 686.5", \ + " 96.3, 120.4, 171.8, 258.6, 404.6, 717.5", \ + " 120.1, 147.7, 206.8, 307.5, 452.7, 763.8", \ + " 152.4, 184.5, 252.2, 369.5, 527.1, 835.1", \ + " 197.0, 234.6, 313.2, 448.2, 633.8, 945.9" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.4, 105.9, 211.1, 448.1, 869.3, 1764.3", \ + " 71.0, 108.2, 211.1, 448.1, 869.3, 1764.3", \ + " 77.5, 113.3, 213.7, 448.1, 869.3, 1764.3", \ + " 87.2, 121.7, 219.4, 449.4, 869.3, 1764.3", \ + " 106.1, 138.5, 232.3, 456.6, 869.9, 1764.3", \ + " 131.6, 165.0, 254.4, 472.0, 877.2, 1764.3", \ + " 163.2, 203.7, 291.7, 501.1, 896.4, 1768.6", \ + " 206.1, 251.8, 350.3, 550.9, 934.1, 1788.8", \ + " 267.2, 317.6, 431.0, 633.7, 1002.2, 1835.3", \ + " 355.7, 411.4, 538.5, 765.8, 1120.4, 1925.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 34.1, 60.6, 119.7, 224.4, 446.7", \ + " 30.4, 40.4, 66.9, 126.1, 230.8, 453.2", \ + " 33.7, 45.3, 71.7, 130.9, 235.7, 458.1", \ + " 36.4, 50.2, 78.3, 137.4, 242.2, 464.6", \ + " 39.1, 55.7, 89.4, 149.0, 253.6, 476.0", \ + " 40.4, 60.1, 100.4, 165.7, 270.2, 492.4", \ + " 39.5, 62.8, 110.7, 188.7, 295.3, 517.2", \ + " 35.0, 62.4, 118.9, 211.9, 332.6, 553.9", \ + " 24.4, 56.7, 123.4, 233.5, 378.0, 609.7", \ + " 3.7, 41.9, 120.7, 251.0, 423.3, 693.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.1, 74.8, 145.9, 305.5, 589.2, 1191.8", \ + " 54.7, 79.3, 147.3, 305.4, 589.2, 1191.8", \ + " 62.3, 85.8, 151.7, 306.5, 589.1, 1191.8", \ + " 73.7, 95.8, 159.5, 310.7, 589.3, 1191.8", \ + " 89.3, 115.5, 176.2, 322.1, 594.4, 1191.7", \ + " 109.4, 138.7, 202.6, 343.5, 608.2, 1194.6", \ + " 138.9, 170.7, 243.0, 381.0, 636.7, 1209.3", \ + " 180.3, 215.3, 294.2, 440.0, 687.8, 1243.5", \ + " 239.3, 278.8, 365.5, 527.2, 773.5, 1310.7", \ + " 324.1, 369.6, 467.1, 644.8, 909.2, 1431.9" ); }} +timing() { /* ring osc delay oai21a2v0x05, path b to z 43.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.0 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 46.4, 84.2, 167.8, 315.8, 629.8", \ + " 39.5, 53.7, 91.5, 175.3, 323.5, 637.8", \ + " 46.1, 60.0, 97.4, 181.2, 329.4, 643.7", \ + " 53.8, 68.9, 105.7, 189.2, 337.3, 651.6", \ + " 65.0, 83.0, 121.0, 203.7, 351.5, 665.7", \ + " 78.9, 99.7, 143.5, 225.2, 372.3, 686.0", \ + " 97.6, 121.4, 172.4, 258.8, 404.4, 717.1", \ + " 122.5, 149.7, 208.1, 308.2, 453.0, 763.8", \ + " 156.6, 188.0, 254.9, 371.1, 528.0, 835.5", \ + " 204.2, 240.9, 318.0, 451.6, 636.0, 947.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 66.4, 105.9, 211.1, 448.1, 869.3, 1764.3", \ + " 71.4, 108.5, 211.2, 448.1, 869.3, 1764.3", \ + " 77.9, 113.7, 213.9, 448.1, 869.3, 1764.3", \ + " 87.4, 122.1, 219.7, 449.5, 869.3, 1764.3", \ + " 105.9, 138.5, 232.6, 456.8, 870.0, 1764.3", \ + " 130.5, 164.2, 254.3, 472.3, 877.4, 1764.3", \ + " 160.6, 201.5, 290.5, 500.9, 896.6, 1768.7", \ + " 201.2, 247.3, 347.0, 549.4, 933.7, 1788.8", \ + " 258.9, 309.7, 424.5, 629.5, 1000.4, 1834.9", \ + " 342.5, 398.3, 526.7, 756.7, 1115.0, 1923.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 28.3, 49.2, 95.8, 178.4, 353.9", \ + " 26.9, 35.2, 56.1, 102.8, 185.5, 361.0", \ + " 29.9, 40.1, 61.5, 108.1, 190.8, 366.3", \ + " 32.2, 44.5, 68.7, 115.2, 197.9, 373.4", \ + " 34.2, 49.2, 79.2, 127.9, 210.4, 385.9", \ + " 34.5, 52.6, 88.9, 146.0, 228.5, 403.8", \ + " 32.3, 53.8, 97.5, 167.2, 255.9, 430.8", \ + " 25.8, 51.4, 103.5, 187.5, 294.0, 470.8", \ + " 12.4, 42.8, 104.8, 205.4, 334.8, 531.4", \ + " -12.5, 23.5, 97.4, 217.8, 373.9, 613.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.1, 55.7, 110.5, 233.7, 452.8, 918.2", \ + " 43.3, 61.7, 113.2, 233.8, 452.8, 918.2", \ + " 51.8, 69.1, 118.5, 236.1, 452.8, 918.2", \ + " 62.9, 80.2, 127.5, 241.7, 454.2, 918.2", \ + " 78.4, 99.7, 146.0, 255.3, 461.8, 918.5", \ + " 99.1, 123.0, 174.9, 279.5, 478.8, 925.1", \ + " 128.4, 155.3, 214.3, 320.5, 511.6, 944.9", \ + " 168.8, 199.4, 265.6, 383.9, 568.5, 985.9", \ + " 225.7, 261.3, 336.4, 469.9, 661.1, 1062.3", \ + " 307.4, 349.0, 435.9, 586.6, 802.1, 1195.7" ); }} +timing() { /* ring osc delay oai21a2v0x05, path b to z 61.1 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.0 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.7, 48.6, 86.9, 171.7, 321.4, 638.1", \ + " 41.3, 55.9, 94.3, 179.3, 329.3, 646.2", \ + " 47.9, 62.1, 100.2, 185.2, 335.2, 652.1", \ + " 56.0, 70.8, 108.4, 193.2, 343.2, 660.1", \ + " 67.6, 85.4, 123.5, 207.6, 357.3, 674.1", \ + " 81.9, 102.6, 146.1, 229.0, 378.0, 694.5", \ + " 101.1, 124.7, 175.5, 262.2, 409.9, 725.5", \ + " 126.7, 153.4, 211.7, 311.7, 458.0, 771.8", \ + " 161.9, 192.4, 258.8, 375.3, 532.5, 843.1", \ + " 211.3, 246.2, 322.3, 456.2, 640.9, 953.9" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.1, 155.4, 259.1, 494.8, 915.3, 1809.9", \ + " 121.6, 157.7, 259.1, 494.8, 915.3, 1809.9", \ + " 128.0, 162.8, 261.6, 494.8, 915.3, 1809.9", \ + " 137.5, 171.1, 267.2, 496.1, 915.3, 1809.9", \ + " 155.4, 187.6, 280.2, 503.3, 915.9, 1809.9", \ + " 182.4, 213.3, 302.2, 518.7, 923.2, 1809.9", \ + " 223.8, 254.2, 339.2, 547.7, 942.4, 1814.2", \ + " 274.9, 311.6, 397.4, 597.4, 980.0, 1834.3", \ + " 344.3, 385.9, 485.2, 680.1, 1048.2, 1880.8", \ + " 442.3, 488.3, 601.2, 812.6, 1166.2, 1971.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 44.1, 71.5, 131.3, 236.5, 459.2", \ + " 39.7, 50.4, 77.8, 137.7, 242.9, 465.6", \ + " 45.0, 55.3, 82.6, 142.5, 247.8, 470.5", \ + " 50.3, 62.1, 89.2, 149.0, 254.3, 477.0", \ + " 56.4, 70.6, 101.0, 160.6, 265.7, 488.4", \ + " 61.5, 78.4, 114.7, 177.3, 282.2, 504.8", \ + " 65.1, 84.9, 128.2, 201.8, 307.4, 529.5", \ + " 65.7, 89.0, 140.0, 227.7, 344.7, 566.3", \ + " 61.3, 88.6, 148.6, 252.6, 392.5, 622.1", \ + " 47.9, 80.0, 150.8, 273.9, 441.0, 706.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.0, 94.5, 165.3, 324.7, 608.2, 1210.9", \ + " 72.4, 97.3, 165.8, 324.5, 608.2, 1210.9", \ + " 78.5, 102.6, 169.4, 325.0, 608.2, 1210.9", \ + " 88.0, 111.5, 176.3, 328.6, 608.2, 1210.9", \ + " 106.9, 129.1, 191.7, 339.2, 612.6, 1210.9", \ + " 127.5, 155.2, 216.9, 359.5, 625.6, 1213.3", \ + " 157.3, 187.8, 257.8, 395.8, 653.2, 1227.4", \ + " 199.2, 232.8, 310.1, 453.9, 703.1, 1260.8", \ + " 259.2, 297.0, 382.1, 541.8, 787.9, 1327.0", \ + " 345.3, 388.9, 484.4, 660.6, 922.8, 1447.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 51.0 ; */ +/* intrinsic_fall : 41.0 ; */ +/* rise_resistance : 7.45 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.7, 48.6, 86.9, 171.7, 321.4, 638.1", \ + " 41.3, 55.9, 94.3, 179.3, 329.3, 646.2", \ + " 47.9, 62.1, 100.2, 185.2, 335.2, 652.1", \ + " 56.0, 70.8, 108.4, 193.2, 343.2, 660.1", \ + " 67.6, 85.4, 123.5, 207.6, 357.3, 674.1", \ + " 81.9, 102.6, 146.1, 229.0, 378.0, 694.5", \ + " 101.1, 124.7, 175.5, 262.2, 409.9, 725.5", \ + " 126.7, 153.4, 211.7, 311.7, 458.0, 771.8", \ + " 161.9, 192.4, 258.8, 375.3, 532.5, 843.1", \ + " 211.3, 246.2, 322.3, 456.2, 640.9, 953.9" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 117.1, 155.4, 259.1, 494.8, 915.3, 1809.9", \ + " 121.6, 157.7, 259.1, 494.8, 915.3, 1809.9", \ + " 128.0, 162.8, 261.6, 494.8, 915.3, 1809.9", \ + " 137.5, 171.1, 267.2, 496.1, 915.3, 1809.9", \ + " 155.4, 187.6, 280.2, 503.3, 915.9, 1809.9", \ + " 182.4, 213.3, 302.2, 518.7, 923.2, 1809.9", \ + " 223.8, 254.2, 339.2, 547.7, 942.4, 1814.2", \ + " 274.9, 311.6, 397.4, 597.4, 980.0, 1834.3", \ + " 344.3, 385.9, 485.2, 680.1, 1048.2, 1880.8", \ + " 442.3, 488.3, 601.2, 812.6, 1166.2, 1971.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 44.1, 71.5, 131.3, 236.5, 459.2", \ + " 39.7, 50.4, 77.8, 137.7, 242.9, 465.6", \ + " 45.0, 55.3, 82.6, 142.5, 247.8, 470.5", \ + " 50.3, 62.1, 89.2, 149.0, 254.3, 477.0", \ + " 56.4, 70.6, 101.0, 160.6, 265.7, 488.4", \ + " 61.5, 78.4, 114.7, 177.3, 282.2, 504.8", \ + " 65.1, 84.9, 128.2, 201.8, 307.4, 529.5", \ + " 65.7, 89.0, 140.0, 227.7, 344.7, 566.3", \ + " 61.3, 88.6, 148.6, 252.6, 392.5, 622.1", \ + " 47.9, 80.0, 150.8, 273.9, 441.0, 706.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.0, 94.5, 165.3, 324.7, 608.2, 1210.9", \ + " 72.4, 97.3, 165.8, 324.5, 608.2, 1210.9", \ + " 78.5, 102.6, 169.4, 325.0, 608.2, 1210.9", \ + " 88.0, 111.5, 176.3, 328.6, 608.2, 1210.9", \ + " 106.9, 129.1, 191.7, 339.2, 612.6, 1210.9", \ + " 127.5, 155.2, 216.9, 359.5, 625.6, 1213.3", \ + " 157.3, 187.8, 257.8, 395.8, 653.2, 1227.4", \ + " 199.2, 232.8, 310.1, 453.9, 703.1, 1260.8", \ + " 259.2, 297.0, 382.1, 541.8, 787.9, 1327.0", \ + " 345.3, 388.9, 484.4, 660.6, 922.8, 1447.0" ); }} +} +} +cell(oai21a2v0x1) { /* 2008-01-06:07h49 characteristic delay 13.8 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 924 ; /* oai21a2v0x1 */ +cell_footprint : oai21a2 ; +pin(a1) { /* oai21a2v0x1 FO4 effort 1.81 logical effort 1.93 */ +direction : input ; +capacitance : 4.69 ; +rise_capacitance : 4.67 ; +fall_capacitance : 4.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21a2v0x1 */ +} +pin(a2) { /* oai21a2v0x1 FO4 effort 1.92 */ +direction : input ; +capacitance : 3.28 ; +rise_capacitance : 3.34 ; +fall_capacitance : 3.21 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21a2v0x1 */ +internal_power(a2) { /* oai21a2v0x1 10.68 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.29, 5.18, 5.22, 5.34, 5.66, 6.24, 7.27, 8.93, 11.63, 15.91" ); }} +} +pin(b) { /* oai21a2v0x1 FO4 effort 1.26 logical effort 1.29 */ +direction : input ; +capacitance : 3.33 ; +rise_capacitance : 3.29 ; +fall_capacitance : 3.37 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oai21a2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 106 ; +max_fanout : 4 ; +function : "((a1+a2')*b)'" ; +internal_power(a1_z_n) { /* oai21a2v0x1 15.39 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 7.92, 7.94, 7.96, 7.97, 7.95", \ + " 7.70, 7.74, 7.80, 7.83, 7.84", \ + " 7.63, 7.67, 7.74, 7.79, 7.80", \ + " 7.61, 7.64, 7.70, 7.75, 7.77", \ + " 7.69, 7.69, 7.71, 7.74, 7.76", \ + " 8.05, 7.98, 7.90, 7.85, 7.82", \ + " 8.90, 8.72, 8.46, 8.21, 8.05", \ + " 10.55, 10.22, 9.66, 9.06, 8.62", \ + " 13.42, 12.93, 12.00, 10.84, 9.89", \ + " 18.12, 17.47, 16.14, 14.25, 12.50" ); }} +internal_power(a2_z_p) { /* oai21a2v0x1 23.75 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 6.36, 6.51, 6.67, 6.78, 6.81", \ + " 6.31, 6.45, 6.63, 6.75, 6.79", \ + " 6.27, 6.40, 6.58, 6.72, 6.78", \ + " 6.23, 6.35, 6.53, 6.68, 6.75", \ + " 6.20, 6.31, 6.48, 6.64, 6.72", \ + " 6.21, 6.29, 6.44, 6.60, 6.70", \ + " 6.26, 6.30, 6.41, 6.55, 6.66", \ + " 6.36, 6.35, 6.41, 6.52, 6.62", \ + " 6.56, 6.49, 6.47, 6.52, 6.60", \ + " 6.95, 6.78, 6.64, 6.60, 6.62" ); }} +internal_power(b_z_n) { /* oai21a2v0x1 8.55 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 4.21, 4.30, 4.40, 4.45, 4.46", \ + " 4.09, 4.16, 4.28, 4.37, 4.42", \ + " 4.13, 4.16, 4.25, 4.35, 4.40", \ + " 4.26, 4.24, 4.27, 4.34, 4.39", \ + " 4.58, 4.50, 4.42, 4.40, 4.41", \ + " 5.17, 4.99, 4.76, 4.59, 4.52", \ + " 6.20, 5.89, 5.44, 5.04, 4.79", \ + " 7.87, 7.41, 6.67, 5.93, 5.39", \ + " 10.56, 9.92, 8.81, 7.57, 6.60", \ + " 14.82, 13.99, 12.44, 10.51, 8.87" ); }} +timing() { /* ring osc delay oai21a2v0x1, path a1 to z 68.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.5 ; */ +/* intrinsic_fall : 50.3 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.1, 68.2, 102.1, 177.2, 309.9, 591.5", \ + " 61.9, 75.1, 109.1, 184.4, 317.2, 598.9", \ + " 66.6, 79.7, 113.8, 189.1, 322.0, 603.8", \ + " 72.6, 85.8, 119.9, 195.2, 328.2, 610.0", \ + " 81.4, 96.0, 130.5, 205.8, 338.8, 620.6", \ + " 92.0, 108.1, 145.9, 221.3, 354.1, 635.8", \ + " 106.7, 124.4, 165.9, 245.4, 377.7, 659.0", \ + " 127.3, 146.8, 192.1, 278.9, 413.3, 693.7", \ + " 156.2, 178.1, 228.3, 323.1, 467.6, 747.2", \ + " 196.1, 221.3, 278.2, 383.0, 540.7, 830.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 102.3, 137.5, 232.3, 446.7, 828.6, 1640.3", \ + " 103.0, 138.0, 232.4, 446.8, 828.7, 1640.3", \ + " 106.1, 140.4, 233.6, 446.9, 828.7, 1640.3", \ + " 111.2, 145.0, 237.2, 448.4, 828.7, 1640.3", \ + " 122.6, 155.4, 245.7, 454.1, 830.7, 1640.3", \ + " 144.0, 174.4, 261.5, 465.7, 837.8, 1641.4", \ + " 175.8, 208.4, 290.7, 488.4, 853.8, 1648.9", \ + " 221.4, 255.0, 340.4, 528.9, 884.7, 1668.1", \ + " 286.9, 322.1, 411.4, 598.3, 941.6, 1708.1", \ + " 379.3, 417.2, 512.0, 709.1, 1040.8, 1785.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.1, 48.4, 72.1, 123.8, 214.5, 406.4", \ + " 44.2, 53.6, 77.5, 129.2, 220.0, 411.9", \ + " 47.5, 57.0, 80.9, 132.7, 223.5, 415.5", \ + " 50.8, 61.1, 85.3, 137.2, 228.0, 420.0", \ + " 55.0, 66.3, 92.6, 144.9, 235.8, 427.9", \ + " 58.7, 71.5, 100.8, 156.2, 247.1, 439.1", \ + " 61.3, 76.3, 109.7, 170.8, 264.2, 456.1", \ + " 61.8, 79.4, 118.2, 187.1, 288.5, 481.4", \ + " 58.9, 79.4, 124.9, 204.5, 317.4, 520.0", \ + " 50.2, 74.0, 127.3, 220.7, 349.8, 572.6" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 63.7, 86.5, 148.3, 288.4, 538.2, 1069.3", \ + " 65.6, 87.9, 148.8, 288.5, 538.2, 1069.3", \ + " 69.3, 91.0, 151.2, 289.4, 538.2, 1069.3", \ + " 75.9, 96.8, 155.6, 292.1, 539.2, 1069.4", \ + " 90.6, 110.4, 166.3, 299.6, 543.5, 1070.0", \ + " 110.3, 131.9, 186.3, 314.4, 553.4, 1074.6", \ + " 139.9, 162.8, 220.2, 343.0, 574.4, 1086.8", \ + " 183.4, 207.7, 268.0, 392.4, 613.7, 1113.3", \ + " 247.6, 273.7, 337.5, 468.0, 684.0, 1165.7", \ + " 341.0, 370.1, 439.2, 577.0, 800.9, 1262.9" ); }} +timing() { /* ring osc delay oai21a2v0x1, path a2 to z 91.5 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.4 ; */ +/* intrinsic_fall : 89.2 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.7, 86.0, 120.3, 195.6, 328.5, 610.2", \ + " 79.4, 92.8, 127.1, 202.5, 335.4, 617.2", \ + " 84.1, 97.4, 131.8, 207.2, 340.2, 622.0", \ + " 89.4, 102.6, 136.8, 212.3, 345.3, 627.1", \ + " 96.0, 109.2, 143.3, 218.7, 351.7, 633.6", \ + " 102.4, 115.5, 149.5, 224.9, 357.8, 639.7", \ + " 108.9, 121.9, 155.7, 231.0, 363.8, 645.6", \ + " 114.7, 127.8, 161.5, 236.4, 369.3, 650.9", \ + " 118.7, 132.2, 166.1, 240.9, 373.5, 655.1", \ + " 118.9, 132.8, 167.4, 242.8, 375.7, 657.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.3, 129.4, 218.7, 420.7, 780.1, 1543.8", \ + " 96.5, 129.5, 218.7, 420.7, 780.1, 1543.8", \ + " 96.8, 129.7, 218.8, 420.7, 780.1, 1543.8", \ + " 97.8, 130.5, 219.0, 420.7, 780.1, 1543.8", \ + " 100.0, 132.1, 220.0, 421.0, 780.2, 1543.8", \ + " 102.8, 134.6, 221.4, 421.6, 780.5, 1543.8", \ + " 107.0, 138.0, 223.9, 422.7, 780.9, 1544.1", \ + " 113.3, 143.7, 227.9, 424.9, 781.9, 1544.5", \ + " 122.5, 152.5, 235.2, 429.4, 784.4, 1545.6", \ + " 135.6, 165.2, 246.9, 438.6, 790.4, 1548.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.9, 78.1, 102.4, 154.1, 244.6, 436.4", \ + " 75.7, 85.9, 110.2, 162.0, 252.6, 444.3", \ + " 81.9, 92.0, 116.4, 168.2, 258.8, 450.7", \ + " 89.7, 99.8, 124.3, 176.2, 266.9, 458.7", \ + " 101.4, 111.7, 136.3, 188.3, 279.0, 470.9", \ + " 115.1, 125.6, 150.5, 202.7, 293.5, 485.5", \ + " 132.7, 143.4, 168.7, 221.1, 312.0, 504.0", \ + " 154.9, 166.1, 191.9, 244.5, 335.5, 527.5", \ + " 184.0, 195.9, 222.5, 275.6, 366.6, 558.8", \ + " 222.5, 235.4, 263.4, 317.6, 408.9, 601.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.8, 67.5, 124.5, 255.3, 489.7, 989.1", \ + " 46.9, 67.5, 124.5, 255.3, 489.7, 989.1", \ + " 47.1, 67.7, 124.6, 255.3, 489.7, 989.2", \ + " 47.7, 68.2, 124.8, 255.4, 489.7, 989.2", \ + " 50.3, 70.1, 125.9, 255.8, 489.8, 989.2", \ + " 53.6, 73.1, 127.9, 256.9, 490.3, 989.2", \ + " 58.1, 76.9, 130.7, 258.4, 491.0, 989.5", \ + " 64.5, 82.7, 134.8, 260.9, 492.1, 989.9", \ + " 73.8, 91.5, 141.8, 264.9, 494.3, 990.6", \ + " 87.3, 104.5, 153.1, 272.5, 498.7, 992.5" ); }} +timing() { /* ring osc delay oai21a2v0x1, path b to z 43.8 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.2 ; */ +/* intrinsic_fall : 38.6 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 41.2, 73.5, 145.0, 271.3, 539.3", \ + " 36.4, 48.6, 80.9, 152.6, 279.1, 547.3", \ + " 42.8, 54.9, 86.8, 158.5, 285.0, 553.3", \ + " 49.7, 63.7, 95.2, 166.5, 293.0, 561.2", \ + " 59.9, 76.4, 110.5, 181.0, 307.2, 575.3", \ + " 72.8, 91.7, 131.8, 202.6, 328.0, 595.7", \ + " 90.0, 111.7, 158.2, 236.2, 360.2, 626.8", \ + " 112.8, 137.8, 191.0, 282.5, 408.8, 673.6", \ + " 143.7, 172.8, 234.1, 340.0, 483.5, 745.4", \ + " 186.6, 220.9, 292.1, 413.9, 582.4, 857.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 91.4, 181.2, 383.4, 742.8, 1506.6", \ + " 63.1, 94.5, 181.7, 383.4, 742.8, 1506.6", \ + " 69.9, 100.1, 184.9, 383.4, 742.8, 1506.6", \ + " 80.0, 108.9, 191.2, 385.9, 742.8, 1506.6", \ + " 99.8, 126.4, 205.1, 394.5, 744.8, 1506.6", \ + " 122.9, 153.8, 228.4, 411.5, 754.3, 1506.8", \ + " 153.5, 189.8, 266.9, 442.6, 776.2, 1514.9", \ + " 195.6, 236.1, 325.5, 494.9, 817.0, 1539.6", \ + " 255.8, 300.4, 401.7, 579.9, 889.4, 1591.6", \ + " 343.2, 392.6, 505.4, 710.0, 1012.3, 1689.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.9, 30.6, 53.5, 104.4, 194.7, 386.2", \ + " 28.0, 36.8, 59.7, 110.8, 201.1, 392.7", \ + " 30.7, 41.5, 64.6, 115.6, 206.0, 397.6", \ + " 33.0, 45.7, 71.2, 122.1, 212.5, 404.1", \ + " 35.0, 50.3, 81.3, 133.7, 223.9, 415.5", \ + " 35.6, 53.6, 90.7, 150.6, 240.5, 431.9", \ + " 34.0, 55.3, 99.1, 170.9, 265.8, 456.8", \ + " 28.6, 53.7, 105.3, 190.6, 301.7, 493.6", \ + " 17.0, 46.5, 107.5, 208.2, 341.1, 549.7", \ + " -4.7, 30.0, 102.1, 221.2, 379.2, 627.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.2, 65.3, 126.6, 264.1, 508.5, 1027.7", \ + " 49.6, 70.6, 128.7, 263.9, 508.4, 1027.7", \ + " 57.4, 77.4, 133.5, 265.9, 508.4, 1027.7", \ + " 69.1, 87.8, 142.0, 270.9, 509.4, 1027.6", \ + " 83.1, 107.2, 159.3, 283.6, 516.1, 1027.7", \ + " 102.8, 128.9, 186.4, 306.3, 531.8, 1033.1", \ + " 131.8, 160.1, 224.7, 345.0, 562.7, 1051.1", \ + " 172.4, 203.8, 274.2, 405.0, 616.4, 1089.4", \ + " 230.2, 265.9, 343.7, 488.1, 703.9, 1161.6", \ + " 313.8, 354.8, 443.0, 601.7, 838.7, 1287.8" ); }} +timing() { /* ring osc delay oai21a2v0x1, path b to z 39.8 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.2 ; */ +/* intrinsic_fall : 38.6 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 40.8, 73.1, 144.6, 270.9, 539.0", \ + " 36.1, 48.2, 80.4, 152.1, 278.7, 546.9", \ + " 42.6, 54.6, 86.4, 158.0, 284.5, 552.8", \ + " 49.6, 63.5, 94.8, 166.0, 292.5, 560.7", \ + " 60.1, 76.5, 110.4, 180.7, 306.8, 574.8", \ + " 73.4, 92.2, 131.9, 202.5, 327.7, 595.3", \ + " 91.3, 112.8, 158.8, 236.4, 360.1, 626.6", \ + " 115.3, 139.8, 192.5, 283.3, 409.2, 673.5", \ + " 148.1, 176.6, 236.9, 341.9, 484.5, 745.9", \ + " 194.1, 227.4, 297.3, 417.7, 584.9, 858.7" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 91.4, 181.2, 383.4, 742.8, 1506.6", \ + " 63.5, 94.9, 181.8, 383.4, 742.8, 1506.6", \ + " 70.3, 100.5, 185.2, 383.5, 742.8, 1506.6", \ + " 80.2, 109.2, 191.6, 386.1, 742.8, 1506.6", \ + " 99.5, 126.3, 205.4, 394.8, 744.9, 1506.6", \ + " 121.6, 152.8, 228.1, 411.7, 754.5, 1506.8", \ + " 150.7, 187.4, 265.4, 442.2, 776.2, 1515.0", \ + " 190.5, 231.4, 321.8, 493.0, 816.5, 1539.6", \ + " 247.3, 292.2, 394.7, 575.2, 887.0, 1591.0", \ + " 330.1, 379.3, 493.2, 700.3, 1006.1, 1686.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.6, 25.4, 43.4, 83.6, 154.8, 306.0", \ + " 24.7, 32.4, 50.4, 90.6, 161.9, 313.1", \ + " 27.2, 36.7, 55.7, 95.9, 167.2, 318.4", \ + " 29.1, 40.4, 62.8, 103.1, 174.3, 325.5", \ + " 30.4, 44.3, 71.9, 115.7, 186.8, 338.0", \ + " 30.1, 46.7, 80.1, 133.0, 205.0, 355.9", \ + " 27.1, 46.9, 87.0, 151.3, 232.4, 383.0", \ + " 19.8, 43.2, 91.0, 168.3, 267.0, 423.2", \ + " 5.4, 33.2, 90.0, 182.4, 301.9, 483.6", \ + " -20.5, 12.4, 80.0, 190.4, 334.1, 555.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 31.1, 48.5, 95.8, 201.9, 390.6, 791.6", \ + " 39.7, 55.3, 99.2, 202.4, 390.6, 791.6", \ + " 48.6, 63.0, 105.0, 205.4, 390.7, 791.6", \ + " 58.5, 74.6, 114.6, 211.7, 393.3, 791.6", \ + " 73.5, 92.8, 133.7, 226.5, 402.4, 793.0", \ + " 93.7, 115.2, 162.5, 251.9, 421.2, 802.0", \ + " 122.3, 146.5, 199.7, 293.9, 456.3, 824.9", \ + " 161.7, 189.5, 249.2, 356.0, 515.4, 869.7", \ + " 217.5, 249.9, 317.9, 438.2, 609.4, 950.9", \ + " 297.9, 335.7, 414.8, 550.8, 745.3, 1088.4" ); }} +timing() { /* ring osc delay oai21a2v0x1, path b to z 56.0 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 48.2 ; */ +/* intrinsic_fall : 38.6 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 42.7, 75.5, 147.9, 275.7, 546.1", \ + " 37.7, 50.1, 82.9, 155.6, 283.6, 554.1", \ + " 44.4, 56.4, 88.8, 161.4, 289.5, 560.1", \ + " 51.7, 65.4, 97.2, 169.4, 297.4, 568.1", \ + " 62.6, 78.7, 112.5, 184.0, 311.6, 582.1", \ + " 76.2, 94.8, 134.3, 205.6, 332.5, 602.5", \ + " 94.6, 115.7, 161.6, 239.2, 364.6, 633.7", \ + " 119.1, 143.2, 195.6, 286.5, 413.3, 680.4", \ + " 153.0, 180.4, 240.3, 345.5, 488.4, 752.3", \ + " 200.6, 232.0, 300.9, 421.4, 589.1, 864.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.1, 137.6, 225.8, 426.7, 785.4, 1548.7", \ + " 110.4, 140.7, 226.2, 426.7, 785.4, 1548.7", \ + " 117.0, 146.2, 229.4, 426.7, 785.4, 1548.7", \ + " 126.8, 154.9, 235.7, 429.2, 785.4, 1548.7", \ + " 144.9, 172.0, 249.6, 437.7, 787.3, 1548.7", \ + " 172.4, 198.2, 272.7, 454.7, 796.8, 1548.9", \ + " 212.2, 240.1, 310.9, 485.7, 818.7, 1557.0", \ + " 261.8, 294.3, 370.2, 537.9, 859.5, 1581.7", \ + " 329.8, 366.3, 454.7, 623.0, 931.8, 1633.7", \ + " 426.5, 466.7, 566.4, 756.5, 1054.7, 1731.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 39.6, 63.4, 115.1, 205.8, 397.7", \ + " 36.6, 45.9, 69.7, 121.4, 212.2, 404.2", \ + " 41.8, 50.9, 74.5, 126.3, 217.1, 409.0", \ + " 46.5, 57.3, 81.1, 132.8, 223.5, 415.5", \ + " 51.9, 64.8, 92.7, 144.4, 235.0, 426.9", \ + " 56.2, 71.5, 104.7, 161.2, 251.6, 443.3", \ + " 58.9, 76.8, 116.3, 183.8, 276.9, 468.2", \ + " 58.5, 79.6, 125.9, 206.2, 313.7, 505.1", \ + " 52.9, 77.6, 132.1, 227.0, 355.5, 561.1", \ + " 38.2, 67.3, 131.6, 243.7, 396.6, 640.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.8, 83.6, 144.5, 281.8, 526.1, 1045.3", \ + " 65.9, 87.1, 145.7, 281.6, 526.1, 1045.3", \ + " 72.3, 92.9, 149.8, 282.9, 526.0, 1045.3", \ + " 82.1, 102.1, 157.3, 287.3, 526.6, 1045.3", \ + " 100.0, 120.2, 173.5, 299.1, 532.7, 1045.2", \ + " 120.1, 144.7, 199.3, 320.8, 547.6, 1050.2", \ + " 149.3, 176.4, 239.0, 358.5, 577.6, 1067.5", \ + " 190.5, 220.5, 289.4, 417.5, 630.2, 1105.0", \ + " 249.4, 283.3, 359.5, 502.1, 717.0, 1176.2", \ + " 334.0, 373.3, 459.4, 616.8, 851.9, 1301.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 48.2 ; */ +/* intrinsic_fall : 38.6 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 42.7, 75.5, 147.9, 275.7, 546.1", \ + " 37.7, 50.1, 82.9, 155.6, 283.6, 554.1", \ + " 44.4, 56.4, 88.8, 161.4, 289.5, 560.1", \ + " 51.7, 65.4, 97.2, 169.4, 297.4, 568.1", \ + " 62.6, 78.7, 112.5, 184.0, 311.6, 582.1", \ + " 76.2, 94.8, 134.3, 205.6, 332.5, 602.5", \ + " 94.6, 115.7, 161.6, 239.2, 364.6, 633.7", \ + " 119.1, 143.2, 195.6, 286.5, 413.3, 680.4", \ + " 153.0, 180.4, 240.3, 345.5, 488.4, 752.3", \ + " 200.6, 232.0, 300.9, 421.4, 589.1, 864.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.1, 137.6, 225.8, 426.7, 785.4, 1548.7", \ + " 110.4, 140.7, 226.2, 426.7, 785.4, 1548.7", \ + " 117.0, 146.2, 229.4, 426.7, 785.4, 1548.7", \ + " 126.8, 154.9, 235.7, 429.2, 785.4, 1548.7", \ + " 144.9, 172.0, 249.6, 437.7, 787.3, 1548.7", \ + " 172.4, 198.2, 272.7, 454.7, 796.8, 1548.9", \ + " 212.2, 240.1, 310.9, 485.7, 818.7, 1557.0", \ + " 261.8, 294.3, 370.2, 537.9, 859.5, 1581.7", \ + " 329.8, 366.3, 454.7, 623.0, 931.8, 1633.7", \ + " 426.5, 466.7, 566.4, 756.5, 1054.7, 1731.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 39.6, 63.4, 115.1, 205.8, 397.7", \ + " 36.6, 45.9, 69.7, 121.4, 212.2, 404.2", \ + " 41.8, 50.9, 74.5, 126.3, 217.1, 409.0", \ + " 46.5, 57.3, 81.1, 132.8, 223.5, 415.5", \ + " 51.9, 64.8, 92.7, 144.4, 235.0, 426.9", \ + " 56.2, 71.5, 104.7, 161.2, 251.6, 443.3", \ + " 58.9, 76.8, 116.3, 183.8, 276.9, 468.2", \ + " 58.5, 79.6, 125.9, 206.2, 313.7, 505.1", \ + " 52.9, 77.6, 132.1, 227.0, 355.5, 561.1", \ + " 38.2, 67.3, 131.6, 243.7, 396.6, 640.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.8, 83.6, 144.5, 281.8, 526.1, 1045.3", \ + " 65.9, 87.1, 145.7, 281.6, 526.1, 1045.3", \ + " 72.3, 92.9, 149.8, 282.9, 526.0, 1045.3", \ + " 82.1, 102.1, 157.3, 287.3, 526.6, 1045.3", \ + " 100.0, 120.2, 173.5, 299.1, 532.7, 1045.2", \ + " 120.1, 144.7, 199.3, 320.8, 547.6, 1050.2", \ + " 149.3, 176.4, 239.0, 358.5, 577.6, 1067.5", \ + " 190.5, 220.5, 289.4, 417.5, 630.2, 1105.0", \ + " 249.4, 283.3, 359.5, 502.1, 717.0, 1176.2", \ + " 334.0, 373.3, 459.4, 616.8, 851.9, 1301.5" ); }} +} +} +cell(oai21a2bv0x05) { /* 2008-01-06:07h50 */ +area : 9 ; /* tracks */ +cell_leakage_power : 728 ; /* oai21a2bv0x05 */ +cell_footprint : oai21a2b ; +pin(a1) { /* oai21a2bv0x05 FO4 effort 1.91 logical effort 2.00 */ +direction : input ; +capacitance : 2.72 ; +rise_capacitance : 2.71 ; +fall_capacitance : 2.73 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21a2bv0x05 */ +} +pin(a2) { /* oai21a2bv0x05 FO4 effort 2.23 */ +direction : input ; +capacitance : 2.39 ; +rise_capacitance : 2.44 ; +fall_capacitance : 2.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21a2bv0x05 */ +internal_power(a2) { /* oai21a2bv0x05 7.50 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.74, 3.65, 3.67, 3.75, 3.96, 4.33, 5.00, 6.09, 7.87, 10.71" ); }} +} +pin(b) { /* oai21a2bv0x05 FO4 effort 1.88 */ +direction : input ; +capacitance : 2.20 ; +rise_capacitance : 2.24 ; +fall_capacitance : 2.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oai21a2bv0x05 */ +internal_power(b) { /* oai21a2bv0x05 6.44 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.16, 3.10, 3.13, 3.22, 3.44, 3.82, 4.48, 5.53, 7.21, 9.85" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1'*a2)+b)" ; +internal_power(a1_z_n) { /* oai21a2bv0x05 9.37 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 4.81, 4.82, 4.83, 4.83, 4.83", \ + " 4.69, 4.71, 4.74, 4.76, 4.76", \ + " 4.65, 4.67, 4.71, 4.74, 4.74", \ + " 4.63, 4.65, 4.68, 4.71, 4.73", \ + " 4.66, 4.67, 4.68, 4.71, 4.72", \ + " 4.84, 4.81, 4.77, 4.75, 4.74", \ + " 5.28, 5.18, 5.05, 4.93, 4.85", \ + " 6.15, 5.97, 5.67, 5.36, 5.13", \ + " 7.71, 7.43, 6.90, 6.28, 5.78", \ + " 10.29, 9.91, 9.13, 8.08, 7.13" ); }} +internal_power(a2_z_p) { /* oai21a2bv0x05 15.76 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 4.01, 4.11, 4.22, 4.28, 4.30", \ + " 3.99, 4.08, 4.19, 4.26, 4.29", \ + " 3.96, 4.05, 4.16, 4.25, 4.28", \ + " 3.93, 4.01, 4.13, 4.22, 4.27", \ + " 3.91, 3.98, 4.09, 4.20, 4.25", \ + " 3.91, 3.96, 4.06, 4.17, 4.23", \ + " 3.92, 3.96, 4.04, 4.14, 4.21", \ + " 3.96, 3.98, 4.03, 4.11, 4.18", \ + " 4.06, 4.04, 4.05, 4.10, 4.16", \ + " 4.25, 4.18, 4.12, 4.13, 4.16" ); }} +internal_power(b_z_p) { /* oai21a2bv0x05 11.60 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.53, 2.59, 2.65, 2.68, 2.69", \ + " 2.49, 2.55, 2.62, 2.66, 2.68", \ + " 2.47, 2.52, 2.60, 2.65, 2.67", \ + " 2.46, 2.50, 2.58, 2.63, 2.66", \ + " 2.45, 2.48, 2.55, 2.61, 2.64", \ + " 2.45, 2.47, 2.52, 2.58, 2.62", \ + " 2.46, 2.47, 2.50, 2.56, 2.60", \ + " 2.50, 2.48, 2.49, 2.53, 2.57", \ + " 2.58, 2.54, 2.51, 2.52, 2.55", \ + " 2.72, 2.64, 2.56, 2.53, 2.54" ); }} +timing() { /* ring osc delay oai21a2bv0x05, path a1 to z 73.3 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.2 ; */ +/* intrinsic_fall : 56.6 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.8, 72.2, 109.3, 191.5, 336.7, 644.8", \ + " 64.7, 79.1, 116.5, 198.8, 344.1, 652.2", \ + " 69.3, 83.8, 121.2, 203.6, 349.0, 657.1", \ + " 75.4, 89.9, 127.3, 209.7, 355.1, 663.4", \ + " 84.6, 100.4, 137.9, 220.3, 365.8, 674.0", \ + " 95.4, 112.8, 153.4, 235.7, 381.1, 689.2", \ + " 110.2, 129.3, 173.9, 259.6, 404.5, 712.3", \ + " 130.5, 151.5, 200.4, 293.8, 439.8, 746.8", \ + " 158.8, 182.4, 236.5, 338.6, 494.1, 799.7", \ + " 197.8, 224.9, 286.0, 398.8, 568.7, 882.1" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 111.4, 149.7, 253.1, 487.4, 905.1, 1792.7", \ + " 112.0, 150.0, 253.2, 487.5, 905.1, 1792.7", \ + " 114.9, 152.3, 254.1, 487.6, 905.1, 1792.7", \ + " 119.9, 156.7, 257.4, 488.6, 905.1, 1792.7", \ + " 131.0, 166.8, 265.6, 493.9, 906.5, 1792.7", \ + " 151.6, 185.3, 280.9, 505.0, 912.9, 1793.2", \ + " 184.2, 219.2, 309.3, 526.8, 927.9, 1799.5", \ + " 230.1, 266.4, 358.1, 566.2, 957.5, 1817.1", \ + " 296.8, 334.6, 431.0, 634.4, 1012.6, 1855.0", \ + " 391.1, 431.9, 534.0, 746.5, 1110.1, 1929.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.1, 57.0, 84.5, 144.4, 249.6, 472.3", \ + " 51.0, 62.0, 89.7, 149.8, 255.1, 477.8", \ + " 54.1, 65.1, 92.9, 153.1, 258.5, 481.3", \ + " 57.5, 69.1, 97.1, 157.3, 262.8, 485.6", \ + " 61.7, 74.5, 104.3, 164.8, 270.4, 493.3", \ + " 65.9, 80.2, 113.0, 175.8, 281.4, 504.4", \ + " 69.5, 86.0, 122.9, 191.4, 298.3, 521.2", \ + " 71.5, 90.7, 133.1, 209.5, 323.4, 546.3", \ + " 70.6, 93.0, 142.5, 229.6, 355.2, 584.6", \ + " 64.9, 90.9, 148.8, 250.3, 392.0, 641.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 75.6, 102.3, 174.1, 336.7, 626.4, 1243.0", \ + " 76.8, 103.1, 174.3, 336.7, 626.4, 1243.0", \ + " 79.8, 105.7, 176.1, 337.1, 626.5, 1243.0", \ + " 85.3, 110.5, 179.8, 339.4, 626.9, 1243.0", \ + " 98.6, 122.3, 189.1, 345.7, 630.4, 1243.1", \ + " 118.3, 143.3, 207.1, 358.9, 638.9, 1246.5", \ + " 147.8, 174.1, 240.1, 384.9, 657.5, 1256.7", \ + " 191.4, 219.2, 288.2, 431.4, 693.5, 1279.9", \ + " 256.6, 286.0, 358.4, 507.4, 759.4, 1327.4", \ + " 352.1, 384.2, 461.6, 618.0, 873.4, 1417.8" ); }} +timing() { /* ring osc delay oai21a2bv0x05, path a2 to z 99.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.1 ; */ +/* intrinsic_fall : 97.2 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.1, 92.9, 130.5, 213.0, 358.3, 666.5", \ + " 84.9, 99.6, 137.3, 219.8, 365.3, 673.5", \ + " 89.7, 104.4, 142.1, 224.7, 370.2, 678.4", \ + " 95.1, 109.7, 147.4, 230.0, 375.6, 683.8", \ + " 102.1, 116.7, 154.2, 236.8, 382.4, 690.7", \ + " 108.9, 123.4, 160.9, 243.4, 388.9, 697.2", \ + " 115.8, 130.2, 167.4, 249.9, 395.4, 703.6", \ + " 122.2, 136.6, 173.7, 255.8, 401.3, 709.4", \ + " 126.8, 141.6, 178.8, 260.9, 406.1, 714.1", \ + " 127.6, 143.0, 180.8, 263.5, 408.9, 716.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.3, 141.2, 238.5, 459.1, 852.1, 1687.2", \ + " 105.5, 141.3, 238.5, 459.1, 852.1, 1687.2", \ + " 105.7, 141.4, 238.5, 459.1, 852.1, 1687.2", \ + " 106.6, 142.0, 238.7, 459.1, 852.1, 1687.2", \ + " 108.8, 143.7, 239.6, 459.4, 852.1, 1687.2", \ + " 111.8, 146.1, 241.0, 459.9, 852.4, 1687.2", \ + " 115.8, 149.5, 243.3, 460.9, 852.8, 1687.5", \ + " 122.3, 155.2, 247.2, 462.9, 853.6, 1687.9", \ + " 131.8, 164.1, 254.4, 467.1, 855.8, 1688.7", \ + " 145.2, 177.2, 266.2, 475.9, 861.3, 1691.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.7, 87.7, 116.2, 176.3, 281.5, 504.1", \ + " 83.5, 95.5, 123.9, 184.1, 289.3, 511.9", \ + " 89.7, 101.6, 130.1, 190.4, 295.6, 518.3", \ + " 97.5, 109.4, 138.0, 198.3, 303.7, 526.4", \ + " 109.3, 121.4, 150.1, 210.6, 316.0, 538.8", \ + " 123.2, 135.4, 164.5, 225.1, 330.7, 553.5", \ + " 140.9, 153.4, 182.7, 243.7, 349.3, 572.3", \ + " 163.3, 176.3, 206.1, 267.3, 373.1, 596.1", \ + " 192.8, 206.3, 237.0, 298.6, 404.4, 627.6", \ + " 231.9, 246.3, 278.3, 340.9, 446.9, 670.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.5, 80.2, 147.0, 299.2, 571.4, 1151.2", \ + " 55.6, 80.2, 147.0, 299.2, 571.4, 1151.2", \ + " 55.7, 80.3, 147.1, 299.2, 571.4, 1151.2", \ + " 56.2, 80.7, 147.3, 299.3, 571.4, 1151.2", \ + " 58.2, 82.2, 148.1, 299.6, 571.5, 1151.2", \ + " 61.3, 84.8, 149.8, 300.4, 571.8, 1151.2", \ + " 65.3, 88.3, 152.3, 301.8, 572.4, 1151.4", \ + " 71.2, 93.5, 155.9, 303.9, 573.4, 1151.8", \ + " 80.1, 101.7, 162.1, 307.5, 575.3, 1152.4", \ + " 93.2, 114.2, 172.7, 314.2, 579.1, 1153.9" ); }} +timing() { /* ring osc delay oai21a2bv0x05, path b to z 77.8 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 83.1 ; */ +/* rise_resistance : 7.48 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 72.0, 110.0, 193.7, 341.7, 655.8", \ + " 63.8, 78.6, 116.7, 200.6, 348.7, 662.9", \ + " 68.2, 83.0, 121.1, 205.0, 353.2, 667.4", \ + " 72.7, 87.4, 125.4, 209.4, 357.7, 671.9", \ + " 77.9, 92.6, 130.6, 214.6, 362.9, 677.2", \ + " 82.7, 97.3, 135.3, 219.3, 367.6, 681.9", \ + " 86.9, 101.6, 139.3, 223.4, 371.7, 686.0", \ + " 89.3, 104.4, 142.2, 226.1, 374.5, 688.8", \ + " 88.2, 104.0, 142.5, 226.8, 375.1, 689.5", \ + " 80.9, 97.7, 137.4, 223.0, 372.2, 686.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.5, 106.7, 207.5, 435.6, 841.7, 1704.9", \ + " 69.6, 106.8, 207.5, 435.6, 841.7, 1704.9", \ + " 70.2, 107.1, 207.6, 435.6, 841.7, 1704.9", \ + " 71.6, 108.0, 207.9, 435.7, 841.7, 1704.9", \ + " 74.0, 109.8, 208.8, 436.0, 841.8, 1704.9", \ + " 76.9, 112.1, 210.1, 436.6, 842.1, 1704.9", \ + " 81.4, 115.7, 212.5, 437.6, 842.6, 1705.2", \ + " 88.1, 121.7, 216.5, 439.8, 843.6, 1705.7", \ + " 97.6, 130.7, 224.0, 444.5, 846.4, 1706.9", \ + " 110.8, 143.7, 236.4, 454.1, 853.1, 1710.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 70.9, 98.3, 157.7, 262.5, 485.0", \ + " 67.4, 78.7, 106.1, 165.6, 270.5, 492.9", \ + " 73.6, 84.9, 112.4, 171.9, 276.8, 499.2", \ + " 81.4, 92.8, 120.3, 179.8, 284.7, 507.2", \ + " 93.0, 104.8, 132.6, 192.1, 297.0, 519.4", \ + " 106.8, 119.0, 147.4, 207.1, 311.9, 534.4", \ + " 124.9, 137.6, 166.5, 226.6, 331.5, 553.8", \ + " 148.3, 161.8, 191.6, 251.8, 357.1, 579.3", \ + " 179.4, 194.0, 225.4, 286.5, 391.7, 614.3", \ + " 221.3, 237.4, 271.4, 334.6, 440.4, 663.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.4, 81.2, 147.6, 299.2, 571.3, 1151.2", \ + " 56.6, 81.3, 147.6, 299.2, 571.3, 1151.2", \ + " 57.1, 81.7, 147.8, 299.2, 571.3, 1151.2", \ + " 58.8, 82.8, 148.3, 299.4, 571.3, 1151.2", \ + " 62.3, 85.9, 150.3, 300.2, 571.4, 1151.2", \ + " 66.1, 89.7, 153.2, 301.8, 572.1, 1151.2", \ + " 71.2, 94.3, 157.0, 304.1, 573.3, 1151.7", \ + " 78.1, 101.2, 162.4, 307.5, 575.0, 1152.5", \ + " 87.9, 111.1, 171.2, 313.3, 578.2, 1153.7", \ + " 101.2, 125.1, 185.0, 324.2, 585.2, 1157.0" ); }} +timing() { /* ring osc delay oai21a2bv0x05, path b to z 73.7 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 83.1 ; */ +/* rise_resistance : 7.48 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 71.6, 109.6, 193.3, 341.4, 655.5", \ + " 63.5, 78.2, 116.3, 200.2, 348.3, 662.5", \ + " 67.9, 82.6, 120.6, 204.6, 352.8, 667.0", \ + " 72.4, 87.0, 125.0, 209.0, 357.3, 671.5", \ + " 77.7, 92.3, 130.2, 214.2, 362.5, 676.8", \ + " 82.5, 97.1, 134.8, 218.8, 367.1, 681.5", \ + " 86.7, 101.4, 139.0, 222.9, 371.2, 685.5", \ + " 89.2, 104.2, 141.9, 225.7, 374.1, 688.3", \ + " 88.2, 103.9, 142.3, 226.4, 374.7, 689.0", \ + " 81.0, 97.8, 137.3, 222.7, 371.8, 686.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.5, 106.7, 207.5, 435.6, 841.7, 1704.9", \ + " 69.8, 106.9, 207.5, 435.6, 841.7, 1704.9", \ + " 70.3, 107.2, 207.6, 435.6, 841.7, 1704.9", \ + " 71.8, 108.2, 208.0, 435.7, 841.7, 1704.9", \ + " 74.3, 110.0, 208.9, 436.0, 841.8, 1704.9", \ + " 77.1, 112.3, 210.2, 436.6, 842.2, 1704.9", \ + " 81.6, 116.0, 212.6, 437.6, 842.6, 1705.2", \ + " 88.2, 121.9, 216.7, 439.8, 843.7, 1705.7", \ + " 97.5, 130.8, 224.2, 444.6, 846.5, 1706.9", \ + " 110.3, 143.5, 236.4, 454.2, 853.2, 1710.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 65.4, 87.6, 134.7, 217.5, 393.0", \ + " 63.7, 73.2, 95.5, 142.6, 225.4, 401.0", \ + " 70.0, 79.5, 101.8, 149.0, 231.8, 407.4", \ + " 77.9, 87.5, 109.9, 157.0, 239.9, 415.4", \ + " 89.4, 99.5, 122.4, 169.6, 252.4, 428.0", \ + " 103.3, 113.8, 137.3, 185.0, 267.7, 443.3", \ + " 121.3, 132.4, 156.7, 204.8, 287.8, 463.2", \ + " 144.5, 156.5, 181.9, 230.5, 313.8, 489.3", \ + " 175.3, 188.5, 215.8, 265.8, 349.1, 525.1", \ + " 216.9, 231.5, 261.6, 314.3, 398.9, 574.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.5, 64.6, 115.3, 231.5, 441.1, 889.3", \ + " 45.8, 64.8, 115.4, 231.6, 441.2, 889.3", \ + " 46.3, 65.1, 115.6, 231.6, 441.2, 889.3", \ + " 48.2, 66.6, 116.3, 231.9, 441.2, 889.3", \ + " 52.1, 70.1, 118.8, 233.1, 441.5, 889.3", \ + " 56.1, 74.1, 122.3, 235.2, 442.6, 889.4", \ + " 61.6, 79.5, 126.7, 238.3, 444.3, 890.3", \ + " 69.0, 86.9, 133.1, 242.6, 446.8, 891.3", \ + " 79.2, 97.4, 143.0, 249.8, 451.3, 893.3", \ + " 93.1, 112.0, 157.9, 262.6, 460.0, 898.0" ); }} +timing() { /* ring osc delay oai21a2bv0x05, path b to z 88.5 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 83.1 ; */ +/* rise_resistance : 7.48 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 73.8, 112.3, 197.2, 347.1, 663.8", \ + " 65.4, 80.5, 119.1, 204.2, 354.1, 670.9", \ + " 69.9, 84.8, 123.4, 208.6, 358.6, 675.4", \ + " 74.4, 89.2, 127.8, 213.0, 363.0, 680.0", \ + " 79.6, 94.5, 133.0, 218.2, 368.3, 685.3", \ + " 84.5, 99.2, 137.7, 222.9, 373.0, 690.0", \ + " 88.8, 103.5, 141.7, 227.0, 377.0, 694.0", \ + " 91.4, 106.4, 144.7, 229.7, 379.9, 696.8", \ + " 90.6, 106.2, 145.0, 230.4, 380.5, 697.5", \ + " 83.7, 100.3, 140.1, 226.8, 377.6, 694.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.4, 154.7, 254.1, 481.2, 886.7, 1749.4", \ + " 118.6, 154.8, 254.1, 481.2, 886.7, 1749.4", \ + " 119.1, 155.1, 254.2, 481.2, 886.7, 1749.4", \ + " 120.5, 156.0, 254.6, 481.2, 886.7, 1749.4", \ + " 122.8, 157.7, 255.5, 481.6, 886.7, 1749.4", \ + " 126.0, 160.1, 256.8, 482.1, 887.1, 1749.4", \ + " 130.3, 163.7, 259.1, 483.1, 887.6, 1749.7", \ + " 137.1, 169.7, 263.2, 485.3, 888.6, 1750.2", \ + " 147.3, 179.2, 270.7, 490.1, 891.4, 1751.4", \ + " 161.7, 193.1, 283.5, 499.6, 898.0, 1755.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 81.6, 109.3, 169.3, 274.6, 497.3", \ + " 78.3, 89.4, 117.2, 177.2, 282.5, 505.3", \ + " 84.6, 95.7, 123.5, 183.5, 288.8, 511.6", \ + " 92.5, 103.6, 131.4, 191.4, 296.8, 519.5", \ + " 104.7, 115.9, 143.7, 203.7, 309.0, 531.8", \ + " 119.0, 130.5, 158.7, 218.7, 324.0, 546.7", \ + " 137.8, 149.5, 177.9, 238.3, 343.5, 566.2", \ + " 162.1, 174.3, 203.1, 263.5, 369.1, 591.7", \ + " 194.6, 207.5, 237.3, 298.3, 403.7, 626.6", \ + " 238.5, 252.4, 284.1, 346.6, 452.6, 675.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.7, 99.4, 165.9, 317.8, 590.1, 1170.2", \ + " 74.8, 99.5, 166.0, 317.9, 590.1, 1170.2", \ + " 75.1, 99.6, 166.1, 317.9, 590.1, 1170.2", \ + " 76.1, 100.4, 166.4, 318.0, 590.1, 1170.2", \ + " 79.0, 102.9, 168.1, 318.6, 590.2, 1170.2", \ + " 82.4, 106.2, 170.6, 320.1, 590.9, 1170.2", \ + " 86.8, 110.2, 174.0, 322.1, 591.9, 1170.7", \ + " 93.1, 116.2, 178.7, 325.2, 593.5, 1171.4", \ + " 102.2, 125.4, 186.8, 330.6, 596.5, 1172.6", \ + " 115.2, 138.9, 199.8, 340.9, 603.1, 1175.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 83.1 ; */ +/* rise_resistance : 7.48 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 73.8, 112.3, 197.2, 347.1, 663.8", \ + " 65.4, 80.5, 119.1, 204.2, 354.1, 670.9", \ + " 69.9, 84.8, 123.4, 208.6, 358.6, 675.4", \ + " 74.4, 89.2, 127.8, 213.0, 363.0, 680.0", \ + " 79.6, 94.5, 133.0, 218.2, 368.3, 685.3", \ + " 84.5, 99.2, 137.7, 222.9, 373.0, 690.0", \ + " 88.8, 103.5, 141.7, 227.0, 377.0, 694.0", \ + " 91.4, 106.4, 144.7, 229.7, 379.9, 696.8", \ + " 90.6, 106.2, 145.0, 230.4, 380.5, 697.5", \ + " 83.7, 100.3, 140.1, 226.8, 377.6, 694.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.4, 154.7, 254.1, 481.2, 886.7, 1749.4", \ + " 118.6, 154.8, 254.1, 481.2, 886.7, 1749.4", \ + " 119.1, 155.1, 254.2, 481.2, 886.7, 1749.4", \ + " 120.5, 156.0, 254.6, 481.2, 886.7, 1749.4", \ + " 122.8, 157.7, 255.5, 481.6, 886.7, 1749.4", \ + " 126.0, 160.1, 256.8, 482.1, 887.1, 1749.4", \ + " 130.3, 163.7, 259.1, 483.1, 887.6, 1749.7", \ + " 137.1, 169.7, 263.2, 485.3, 888.6, 1750.2", \ + " 147.3, 179.2, 270.7, 490.1, 891.4, 1751.4", \ + " 161.7, 193.1, 283.5, 499.6, 898.0, 1755.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 81.6, 109.3, 169.3, 274.6, 497.3", \ + " 78.3, 89.4, 117.2, 177.2, 282.5, 505.3", \ + " 84.6, 95.7, 123.5, 183.5, 288.8, 511.6", \ + " 92.5, 103.6, 131.4, 191.4, 296.8, 519.5", \ + " 104.7, 115.9, 143.7, 203.7, 309.0, 531.8", \ + " 119.0, 130.5, 158.7, 218.7, 324.0, 546.7", \ + " 137.8, 149.5, 177.9, 238.3, 343.5, 566.2", \ + " 162.1, 174.3, 203.1, 263.5, 369.1, 591.7", \ + " 194.6, 207.5, 237.3, 298.3, 403.7, 626.6", \ + " 238.5, 252.4, 284.1, 346.6, 452.6, 675.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.7, 99.4, 165.9, 317.8, 590.1, 1170.2", \ + " 74.8, 99.5, 166.0, 317.9, 590.1, 1170.2", \ + " 75.1, 99.6, 166.1, 317.9, 590.1, 1170.2", \ + " 76.1, 100.4, 166.4, 318.0, 590.1, 1170.2", \ + " 79.0, 102.9, 168.1, 318.6, 590.2, 1170.2", \ + " 82.4, 106.2, 170.6, 320.1, 590.9, 1170.2", \ + " 86.8, 110.2, 174.0, 322.1, 591.9, 1170.7", \ + " 93.1, 116.2, 178.7, 325.2, 593.5, 1171.4", \ + " 102.2, 125.4, 186.8, 330.6, 596.5, 1172.6", \ + " 115.2, 138.9, 199.8, 340.9, 603.1, 1175.8" ); }} +} +} +cell(oai21bv0x05) { /* 2008-01-06:07h50 */ +area : 8 ; /* tracks */ +cell_leakage_power : 543 ; /* oai21bv0x05 */ +cell_footprint : oai21b ; +pin(a1) { /* oai21bv0x05 FO4 effort 1.97 logical effort 2.13 */ +direction : input ; +capacitance : 2.90 ; +rise_capacitance : 2.89 ; +fall_capacitance : 2.90 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai21bv0x05 */ +} +pin(a2) { /* oai21bv0x05 FO4 effort 1.75 logical effort 1.96 */ +direction : input ; +capacitance : 2.67 ; +rise_capacitance : 2.46 ; +fall_capacitance : 2.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai21bv0x05 */ +} +pin(b) { /* oai21bv0x05 FO4 effort 1.82 */ +direction : input ; +capacitance : 2.04 ; +rise_capacitance : 2.08 ; +fall_capacitance : 2.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oai21bv0x05 */ +internal_power(b) { /* oai21bv0x05 6.49 nW/MHz */ +power(pwr_intran_x10) { +values( " 3.19, 3.13, 3.16, 3.25, 3.46, 3.84, 4.49, 5.54, 7.21, 9.85" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 58 ; +max_fanout : 2 ; +function : "((a1+a2)*b')'" ; +internal_power(a1_z_n) { /* oai21bv0x05 9.37 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 4.83, 4.84, 4.85, 4.86, 4.85", \ + " 4.69, 4.72, 4.75, 4.77, 4.78", \ + " 4.65, 4.67, 4.72, 4.75, 4.75", \ + " 4.62, 4.64, 4.69, 4.72, 4.74", \ + " 4.65, 4.66, 4.68, 4.71, 4.72", \ + " 4.82, 4.80, 4.77, 4.76, 4.75", \ + " 5.26, 5.17, 5.04, 4.93, 4.85", \ + " 6.13, 5.96, 5.66, 5.35, 5.13", \ + " 7.69, 7.41, 6.89, 6.27, 5.78", \ + " 10.27, 9.89, 9.12, 8.07, 7.13" ); }} +internal_power(a2_z_n) { /* oai21bv0x05 7.06 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 3.56, 3.62, 3.67, 3.69, 3.70", \ + " 3.41, 3.49, 3.58, 3.65, 3.68", \ + " 3.39, 3.45, 3.55, 3.63, 3.67", \ + " 3.42, 3.45, 3.53, 3.61, 3.65", \ + " 3.56, 3.55, 3.57, 3.62, 3.66", \ + " 3.87, 3.81, 3.74, 3.71, 3.71", \ + " 4.49, 4.34, 4.13, 3.95, 3.85", \ + " 5.55, 5.29, 4.89, 4.49, 4.21", \ + " 7.30, 6.94, 6.28, 5.53, 4.96", \ + " 10.12, 9.65, 8.71, 7.49, 6.44" ); }} +internal_power(b_z_p) { /* oai21bv0x05 11.57 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 2.50, 2.55, 2.60, 2.63, 2.64", \ + " 2.46, 2.51, 2.58, 2.62, 2.63", \ + " 2.44, 2.49, 2.56, 2.61, 2.62", \ + " 2.43, 2.47, 2.54, 2.59, 2.62", \ + " 2.43, 2.46, 2.52, 2.57, 2.60", \ + " 2.43, 2.44, 2.49, 2.55, 2.58", \ + " 2.44, 2.45, 2.47, 2.52, 2.56", \ + " 2.48, 2.47, 2.47, 2.50, 2.53", \ + " 2.57, 2.52, 2.49, 2.50, 2.52", \ + " 2.71, 2.62, 2.54, 2.51, 2.51" ); }} +timing() { /* ring osc delay oai21bv0x05, path a1 to z 71.6 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.2 ; */ +/* intrinsic_fall : 57.0 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 5.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.5, 70.7, 107.8, 189.9, 335.1, 643.1", \ + " 63.5, 77.9, 115.1, 197.4, 342.7, 650.8", \ + " 68.4, 82.7, 120.0, 202.3, 347.7, 655.8", \ + " 74.7, 89.0, 126.2, 208.6, 354.0, 662.2", \ + " 84.2, 99.8, 137.1, 219.4, 364.8, 673.0", \ + " 95.2, 112.4, 152.9, 234.9, 380.1, 688.3", \ + " 110.1, 129.1, 173.5, 258.9, 403.7, 711.4", \ + " 130.6, 151.5, 200.2, 293.4, 439.1, 746.0", \ + " 159.0, 182.5, 236.4, 338.3, 493.6, 799.0", \ + " 198.0, 225.0, 286.0, 398.6, 568.3, 881.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.5, 147.0, 250.7, 485.5, 903.3, 1791.0", \ + " 109.2, 147.4, 250.9, 485.5, 903.3, 1791.0", \ + " 112.4, 149.8, 251.8, 485.5, 903.3, 1791.0", \ + " 117.7, 154.5, 255.3, 486.6, 903.3, 1791.0", \ + " 129.4, 165.0, 263.7, 492.0, 904.6, 1791.0", \ + " 150.6, 184.0, 279.3, 503.2, 911.0, 1791.4", \ + " 183.5, 218.5, 308.0, 525.2, 926.1, 1797.8", \ + " 229.6, 265.8, 357.2, 564.7, 955.8, 1815.4", \ + " 296.3, 334.1, 430.4, 633.3, 1011.1, 1853.3", \ + " 390.5, 431.3, 533.4, 745.8, 1108.9, 1927.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 57.4, 84.7, 144.5, 249.7, 472.3", \ + " 51.6, 62.4, 90.0, 149.9, 255.1, 477.9", \ + " 54.7, 65.6, 93.2, 153.3, 258.6, 481.3", \ + " 58.1, 69.6, 97.4, 157.5, 262.9, 485.7", \ + " 62.4, 75.1, 104.7, 165.0, 270.5, 493.4", \ + " 66.6, 80.8, 113.4, 176.0, 281.6, 504.5", \ + " 70.1, 86.5, 123.3, 191.7, 298.5, 521.3", \ + " 72.0, 91.2, 133.5, 209.8, 323.6, 546.4", \ + " 71.1, 93.4, 142.9, 229.9, 355.4, 584.7", \ + " 65.3, 91.3, 149.1, 250.6, 392.3, 641.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.2, 101.1, 173.3, 336.1, 626.1, 1242.8", \ + " 75.3, 101.9, 173.5, 336.2, 626.1, 1242.8", \ + " 78.3, 104.5, 175.3, 336.5, 626.2, 1242.8", \ + " 83.9, 109.3, 179.0, 338.8, 626.5, 1242.8", \ + " 97.6, 121.2, 188.3, 345.1, 630.0, 1242.9", \ + " 117.4, 142.6, 206.4, 358.3, 638.4, 1246.2", \ + " 146.9, 173.5, 239.7, 384.4, 657.1, 1256.4", \ + " 190.7, 218.6, 287.8, 431.0, 693.1, 1279.6", \ + " 256.0, 285.5, 358.1, 507.2, 759.1, 1327.1", \ + " 351.5, 383.8, 461.3, 617.8, 873.3, 1417.5" ); }} +timing() { /* ring osc delay oai21bv0x05, path a2 to z 59.4 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.8 ; */ +/* intrinsic_fall : 47.1 ; */ +/* rise_resistance : 7.29 ; */ +/* fall_resistance : 5.33 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 61.9, 99.1, 181.3, 326.6, 634.7", \ + " 52.9, 67.3, 104.7, 187.2, 332.6, 640.7", \ + " 58.2, 72.4, 109.6, 192.0, 337.4, 645.6", \ + " 66.1, 79.8, 116.6, 198.7, 344.0, 652.2", \ + " 77.5, 93.3, 129.7, 211.1, 356.0, 664.0", \ + " 91.5, 109.2, 149.5, 229.6, 373.7, 681.1", \ + " 109.9, 129.7, 175.3, 258.9, 401.4, 707.6", \ + " 134.0, 156.2, 207.3, 301.7, 443.7, 747.6", \ + " 166.6, 191.7, 249.1, 355.8, 509.6, 809.7", \ + " 211.3, 240.2, 305.4, 425.3, 601.1, 907.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 105.6, 143.4, 245.0, 474.6, 882.9, 1750.4", \ + " 108.4, 144.8, 244.9, 474.5, 882.9, 1750.4", \ + " 114.1, 149.4, 247.3, 474.5, 882.9, 1750.4", \ + " 123.2, 157.2, 252.7, 476.1, 882.8, 1750.4", \ + " 140.9, 173.5, 265.4, 483.6, 884.0, 1750.4", \ + " 168.8, 199.2, 287.4, 499.1, 892.1, 1750.4", \ + " 202.9, 240.9, 324.6, 528.4, 912.1, 1756.6", \ + " 247.2, 288.4, 382.9, 579.0, 950.4, 1778.7", \ + " 311.5, 356.3, 462.2, 662.2, 1020.2, 1826.9", \ + " 405.2, 454.6, 570.2, 792.1, 1140.5, 1919.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 48.0, 75.0, 134.3, 239.2, 461.6", \ + " 42.0, 53.0, 80.5, 140.3, 245.3, 467.9", \ + " 44.9, 56.0, 83.7, 143.6, 248.8, 471.5", \ + " 47.1, 59.5, 87.7, 147.8, 253.2, 475.9", \ + " 49.5, 63.4, 94.5, 155.2, 260.7, 483.6", \ + " 51.1, 67.1, 101.9, 165.9, 271.6, 494.6", \ + " 51.1, 70.0, 109.9, 180.7, 288.3, 511.3", \ + " 48.0, 70.5, 117.3, 197.1, 313.0, 536.2", \ + " 40.2, 66.8, 122.3, 214.7, 343.2, 574.2", \ + " 24.6, 55.8, 121.7, 230.9, 377.5, 629.7" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 53.5, 80.8, 153.3, 316.2, 606.2, 1222.7", \ + " 54.8, 81.4, 153.4, 316.2, 606.2, 1222.7", \ + " 58.2, 84.2, 154.9, 316.4, 606.2, 1222.7", \ + " 64.7, 89.4, 158.7, 318.5, 606.3, 1222.7", \ + " 79.0, 102.3, 168.2, 324.8, 609.6, 1222.7", \ + " 97.2, 123.1, 186.6, 337.9, 618.0, 1225.8", \ + " 126.2, 152.9, 220.2, 363.9, 636.4, 1235.8", \ + " 169.9, 197.6, 267.0, 410.7, 672.2, 1258.7", \ + " 235.4, 264.6, 336.4, 486.4, 738.1, 1306.0", \ + " 331.7, 362.9, 439.8, 595.4, 852.8, 1396.2" ); }} +timing() { /* ring osc delay oai21bv0x05, path b to z 76.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 7.47 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.4, 72.1, 109.9, 193.5, 341.5, 655.6", \ + " 64.1, 78.8, 116.7, 200.5, 348.6, 662.7", \ + " 68.6, 83.2, 121.1, 205.0, 353.1, 667.3", \ + " 73.2, 87.7, 125.6, 209.5, 357.7, 671.9", \ + " 78.5, 93.1, 130.9, 214.8, 363.0, 677.3", \ + " 83.3, 97.9, 135.7, 219.6, 367.9, 682.1", \ + " 87.6, 102.3, 139.9, 223.9, 372.1, 686.4", \ + " 90.0, 105.1, 142.9, 226.7, 375.1, 689.3", \ + " 89.0, 104.8, 143.3, 227.5, 375.8, 690.2", \ + " 81.7, 98.6, 138.3, 223.9, 373.1, 687.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.8, 107.0, 207.7, 435.9, 842.0, 1705.2", \ + " 70.0, 107.1, 207.7, 435.9, 842.0, 1705.2", \ + " 70.5, 107.4, 207.8, 435.9, 842.0, 1705.2", \ + " 71.9, 108.3, 208.2, 435.9, 842.0, 1705.2", \ + " 74.4, 110.1, 209.1, 436.3, 842.0, 1705.2", \ + " 77.1, 112.5, 210.5, 436.9, 842.4, 1705.2", \ + " 81.6, 116.0, 212.8, 437.9, 842.9, 1705.5", \ + " 88.1, 121.9, 216.8, 440.1, 843.9, 1706.0", \ + " 97.4, 130.8, 224.2, 444.7, 846.7, 1707.2", \ + " 110.3, 143.6, 236.4, 454.2, 853.3, 1710.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.9, 71.1, 98.4, 157.6, 262.4, 484.8", \ + " 67.7, 78.9, 106.2, 165.5, 270.3, 492.7", \ + " 73.9, 85.1, 112.5, 171.8, 276.6, 499.0", \ + " 81.8, 93.0, 120.5, 179.8, 284.6, 507.0", \ + " 93.4, 105.2, 132.8, 192.1, 296.9, 519.4", \ + " 107.3, 119.5, 147.7, 207.3, 312.0, 534.4", \ + " 125.4, 138.1, 166.9, 226.9, 331.7, 554.0", \ + " 148.9, 162.3, 192.1, 252.3, 357.4, 579.6", \ + " 180.0, 194.6, 226.0, 287.0, 392.1, 614.7", \ + " 221.9, 238.0, 272.0, 335.2, 441.0, 663.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.1, 80.7, 146.9, 298.3, 570.3, 1150.2", \ + " 56.3, 80.8, 146.9, 298.3, 570.3, 1150.2", \ + " 56.7, 81.2, 147.1, 298.4, 570.3, 1150.2", \ + " 58.4, 82.3, 147.6, 298.5, 570.4, 1150.2", \ + " 61.8, 85.3, 149.6, 299.3, 570.5, 1150.2", \ + " 65.6, 89.1, 152.5, 301.0, 571.2, 1150.2", \ + " 70.7, 93.8, 156.4, 303.3, 572.3, 1150.7", \ + " 77.5, 100.6, 161.7, 306.7, 574.1, 1151.5", \ + " 87.0, 110.3, 170.5, 312.6, 577.3, 1152.7", \ + " 100.1, 124.1, 184.2, 323.4, 584.2, 1156.0" ); }} +timing() { /* ring osc delay oai21bv0x05, path b to z 87.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 7.47 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 74.3, 112.6, 197.5, 347.3, 663.9", \ + " 66.2, 81.0, 119.5, 204.4, 354.3, 671.0", \ + " 70.7, 85.4, 123.9, 208.9, 358.9, 675.6", \ + " 75.3, 90.0, 128.3, 213.4, 363.4, 680.2", \ + " 80.6, 95.4, 133.7, 218.8, 368.8, 685.6", \ + " 85.6, 100.2, 138.5, 223.6, 373.6, 690.5", \ + " 89.9, 104.6, 142.7, 227.8, 377.8, 694.7", \ + " 92.6, 107.6, 145.7, 230.7, 380.8, 697.6", \ + " 92.0, 107.5, 146.2, 231.5, 381.6, 698.5", \ + " 85.2, 101.6, 141.4, 228.0, 378.9, 695.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.9, 154.4, 254.0, 481.3, 886.9, 1749.6", \ + " 118.1, 154.5, 254.1, 481.3, 886.9, 1749.6", \ + " 118.6, 154.8, 254.1, 481.3, 886.9, 1749.6", \ + " 120.0, 155.7, 254.5, 481.3, 886.9, 1749.6", \ + " 122.3, 157.4, 255.4, 481.7, 886.9, 1749.6", \ + " 125.5, 159.9, 256.7, 482.3, 887.3, 1749.6", \ + " 129.8, 163.5, 259.1, 483.3, 887.8, 1750.0", \ + " 136.5, 169.4, 263.1, 485.4, 888.8, 1750.5", \ + " 146.5, 178.7, 270.6, 490.2, 891.5, 1751.6", \ + " 160.8, 192.5, 283.3, 499.7, 898.1, 1755.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.2, 82.2, 109.8, 169.7, 274.8, 497.5", \ + " 79.0, 90.1, 117.7, 177.5, 282.8, 505.5", \ + " 85.3, 96.3, 123.9, 183.8, 289.1, 511.8", \ + " 93.3, 104.3, 131.9, 191.8, 297.1, 519.8", \ + " 105.5, 116.6, 144.3, 204.2, 309.4, 532.1", \ + " 119.9, 131.3, 159.4, 219.3, 324.5, 547.2", \ + " 138.7, 150.4, 178.7, 239.0, 344.1, 566.8", \ + " 163.1, 175.2, 204.0, 264.3, 369.9, 592.4", \ + " 195.6, 208.5, 238.3, 299.2, 404.6, 627.4", \ + " 239.4, 253.4, 285.1, 347.6, 453.5, 676.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.8, 98.7, 165.5, 317.5, 589.9, 1170.0", \ + " 73.9, 98.8, 165.5, 317.5, 589.9, 1170.0", \ + " 74.2, 99.0, 165.6, 317.6, 589.9, 1170.0", \ + " 75.1, 99.7, 166.0, 317.7, 589.9, 1170.0", \ + " 77.9, 102.1, 167.6, 318.3, 590.0, 1170.0", \ + " 81.4, 105.4, 170.1, 319.8, 590.6, 1170.0", \ + " 85.7, 109.4, 173.5, 321.9, 591.7, 1170.5", \ + " 91.9, 115.4, 178.2, 324.9, 593.3, 1171.3", \ + " 100.9, 124.4, 186.2, 330.3, 596.3, 1172.5", \ + " 113.6, 137.7, 199.1, 340.5, 602.9, 1175.6" ); }} +timing() { /* ring osc delay oai21bv0x05, path b to z 72.9 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 7.47 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.0, 71.7, 109.5, 193.2, 341.2, 655.3", \ + " 63.8, 78.4, 116.3, 200.1, 348.2, 662.3", \ + " 68.3, 82.8, 120.7, 204.6, 352.7, 666.9", \ + " 72.9, 87.4, 125.1, 209.0, 357.3, 671.5", \ + " 78.2, 92.8, 130.5, 214.4, 362.6, 676.9", \ + " 83.2, 97.6, 135.3, 219.2, 367.4, 681.7", \ + " 87.4, 102.0, 139.5, 223.4, 371.6, 685.9", \ + " 90.0, 105.0, 142.6, 226.3, 374.6, 688.8", \ + " 89.1, 104.8, 143.1, 227.2, 375.4, 689.7", \ + " 81.9, 98.7, 138.2, 223.6, 372.7, 687.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.9, 107.0, 207.7, 435.9, 842.0, 1705.2", \ + " 70.1, 107.2, 207.8, 435.9, 842.0, 1705.2", \ + " 70.7, 107.5, 207.8, 435.9, 842.0, 1705.2", \ + " 72.1, 108.5, 208.2, 435.9, 842.0, 1705.2", \ + " 74.6, 110.3, 209.2, 436.3, 842.0, 1705.2", \ + " 77.4, 112.7, 210.6, 436.9, 842.4, 1705.2", \ + " 81.8, 116.2, 213.0, 437.9, 842.9, 1705.5", \ + " 88.2, 122.0, 216.9, 440.1, 844.0, 1706.0", \ + " 97.2, 130.8, 224.4, 444.8, 846.7, 1707.2", \ + " 109.8, 143.3, 236.5, 454.3, 853.4, 1711.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 65.7, 87.9, 134.9, 217.6, 393.2", \ + " 64.1, 73.6, 95.8, 142.8, 225.6, 401.1", \ + " 70.4, 79.9, 102.1, 149.2, 231.9, 407.5", \ + " 78.3, 87.9, 110.2, 157.3, 240.0, 415.6", \ + " 90.0, 100.0, 122.8, 169.9, 252.7, 428.2", \ + " 103.8, 114.4, 137.8, 185.5, 268.1, 443.6", \ + " 121.8, 133.0, 157.2, 205.3, 288.3, 463.7", \ + " 145.1, 157.1, 182.5, 231.2, 314.4, 489.9", \ + " 175.9, 189.1, 216.4, 266.5, 349.9, 525.8", \ + " 217.5, 232.2, 262.3, 315.1, 399.7, 575.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.7, 64.7, 115.2, 231.3, 440.8, 888.9", \ + " 45.9, 64.8, 115.3, 231.3, 440.8, 888.9", \ + " 46.4, 65.1, 115.5, 231.4, 440.8, 888.9", \ + " 48.2, 66.5, 116.2, 231.6, 440.9, 888.9", \ + " 52.0, 70.0, 118.7, 232.8, 441.2, 888.9", \ + " 56.0, 74.1, 122.2, 235.0, 442.3, 889.1", \ + " 61.4, 79.3, 126.6, 238.1, 444.0, 889.9", \ + " 68.7, 86.6, 132.9, 242.4, 446.5, 891.0", \ + " 78.6, 97.0, 142.7, 249.5, 451.0, 892.9", \ + " 92.2, 111.3, 157.4, 262.2, 459.7, 897.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 7.47 ; */ +/* fall_resistance : 4.92 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.4, 74.3, 112.6, 197.5, 347.3, 663.9", \ + " 66.2, 81.0, 119.5, 204.4, 354.3, 671.0", \ + " 70.7, 85.4, 123.9, 208.9, 358.9, 675.6", \ + " 75.3, 90.0, 128.3, 213.4, 363.4, 680.2", \ + " 80.6, 95.4, 133.7, 218.8, 368.8, 685.6", \ + " 85.6, 100.2, 138.5, 223.6, 373.6, 690.5", \ + " 89.9, 104.6, 142.7, 227.8, 377.8, 694.7", \ + " 92.6, 107.6, 145.7, 230.7, 380.8, 697.6", \ + " 92.0, 107.5, 146.2, 231.5, 381.6, 698.5", \ + " 85.2, 101.6, 141.4, 228.0, 378.9, 695.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.9, 154.4, 254.0, 481.3, 886.9, 1749.6", \ + " 118.1, 154.5, 254.1, 481.3, 886.9, 1749.6", \ + " 118.6, 154.8, 254.1, 481.3, 886.9, 1749.6", \ + " 120.0, 155.7, 254.5, 481.3, 886.9, 1749.6", \ + " 122.3, 157.4, 255.4, 481.7, 886.9, 1749.6", \ + " 125.5, 159.9, 256.7, 482.3, 887.3, 1749.6", \ + " 129.8, 163.5, 259.1, 483.3, 887.8, 1750.0", \ + " 136.5, 169.4, 263.1, 485.4, 888.8, 1750.5", \ + " 146.5, 178.7, 270.6, 490.2, 891.5, 1751.6", \ + " 160.8, 192.5, 283.3, 499.7, 898.1, 1755.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.2, 82.2, 109.8, 169.7, 274.8, 497.5", \ + " 79.0, 90.1, 117.7, 177.5, 282.8, 505.5", \ + " 85.3, 96.3, 123.9, 183.8, 289.1, 511.8", \ + " 93.3, 104.3, 131.9, 191.8, 297.1, 519.8", \ + " 105.5, 116.6, 144.3, 204.2, 309.4, 532.1", \ + " 119.9, 131.3, 159.4, 219.3, 324.5, 547.2", \ + " 138.7, 150.4, 178.7, 239.0, 344.1, 566.8", \ + " 163.1, 175.2, 204.0, 264.3, 369.9, 592.4", \ + " 195.6, 208.5, 238.3, 299.2, 404.6, 627.4", \ + " 239.4, 253.4, 285.1, 347.6, 453.5, 676.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.8, 98.7, 165.5, 317.5, 589.9, 1170.0", \ + " 73.9, 98.8, 165.5, 317.5, 589.9, 1170.0", \ + " 74.2, 99.0, 165.6, 317.6, 589.9, 1170.0", \ + " 75.1, 99.7, 166.0, 317.7, 589.9, 1170.0", \ + " 77.9, 102.1, 167.6, 318.3, 590.0, 1170.0", \ + " 81.4, 105.4, 170.1, 319.8, 590.6, 1170.0", \ + " 85.7, 109.4, 173.5, 321.9, 591.7, 1170.5", \ + " 91.9, 115.4, 178.2, 324.9, 593.3, 1171.3", \ + " 100.9, 124.4, 186.2, 330.3, 596.3, 1172.5", \ + " 113.6, 137.7, 199.1, 340.5, 602.9, 1175.6" ); }} +} +} +cell(oai211v0x05) { /* 2008-01-06:07h50 characteristic delay 16.0 ps */ +area : 6 ; /* tracks */ +cell_leakage_power : 508 ; /* oai211v0x05 */ +cell_footprint : oai211 ; +pin(a1) { /* oai211v0x05 FO4 effort 2.24 logical effort 2.25 */ +direction : input ; +capacitance : 3.09 ; +rise_capacitance : 3.05 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai211v0x05 */ +} +pin(a2) { /* oai211v0x05 FO4 effort 2.04 logical effort 2.11 */ +direction : input ; +capacitance : 2.90 ; +rise_capacitance : 2.64 ; +fall_capacitance : 3.16 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai211v0x05 */ +} +pin(b) { /* oai211v0x05 FO4 effort 1.61 logical effort 1.61 */ +direction : input ; +capacitance : 2.41 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.48 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai211v0x05 */ +} +pin(c) { /* oai211v0x05 FO4 effort 1.50 logical effort 1.54 */ +direction : input ; +capacitance : 2.30 ; +rise_capacitance : 2.31 ; +fall_capacitance : 2.28 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of oai211v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((a1+a2)*b*c)'" ; +internal_power(a1_z_n) { /* oai211v0x05 13.46 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.91, 6.92, 6.92, 6.91, 6.90", \ + " 6.77, 6.79, 6.81, 6.82, 6.81", \ + " 6.72, 6.74, 6.77, 6.79, 6.79", \ + " 6.68, 6.70, 6.73, 6.76, 6.77", \ + " 6.69, 6.70, 6.72, 6.74, 6.75", \ + " 6.82, 6.81, 6.79, 6.79, 6.78", \ + " 7.23, 7.16, 7.06, 6.96, 6.89", \ + " 8.11, 7.97, 7.72, 7.43, 7.20", \ + " 9.76, 9.53, 9.06, 8.46, 7.94", \ + " 12.61, 12.27, 11.56, 10.52, 9.52" ); }} +internal_power(a2_z_n) { /* oai211v0x05 11.23 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 5.73, 5.76, 5.80, 5.81, 5.81", \ + " 5.55, 5.61, 5.69, 5.75, 5.77", \ + " 5.50, 5.56, 5.64, 5.72, 5.75", \ + " 5.49, 5.53, 5.61, 5.69, 5.74", \ + " 5.58, 5.59, 5.63, 5.69, 5.73", \ + " 5.85, 5.82, 5.78, 5.78, 5.78", \ + " 6.43, 6.32, 6.17, 6.03, 5.93", \ + " 7.49, 7.30, 6.96, 6.60, 6.32", \ + " 9.34, 9.05, 8.48, 7.76, 7.17", \ + " 12.41, 12.01, 11.17, 9.98, 8.88" ); }} +internal_power(b_z_n) { /* oai211v0x05 8.76 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 4.43, 4.45, 4.48, 4.49, 4.49", \ + " 4.32, 4.35, 4.40, 4.44, 4.46", \ + " 4.31, 4.33, 4.38, 4.43, 4.45", \ + " 4.34, 4.35, 4.38, 4.42, 4.44", \ + " 4.46, 4.45, 4.43, 4.44, 4.45", \ + " 4.74, 4.68, 4.60, 4.54, 4.51", \ + " 5.28, 5.16, 4.97, 4.79, 4.66", \ + " 6.23, 6.02, 5.68, 5.30, 5.01", \ + " 7.82, 7.52, 6.95, 6.28, 5.73", \ + " 10.42, 10.01, 9.19, 8.10, 7.13" ); }} +internal_power(c_z_n) { /* oai211v0x05 7.08 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 3.54, 3.58, 3.63, 3.67, 3.68", \ + " 3.44, 3.49, 3.56, 3.63, 3.66", \ + " 3.44, 3.48, 3.54, 3.61, 3.65", \ + " 3.49, 3.50, 3.54, 3.60, 3.64", \ + " 3.66, 3.63, 3.61, 3.62, 3.65", \ + " 3.98, 3.90, 3.80, 3.73, 3.70", \ + " 4.56, 4.41, 4.19, 3.99, 3.86", \ + " 5.54, 5.30, 4.92, 4.51, 4.21", \ + " 7.15, 6.81, 6.20, 5.50, 4.94", \ + " 9.73, 9.28, 8.41, 7.30, 6.33" ); }} +timing() { /* ring osc delay oai211v0x05, path a1 to z 94.6 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 93.2 ; */ +/* intrinsic_fall : 64.4 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.14 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.5, 92.9, 130.4, 213.1, 358.7, 667.1", \ + " 85.4, 99.9, 137.6, 220.3, 366.0, 674.4", \ + " 90.2, 104.7, 142.4, 225.3, 371.0, 679.4", \ + " 96.4, 110.9, 148.6, 231.5, 377.3, 685.7", \ + " 107.4, 121.8, 159.4, 242.2, 388.0, 696.5", \ + " 121.6, 137.3, 175.3, 257.9, 403.4, 711.8", \ + " 140.8, 157.7, 199.0, 282.2, 427.2, 735.1", \ + " 167.3, 185.5, 230.0, 318.9, 463.2, 770.0", \ + " 205.0, 224.8, 272.9, 368.7, 519.3, 824.0", \ + " 257.9, 280.2, 333.2, 437.1, 599.9, 908.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 160.2, 199.0, 303.0, 537.8, 955.6, 1843.6", \ + " 160.5, 199.1, 303.0, 537.8, 955.6, 1843.6", \ + " 162.6, 200.7, 303.5, 537.8, 955.6, 1843.6", \ + " 167.0, 204.6, 306.4, 538.5, 955.6, 1843.6", \ + " 177.1, 213.9, 313.8, 543.2, 956.7, 1843.6", \ + " 195.5, 231.0, 328.2, 553.5, 962.5, 1843.9", \ + " 229.4, 262.4, 355.4, 574.4, 976.8, 1849.8", \ + " 279.1, 313.7, 402.2, 612.7, 1005.3, 1866.6", \ + " 349.4, 385.5, 478.2, 679.7, 1059.3, 1903.2", \ + " 449.4, 487.8, 585.6, 791.8, 1155.7, 1975.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.8, 65.9, 92.1, 149.8, 251.7, 467.6", \ + " 60.8, 70.9, 97.2, 155.1, 257.1, 473.0", \ + " 63.5, 73.7, 100.1, 158.0, 260.0, 475.9", \ + " 66.4, 76.8, 103.2, 161.2, 263.3, 479.2", \ + " 69.1, 80.3, 107.9, 166.0, 268.1, 484.1", \ + " 71.1, 83.1, 112.6, 172.5, 274.5, 490.5", \ + " 71.5, 84.9, 116.9, 180.7, 284.2, 500.0", \ + " 68.8, 84.4, 120.2, 189.1, 298.1, 514.2", \ + " 60.5, 78.8, 120.5, 197.0, 313.7, 536.3", \ + " 43.4, 64.8, 113.9, 201.9, 329.8, 566.5" ); } +fall_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 95.1, 122.6, 196.6, 364.0, 662.3, 1296.4", \ + " 95.7, 123.0, 196.8, 364.1, 662.3, 1296.4", \ + " 97.9, 125.0, 198.1, 364.5, 662.3, 1296.4", \ + " 101.7, 128.4, 200.9, 366.4, 662.9, 1296.4", \ + " 111.3, 136.7, 207.5, 371.3, 666.1, 1297.1", \ + " 128.2, 153.5, 221.1, 381.3, 673.2, 1301.0", \ + " 153.6, 179.6, 247.8, 402.1, 688.4, 1310.5", \ + " 193.8, 220.1, 289.5, 441.2, 718.6, 1330.7", \ + " 257.7, 284.5, 354.5, 507.9, 775.8, 1372.0", \ + " 358.3, 385.7, 456.6, 610.5, 877.5, 1452.1" ); }} +timing() { /* ring osc delay oai211v0x05, path a2 to z 83.4 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.4 ; */ +/* intrinsic_fall : 55.6 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 5.14 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.7, 85.3, 122.9, 205.7, 351.3, 659.7", \ + " 75.6, 90.3, 128.1, 211.1, 357.0, 665.5", \ + " 80.5, 95.1, 132.8, 215.9, 361.7, 670.2", \ + " 87.8, 102.2, 139.7, 222.5, 368.3, 676.8", \ + " 101.9, 115.7, 152.5, 234.7, 380.2, 688.5", \ + " 120.2, 135.6, 172.3, 253.3, 397.9, 705.7", \ + " 143.9, 161.1, 202.2, 282.8, 425.8, 732.3", \ + " 175.1, 194.1, 240.0, 328.0, 468.7, 772.7", \ + " 217.8, 238.9, 289.7, 388.6, 535.9, 835.7", \ + " 277.4, 301.1, 357.7, 467.7, 634.4, 935.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 156.9, 194.9, 296.6, 526.1, 934.6, 1802.4", \ + " 157.4, 194.8, 296.5, 526.1, 934.6, 1802.4", \ + " 161.4, 198.0, 297.5, 526.1, 934.6, 1802.4", \ + " 168.8, 204.3, 301.7, 526.9, 934.6, 1802.4", \ + " 184.3, 218.5, 312.7, 533.1, 935.2, 1802.4", \ + " 209.3, 242.1, 332.8, 547.2, 942.2, 1802.5", \ + " 250.0, 280.8, 367.9, 574.6, 960.7, 1807.7", \ + " 301.2, 338.5, 424.0, 622.9, 997.0, 1828.3", \ + " 370.5, 411.1, 509.7, 703.9, 1064.1, 1874.2", \ + " 471.0, 515.2, 622.8, 833.0, 1181.3, 1963.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.7, 57.6, 83.4, 140.8, 242.3, 458.0", \ + " 52.4, 62.5, 88.8, 146.5, 248.3, 464.1", \ + " 54.8, 65.1, 91.5, 149.4, 251.3, 467.2", \ + " 57.0, 67.9, 94.4, 152.5, 254.6, 470.5", \ + " 58.6, 70.3, 98.8, 157.1, 259.3, 475.4", \ + " 59.1, 71.9, 102.4, 163.4, 265.6, 481.7", \ + " 57.3, 71.9, 105.5, 170.8, 275.0, 491.0", \ + " 51.0, 68.4, 106.9, 177.9, 288.3, 505.0", \ + " 37.1, 58.1, 103.8, 183.8, 302.6, 526.9", \ + " 11.6, 36.5, 91.2, 185.0, 316.5, 555.8" ); } +fall_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 74.4, 102.2, 176.5, 344.2, 642.5, 1276.6", \ + " 74.8, 102.5, 176.7, 344.2, 642.5, 1276.6", \ + " 77.3, 104.3, 177.6, 344.4, 642.5, 1276.6", \ + " 81.6, 108.0, 180.5, 346.2, 642.8, 1276.6", \ + " 92.8, 117.1, 187.3, 351.1, 646.0, 1277.0", \ + " 109.5, 135.3, 201.1, 361.0, 653.0, 1280.8", \ + " 135.0, 160.6, 229.4, 381.6, 667.9, 1290.2", \ + " 176.4, 201.7, 270.0, 421.0, 697.7, 1310.1", \ + " 241.9, 267.7, 335.4, 487.8, 754.6, 1350.9", \ + " 344.9, 370.7, 439.3, 589.7, 856.9, 1430.4" ); }} +timing() { /* ring osc delay oai211v0x05, path b to z 67.3 */ +related_pin : "b" ; +when : "(a1'*a2*c)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 47.8 ; */ +/* rise_resistance : 6.62 ; */ +/* fall_resistance : 4.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 60.9, 94.2, 168.4, 300.0, 579.1", \ + " 55.4, 68.1, 101.6, 176.1, 307.8, 587.1", \ + " 61.5, 74.1, 107.5, 182.0, 313.7, 593.1", \ + " 70.3, 82.7, 115.7, 190.0, 321.7, 601.1", \ + " 84.8, 98.3, 130.8, 204.5, 336.0, 615.2", \ + " 102.0, 117.8, 153.4, 226.1, 356.8, 635.6", \ + " 124.5, 142.7, 184.3, 259.6, 389.0, 666.8", \ + " 154.2, 174.8, 222.5, 309.1, 437.7, 713.6", \ + " 194.8, 218.2, 272.5, 372.7, 513.1, 785.7", \ + " 251.1, 278.2, 340.3, 454.8, 619.2, 898.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.0, 136.1, 229.8, 440.7, 815.4, 1611.4", \ + " 103.2, 137.3, 229.9, 440.7, 815.4, 1611.4", \ + " 108.2, 141.3, 231.8, 440.7, 815.4, 1611.4", \ + " 116.6, 148.6, 236.9, 442.1, 815.4, 1611.4", \ + " 133.4, 163.9, 249.0, 449.3, 816.5, 1611.4", \ + " 160.1, 188.9, 270.2, 464.6, 824.5, 1611.4", \ + " 198.3, 229.4, 306.7, 493.5, 844.6, 1617.8", \ + " 246.6, 282.9, 364.7, 543.4, 883.0, 1640.3", \ + " 313.0, 354.1, 448.9, 626.5, 952.4, 1689.3", \ + " 407.1, 453.4, 561.2, 759.0, 1072.3, 1782.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.2, 46.9, 72.4, 129.6, 231.1, 446.7", \ + " 41.5, 51.3, 77.0, 134.3, 235.9, 451.5", \ + " 44.3, 54.3, 80.1, 137.5, 239.1, 454.8", \ + " 46.5, 57.7, 84.2, 141.7, 243.3, 459.0", \ + " 49.0, 61.7, 91.0, 149.1, 250.7, 466.4", \ + " 50.3, 65.0, 97.9, 159.8, 261.4, 477.1", \ + " 49.0, 66.5, 104.7, 173.4, 277.6, 493.3", \ + " 43.4, 64.1, 109.3, 187.6, 300.8, 517.2", \ + " 30.8, 55.3, 108.8, 200.6, 327.7, 553.4", \ + " 6.7, 35.6, 99.1, 207.9, 355.1, 604.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 72.2, 99.2, 171.5, 334.5, 624.6, 1241.2", \ + " 75.0, 101.3, 172.5, 334.6, 624.6, 1241.2", \ + " 79.3, 105.0, 175.2, 335.8, 624.7, 1241.2", \ + " 86.9, 111.6, 180.2, 338.9, 625.7, 1241.2", \ + " 104.0, 126.3, 191.8, 346.9, 630.2, 1241.8", \ + " 122.7, 149.4, 212.6, 362.5, 640.4, 1246.1", \ + " 151.7, 179.1, 248.2, 391.7, 662.0, 1258.1", \ + " 195.1, 223.1, 294.3, 441.0, 702.0, 1284.8", \ + " 259.5, 288.8, 362.1, 516.6, 772.2, 1337.9", \ + " 352.9, 384.7, 462.6, 623.0, 888.6, 1435.5" ); }} +timing() { /* ring osc delay oai211v0x05, path b to z 78.8 */ +related_pin : "b" ; +when : "(a1*a2'*c)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 47.8 ; */ +/* rise_resistance : 6.62 ; */ +/* fall_resistance : 4.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.1, 63.0, 96.7, 171.9, 304.8, 586.1", \ + " 57.4, 70.3, 104.2, 179.5, 312.6, 594.1", \ + " 63.5, 76.3, 110.0, 185.4, 318.6, 600.1", \ + " 72.3, 84.8, 118.3, 193.4, 326.5, 608.0", \ + " 87.3, 100.6, 133.4, 208.0, 340.8, 622.1", \ + " 105.3, 120.8, 156.0, 229.5, 361.6, 642.6", \ + " 128.8, 146.6, 187.8, 263.0, 393.8, 673.8", \ + " 159.8, 179.9, 227.1, 313.1, 442.6, 720.6", \ + " 202.3, 225.1, 278.5, 378.1, 518.0, 792.7", \ + " 261.8, 287.8, 348.5, 462.1, 625.8, 905.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 144.7, 179.3, 272.1, 482.1, 856.3, 1651.9", \ + " 147.0, 180.4, 272.1, 482.1, 856.3, 1651.9", \ + " 152.0, 184.4, 274.0, 482.1, 856.3, 1651.9", \ + " 160.2, 191.7, 279.1, 483.5, 856.3, 1651.9", \ + " 177.0, 206.9, 291.2, 490.7, 857.4, 1651.9", \ + " 203.1, 231.7, 312.4, 506.0, 865.4, 1651.9", \ + " 244.4, 271.7, 348.7, 534.9, 885.4, 1658.3", \ + " 300.6, 331.5, 406.5, 584.7, 923.9, 1680.8", \ + " 374.8, 410.2, 495.4, 667.7, 993.2, 1729.8", \ + " 477.5, 517.5, 615.1, 800.9, 1113.1, 1823.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 57.1, 83.3, 141.1, 243.0, 458.9", \ + " 51.5, 61.6, 88.0, 145.9, 247.8, 463.8", \ + " 54.6, 64.7, 91.1, 149.0, 251.0, 467.0", \ + " 58.3, 68.9, 95.2, 153.2, 255.3, 471.2", \ + " 62.6, 74.4, 102.6, 160.6, 262.7, 478.7", \ + " 66.4, 79.7, 110.8, 171.3, 273.4, 489.3", \ + " 68.6, 84.1, 119.5, 186.0, 289.6, 505.5", \ + " 67.2, 85.4, 126.7, 201.7, 313.2, 529.4", \ + " 59.4, 80.9, 129.7, 216.9, 341.3, 565.6", \ + " 40.9, 66.2, 124.2, 227.3, 370.6, 617.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.2, 119.0, 191.1, 354.0, 644.2, 1260.9", \ + " 94.1, 120.5, 191.7, 354.1, 644.2, 1260.9", \ + " 97.6, 123.6, 194.1, 355.0, 644.2, 1260.9", \ + " 103.8, 129.2, 198.5, 357.8, 645.0, 1260.9", \ + " 118.1, 142.0, 209.0, 365.2, 649.2, 1261.3", \ + " 139.6, 165.2, 228.3, 379.9, 658.9, 1265.4", \ + " 167.9, 195.2, 262.7, 407.9, 679.7, 1277.0", \ + " 210.1, 238.3, 309.6, 455.7, 718.7, 1303.1", \ + " 273.2, 302.8, 376.9, 531.5, 787.6, 1355.3", \ + " 365.6, 397.9, 476.6, 637.8, 903.0, 1451.8" ); }} +timing() { /* ring osc delay oai211v0x05, path b to z 62.1 */ +related_pin : "b" ; +when : "(a1*a2*c)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 47.8 ; */ +/* rise_resistance : 6.62 ; */ +/* fall_resistance : 4.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.7, 60.4, 93.8, 168.0, 299.5, 578.7", \ + " 54.9, 67.6, 101.1, 175.6, 307.2, 586.5", \ + " 61.2, 73.7, 107.0, 181.4, 313.1, 592.5", \ + " 70.1, 82.4, 115.3, 189.5, 321.1, 600.5", \ + " 84.7, 98.2, 130.6, 204.1, 335.4, 614.6", \ + " 102.3, 118.0, 153.4, 225.8, 356.4, 635.1", \ + " 125.4, 143.3, 184.7, 259.7, 388.8, 666.4", \ + " 155.9, 176.2, 223.6, 309.7, 437.9, 713.5", \ + " 198.0, 221.0, 274.7, 374.2, 513.9, 786.0", \ + " 256.8, 283.4, 344.5, 457.9, 621.2, 899.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 101.0, 136.1, 229.8, 440.7, 815.4, 1611.4", \ + " 103.5, 137.5, 229.9, 440.7, 815.4, 1611.4", \ + " 108.7, 141.7, 232.0, 440.7, 815.4, 1611.4", \ + " 117.0, 149.0, 237.2, 442.2, 815.4, 1611.4", \ + " 133.6, 164.2, 249.3, 449.5, 816.6, 1611.4", \ + " 159.5, 188.6, 270.3, 464.9, 824.7, 1611.4", \ + " 196.5, 227.9, 306.0, 493.4, 844.7, 1617.9", \ + " 242.9, 279.5, 362.2, 542.2, 882.7, 1640.4", \ + " 306.2, 347.8, 443.6, 623.0, 950.7, 1688.9", \ + " 395.9, 442.5, 551.3, 751.3, 1067.5, 1780.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.3, 40.5, 62.2, 110.9, 197.3, 380.9", \ + " 36.9, 45.2, 67.1, 115.9, 202.3, 386.0", \ + " 39.4, 48.4, 70.3, 119.2, 205.7, 389.3", \ + " 41.6, 51.5, 74.6, 123.5, 210.1, 393.8", \ + " 43.6, 55.1, 81.0, 131.2, 217.8, 401.5", \ + " 44.1, 57.6, 87.3, 142.0, 228.8, 412.5", \ + " 41.7, 57.9, 93.0, 154.5, 245.6, 429.2", \ + " 34.4, 53.8, 95.7, 167.0, 267.7, 453.9", \ + " 19.1, 42.3, 92.4, 177.1, 291.9, 491.0", \ + " -8.8, 18.6, 78.5, 180.0, 314.9, 537.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 58.1, 81.0, 142.0, 279.5, 524.2, 1044.2", \ + " 61.5, 83.6, 143.4, 279.7, 524.2, 1044.2", \ + " 66.2, 87.6, 146.4, 281.4, 524.3, 1044.2", \ + " 74.5, 94.7, 151.8, 284.9, 526.0, 1044.2", \ + " 90.1, 110.6, 164.3, 293.7, 531.2, 1045.5", \ + " 109.4, 132.0, 186.3, 310.5, 542.8, 1051.0", \ + " 138.6, 162.1, 220.7, 341.4, 566.2, 1065.1", \ + " 181.1, 205.7, 267.0, 393.1, 608.7, 1094.6", \ + " 243.3, 269.7, 334.2, 466.7, 682.0, 1151.6", \ + " 333.1, 362.2, 432.3, 572.1, 799.0, 1254.4" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 66.8 ; */ +/* intrinsic_fall : 47.8 ; */ +/* rise_resistance : 6.62 ; */ +/* fall_resistance : 4.87 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.1, 63.0, 96.7, 171.9, 304.8, 586.1", \ + " 57.4, 70.3, 104.2, 179.5, 312.6, 594.1", \ + " 63.5, 76.3, 110.0, 185.4, 318.6, 600.1", \ + " 72.3, 84.8, 118.3, 193.4, 326.5, 608.0", \ + " 87.3, 100.6, 133.4, 208.0, 340.8, 622.1", \ + " 105.3, 120.8, 156.0, 229.5, 361.6, 642.6", \ + " 128.8, 146.6, 187.8, 263.0, 393.8, 673.8", \ + " 159.8, 179.9, 227.1, 313.1, 442.6, 720.6", \ + " 202.3, 225.1, 278.5, 378.1, 518.0, 792.7", \ + " 261.8, 287.8, 348.5, 462.1, 625.8, 905.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 144.7, 179.3, 272.1, 482.1, 856.3, 1651.9", \ + " 147.0, 180.4, 272.1, 482.1, 856.3, 1651.9", \ + " 152.0, 184.4, 274.0, 482.1, 856.3, 1651.9", \ + " 160.2, 191.7, 279.1, 483.5, 856.3, 1651.9", \ + " 177.0, 206.9, 291.2, 490.7, 857.4, 1651.9", \ + " 203.1, 231.7, 312.4, 506.0, 865.4, 1651.9", \ + " 244.4, 271.7, 348.7, 534.9, 885.4, 1658.3", \ + " 300.6, 331.5, 406.5, 584.7, 923.9, 1680.8", \ + " 374.8, 410.2, 495.4, 667.7, 993.2, 1729.8", \ + " 477.5, 517.5, 615.1, 800.9, 1113.1, 1823.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.0, 57.1, 83.3, 141.1, 243.0, 458.9", \ + " 51.5, 61.6, 88.0, 145.9, 247.8, 463.8", \ + " 54.6, 64.7, 91.1, 149.0, 251.0, 467.0", \ + " 58.3, 68.9, 95.2, 153.2, 255.3, 471.2", \ + " 62.6, 74.4, 102.6, 160.6, 262.7, 478.7", \ + " 66.4, 79.7, 110.8, 171.3, 273.4, 489.3", \ + " 68.6, 84.1, 119.5, 186.0, 289.6, 505.5", \ + " 67.2, 85.4, 126.7, 201.7, 313.2, 529.4", \ + " 59.4, 80.9, 129.7, 216.9, 341.3, 565.6", \ + " 40.9, 66.2, 124.2, 227.3, 370.6, 617.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.2, 119.0, 191.1, 354.0, 644.2, 1260.9", \ + " 94.1, 120.5, 191.7, 354.1, 644.2, 1260.9", \ + " 97.6, 123.6, 194.1, 355.0, 644.2, 1260.9", \ + " 103.8, 129.2, 198.5, 357.8, 645.0, 1260.9", \ + " 118.1, 142.0, 209.0, 365.2, 649.2, 1261.3", \ + " 139.6, 165.2, 228.3, 379.9, 658.9, 1265.4", \ + " 167.9, 195.2, 262.7, 407.9, 679.7, 1277.0", \ + " 210.1, 238.3, 309.6, 455.7, 718.7, 1303.1", \ + " 273.2, 302.8, 376.9, 531.5, 787.6, 1355.3", \ + " 365.6, 397.9, 476.6, 637.8, 903.0, 1451.8" ); }} +timing() { /* ring osc delay oai211v0x05, path c to z 60.3 */ +related_pin : "c" ; +when : "(a1'*a2*b)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.6 ; */ +/* intrinsic_fall : 45.4 ; */ +/* rise_resistance : 6.63 ; */ +/* fall_resistance : 4.86 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.8, 51.7, 85.3, 159.7, 291.3, 570.6", \ + " 46.1, 58.9, 92.7, 167.4, 299.3, 578.7", \ + " 52.4, 65.0, 98.5, 173.2, 305.2, 584.6", \ + " 61.0, 73.6, 106.7, 181.2, 313.1, 592.6", \ + " 73.1, 88.3, 121.7, 195.6, 327.2, 606.6", \ + " 88.0, 105.6, 144.0, 217.0, 347.9, 627.0", \ + " 107.8, 127.9, 172.7, 250.4, 380.0, 658.1", \ + " 133.9, 156.9, 208.2, 298.7, 428.5, 704.7", \ + " 169.4, 196.0, 254.8, 359.6, 503.6, 776.5", \ + " 218.4, 249.6, 317.7, 438.1, 607.0, 888.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.9, 117.0, 210.6, 421.2, 795.6, 1591.2", \ + " 84.9, 118.5, 210.6, 421.2, 795.6, 1591.2", \ + " 90.5, 123.0, 212.7, 421.2, 795.6, 1591.2", \ + " 99.3, 130.7, 218.1, 422.6, 795.6, 1591.2", \ + " 117.0, 146.6, 230.6, 430.0, 796.7, 1591.2", \ + " 144.7, 172.3, 252.4, 445.6, 804.8, 1591.2", \ + " 178.8, 212.6, 289.6, 475.1, 825.1, 1597.6", \ + " 224.5, 262.8, 348.3, 525.6, 864.0, 1620.3", \ + " 289.1, 331.5, 429.9, 609.4, 934.1, 1669.7", \ + " 382.5, 429.3, 539.2, 741.9, 1055.0, 1764.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.0, 39.7, 65.3, 122.5, 224.0, 439.6", \ + " 35.5, 45.2, 70.9, 128.2, 229.8, 445.4", \ + " 39.4, 49.6, 75.2, 132.5, 234.1, 449.7", \ + " 42.6, 54.6, 81.1, 138.3, 239.9, 455.5", \ + " 46.0, 60.2, 91.1, 148.7, 250.2, 465.7", \ + " 48.0, 64.7, 101.1, 163.9, 265.1, 480.5", \ + " 47.8, 67.4, 110.3, 184.0, 287.9, 502.8", \ + " 44.0, 67.0, 117.1, 203.8, 321.2, 536.0", \ + " 33.8, 60.9, 119.8, 221.4, 359.6, 586.7", \ + " 13.2, 45.2, 114.6, 233.9, 396.7, 659.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 69.2, 95.9, 166.8, 326.3, 609.5, 1211.4", \ + " 74.3, 99.3, 167.9, 326.0, 609.5, 1211.4", \ + " 81.0, 105.2, 171.9, 327.1, 609.4, 1211.4", \ + " 91.1, 114.5, 179.3, 331.2, 609.8, 1211.4", \ + " 110.2, 132.7, 195.2, 342.5, 615.2, 1211.3", \ + " 129.2, 157.6, 220.4, 363.4, 629.1, 1215.0", \ + " 158.4, 188.2, 260.2, 399.6, 657.5, 1230.6", \ + " 201.0, 232.6, 308.7, 456.2, 707.8, 1265.5", \ + " 262.5, 297.2, 378.4, 539.1, 790.6, 1332.9", \ + " 351.3, 390.6, 479.8, 651.5, 920.5, 1452.0" ); }} +timing() { /* ring osc delay oai211v0x05, path c to z 72.7 */ +related_pin : "c" ; +when : "(a1*a2'*b)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.6 ; */ +/* intrinsic_fall : 45.4 ; */ +/* rise_resistance : 6.63 ; */ +/* fall_resistance : 4.86 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 53.6, 87.7, 163.0, 296.1, 577.5", \ + " 47.9, 60.9, 95.0, 170.7, 304.0, 585.6", \ + " 54.2, 66.9, 100.9, 176.5, 309.9, 591.6", \ + " 63.0, 75.5, 109.0, 184.5, 317.8, 599.5", \ + " 75.8, 90.7, 124.1, 198.9, 331.9, 613.6", \ + " 91.5, 108.7, 146.6, 220.3, 352.7, 633.9", \ + " 112.3, 132.0, 176.2, 253.7, 384.7, 665.0", \ + " 140.0, 162.3, 212.9, 302.8, 433.2, 711.6", \ + " 177.8, 203.4, 261.0, 365.1, 508.4, 783.5", \ + " 230.7, 260.1, 326.3, 445.5, 613.7, 895.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.0, 160.4, 252.9, 462.7, 836.5, 1631.8", \ + " 128.9, 161.8, 253.0, 462.7, 836.5, 1631.8", \ + " 134.4, 166.3, 255.0, 462.7, 836.5, 1631.8", \ + " 143.2, 173.9, 260.3, 464.1, 836.5, 1631.8", \ + " 160.4, 189.8, 272.9, 471.4, 837.6, 1631.8", \ + " 187.0, 215.0, 294.6, 487.0, 845.7, 1631.8", \ + " 229.2, 255.7, 331.5, 516.4, 866.0, 1638.2", \ + " 282.0, 314.1, 390.0, 566.9, 904.9, 1660.8", \ + " 353.8, 390.1, 478.0, 650.6, 974.9, 1710.2", \ + " 455.0, 495.3, 594.7, 784.7, 1095.7, 1804.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 50.0, 76.2, 134.1, 236.0, 451.9", \ + " 45.3, 55.5, 81.8, 139.7, 241.7, 457.6", \ + " 49.8, 59.8, 86.1, 144.0, 246.0, 462.0", \ + " 55.2, 66.0, 92.0, 149.9, 251.8, 467.8", \ + " 61.4, 74.0, 102.6, 160.3, 262.1, 478.0", \ + " 66.5, 81.3, 114.8, 175.4, 277.0, 492.7", \ + " 69.9, 87.3, 126.7, 196.9, 299.8, 515.0", \ + " 70.4, 90.6, 136.6, 219.1, 333.4, 548.2", \ + " 65.3, 89.0, 142.9, 239.6, 373.9, 598.8", \ + " 50.8, 78.6, 142.0, 255.4, 413.7, 672.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.1, 115.6, 186.4, 345.6, 628.9, 1230.9", \ + " 92.2, 117.6, 186.6, 345.4, 628.9, 1230.9", \ + " 97.7, 122.4, 189.9, 346.0, 628.8, 1230.9", \ + " 106.5, 130.7, 196.5, 349.5, 628.9, 1230.9", \ + " 124.2, 147.3, 211.2, 359.9, 633.7, 1230.9", \ + " 146.9, 174.2, 235.3, 379.8, 646.9, 1234.1", \ + " 175.9, 205.2, 274.4, 415.0, 674.3, 1249.0", \ + " 218.2, 249.4, 324.8, 470.7, 723.6, 1283.2", \ + " 280.1, 314.0, 394.7, 554.4, 805.6, 1349.5", \ + " 369.7, 407.9, 496.2, 667.5, 934.7, 1467.7" ); }} +timing() { /* ring osc delay oai211v0x05, path c to z 56.3 */ +related_pin : "c" ; +when : "(a1*a2*b)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 57.6 ; */ +/* intrinsic_fall : 45.4 ; */ +/* rise_resistance : 6.63 ; */ +/* fall_resistance : 4.86 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 51.5, 85.2, 159.6, 291.2, 570.4", \ + " 45.8, 58.6, 92.3, 167.1, 299.0, 578.5", \ + " 52.2, 64.7, 98.2, 172.9, 304.9, 584.4", \ + " 60.8, 73.4, 106.4, 180.8, 312.8, 592.3", \ + " 73.2, 88.2, 121.5, 195.3, 326.9, 606.3", \ + " 88.4, 105.8, 144.0, 216.8, 347.7, 626.7", \ + " 108.7, 128.6, 173.1, 250.5, 379.9, 657.8", \ + " 135.7, 158.4, 209.3, 299.3, 428.7, 704.7", \ + " 172.7, 198.9, 257.0, 361.0, 504.3, 776.9", \ + " 224.0, 254.6, 321.7, 441.0, 608.9, 889.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 81.9, 117.0, 210.6, 421.2, 795.6, 1591.2", \ + " 85.1, 118.6, 210.6, 421.2, 795.6, 1591.2", \ + " 90.8, 123.3, 212.9, 421.2, 795.6, 1591.2", \ + " 99.6, 131.0, 218.3, 422.7, 795.6, 1591.2", \ + " 117.1, 146.8, 230.9, 430.2, 796.7, 1591.2", \ + " 144.2, 172.0, 252.5, 445.8, 805.0, 1591.2", \ + " 177.2, 211.3, 288.8, 475.0, 825.2, 1597.7", \ + " 221.1, 259.7, 346.0, 524.5, 863.7, 1620.3", \ + " 283.0, 325.7, 424.9, 606.1, 932.5, 1669.3", \ + " 372.3, 419.2, 530.1, 734.8, 1050.5, 1762.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.0, 35.2, 57.0, 105.7, 192.1, 375.7", \ + " 32.8, 41.1, 62.9, 111.7, 198.2, 381.8", \ + " 36.4, 45.7, 67.5, 116.3, 202.8, 386.5", \ + " 39.3, 50.3, 73.8, 122.5, 209.0, 392.6", \ + " 42.0, 55.3, 83.6, 133.6, 220.0, 403.5", \ + " 43.2, 58.9, 92.7, 149.6, 235.8, 419.2", \ + " 42.0, 60.5, 100.5, 168.4, 260.0, 442.9", \ + " 36.5, 58.4, 105.6, 186.1, 293.4, 478.2", \ + " 24.0, 49.9, 105.7, 200.9, 328.6, 531.6", \ + " 0.0, 30.6, 96.7, 209.4, 361.1, 602.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.2, 78.6, 138.4, 272.8, 511.7, 1019.5", \ + " 62.3, 83.2, 140.3, 272.7, 511.7, 1019.5", \ + " 69.6, 89.6, 145.0, 274.7, 511.7, 1019.5", \ + " 80.6, 99.6, 153.2, 279.8, 513.0, 1019.5", \ + " 97.8, 119.2, 170.3, 292.5, 520.1, 1019.8", \ + " 118.0, 142.3, 197.0, 315.3, 536.2, 1026.1", \ + " 147.7, 173.7, 235.7, 353.7, 567.5, 1045.1", \ + " 189.9, 218.2, 284.9, 413.1, 621.4, 1084.6", \ + " 250.0, 281.9, 354.6, 494.6, 708.2, 1158.0", \ + " 336.2, 372.9, 454.7, 607.0, 840.3, 1284.5" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.6 ; */ +/* intrinsic_fall : 45.4 ; */ +/* rise_resistance : 6.63 ; */ +/* fall_resistance : 4.86 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.5, 53.6, 87.7, 163.0, 296.1, 577.5", \ + " 47.9, 60.9, 95.0, 170.7, 304.0, 585.6", \ + " 54.2, 66.9, 100.9, 176.5, 309.9, 591.6", \ + " 63.0, 75.5, 109.0, 184.5, 317.8, 599.5", \ + " 75.8, 90.7, 124.1, 198.9, 331.9, 613.6", \ + " 91.5, 108.7, 146.6, 220.3, 352.7, 633.9", \ + " 112.3, 132.0, 176.2, 253.7, 384.7, 665.0", \ + " 140.0, 162.3, 212.9, 302.8, 433.2, 711.6", \ + " 177.8, 203.4, 261.0, 365.1, 508.4, 783.5", \ + " 230.7, 260.1, 326.3, 445.5, 613.7, 895.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.0, 160.4, 252.9, 462.7, 836.5, 1631.8", \ + " 128.9, 161.8, 253.0, 462.7, 836.5, 1631.8", \ + " 134.4, 166.3, 255.0, 462.7, 836.5, 1631.8", \ + " 143.2, 173.9, 260.3, 464.1, 836.5, 1631.8", \ + " 160.4, 189.8, 272.9, 471.4, 837.6, 1631.8", \ + " 187.0, 215.0, 294.6, 487.0, 845.7, 1631.8", \ + " 229.2, 255.7, 331.5, 516.4, 866.0, 1638.2", \ + " 282.0, 314.1, 390.0, 566.9, 904.9, 1660.8", \ + " 353.8, 390.1, 478.0, 650.6, 974.9, 1710.2", \ + " 455.0, 495.3, 594.7, 784.7, 1095.7, 1804.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.8, 50.0, 76.2, 134.1, 236.0, 451.9", \ + " 45.3, 55.5, 81.8, 139.7, 241.7, 457.6", \ + " 49.8, 59.8, 86.1, 144.0, 246.0, 462.0", \ + " 55.2, 66.0, 92.0, 149.9, 251.8, 467.8", \ + " 61.4, 74.0, 102.6, 160.3, 262.1, 478.0", \ + " 66.5, 81.3, 114.8, 175.4, 277.0, 492.7", \ + " 69.9, 87.3, 126.7, 196.9, 299.8, 515.0", \ + " 70.4, 90.6, 136.6, 219.1, 333.4, 548.2", \ + " 65.3, 89.0, 142.9, 239.6, 373.9, 598.8", \ + " 50.8, 78.6, 142.0, 255.4, 413.7, 672.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.1, 115.6, 186.4, 345.6, 628.9, 1230.9", \ + " 92.2, 117.6, 186.6, 345.4, 628.9, 1230.9", \ + " 97.7, 122.4, 189.9, 346.0, 628.8, 1230.9", \ + " 106.5, 130.7, 196.5, 349.5, 628.9, 1230.9", \ + " 124.2, 147.3, 211.2, 359.9, 633.7, 1230.9", \ + " 146.9, 174.2, 235.3, 379.8, 646.9, 1234.1", \ + " 175.9, 205.2, 274.4, 415.0, 674.3, 1249.0", \ + " 218.2, 249.4, 324.8, 470.7, 723.6, 1283.2", \ + " 280.1, 314.0, 394.7, 554.4, 805.6, 1349.5", \ + " 369.7, 407.9, 496.2, 667.5, 934.7, 1467.7" ); }} +} +} +cell(oai211v0x1) { /* 2008-01-06:07h51 characteristic delay 15.0 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 855 ; /* oai211v0x1 */ +cell_footprint : oai211 ; +pin(a1) { /* oai211v0x1 FO4 effort 2.13 logical effort 2.15 */ +direction : input ; +capacitance : 4.99 ; +rise_capacitance : 4.93 ; +fall_capacitance : 5.06 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai211v0x1 */ +} +pin(a2) { /* oai211v0x1 FO4 effort 1.93 logical effort 1.98 */ +direction : input ; +capacitance : 4.62 ; +rise_capacitance : 4.18 ; +fall_capacitance : 5.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai211v0x1 */ +} +pin(b) { /* oai211v0x1 FO4 effort 1.49 logical effort 1.44 */ +direction : input ; +capacitance : 3.63 ; +rise_capacitance : 3.53 ; +fall_capacitance : 3.73 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai211v0x1 */ +} +pin(c) { /* oai211v0x1 FO4 effort 1.43 logical effort 1.46 */ +direction : input ; +capacitance : 3.66 ; +rise_capacitance : 3.68 ; +fall_capacitance : 3.64 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin c of oai211v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 95 ; +max_fanout : 4 ; +function : "((a1+a2)*b*c)'" ; +internal_power(a1_z_n) { /* oai211v0x1 21.41 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 11.02, 11.03, 11.04, 11.04, 11.02", \ + " 10.77, 10.80, 10.84, 10.87, 10.87", \ + " 10.68, 10.71, 10.77, 10.81, 10.82", \ + " 10.61, 10.65, 10.71, 10.76, 10.78", \ + " 10.62, 10.64, 10.68, 10.73, 10.76", \ + " 10.88, 10.85, 10.82, 10.81, 10.80", \ + " 11.61, 11.50, 11.31, 11.13, 11.00", \ + " 13.16, 12.92, 12.47, 11.96, 11.56", \ + " 16.03, 15.63, 14.83, 13.78, 12.88", \ + " 20.92, 20.35, 19.14, 17.37, 15.65" ); }} +internal_power(a2_z_n) { /* oai211v0x1 17.64 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 9.00, 9.06, 9.12, 9.15, 9.14", \ + " 8.71, 8.81, 8.94, 9.04, 9.08", \ + " 8.62, 8.72, 8.86, 8.99, 9.05", \ + " 8.62, 8.69, 8.82, 8.95, 9.02", \ + " 8.80, 8.81, 8.87, 8.96, 9.01", \ + " 9.30, 9.23, 9.15, 9.12, 9.11", \ + " 10.32, 10.14, 9.84, 9.57, 9.40", \ + " 12.19, 11.85, 11.25, 10.59, 10.10", \ + " 15.37, 14.87, 13.89, 12.64, 11.59", \ + " 20.63, 19.95, 18.53, 16.49, 14.59" ); }} +internal_power(b_z_n) { /* oai211v0x1 13.69 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 6.90, 6.94, 6.98, 7.00, 7.00", \ + " 6.74, 6.79, 6.87, 6.93, 6.95", \ + " 6.73, 6.77, 6.84, 6.90, 6.93", \ + " 6.79, 6.81, 6.84, 6.89, 6.93", \ + " 7.03, 6.99, 6.96, 6.95, 6.95", \ + " 7.52, 7.42, 7.26, 7.13, 7.06", \ + " 8.47, 8.25, 7.91, 7.57, 7.34", \ + " 10.09, 9.74, 9.14, 8.47, 7.96", \ + " 12.81, 12.30, 11.34, 10.18, 9.22", \ + " 17.23, 16.54, 15.16, 13.30, 11.64" ); }} +internal_power(c_z_n) { /* oai211v0x1 11.02 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 5.49, 5.58, 5.68, 5.74, 5.76", \ + " 5.33, 5.41, 5.54, 5.66, 5.72", \ + " 5.34, 5.39, 5.50, 5.63, 5.70", \ + " 5.43, 5.45, 5.51, 5.61, 5.68", \ + " 5.72, 5.67, 5.63, 5.66, 5.70", \ + " 6.28, 6.14, 5.96, 5.84, 5.79", \ + " 7.29, 7.04, 6.65, 6.29, 6.07", \ + " 8.97, 8.57, 7.90, 7.20, 6.69", \ + " 11.72, 11.14, 10.11, 8.91, 7.95", \ + " 16.11, 15.35, 13.89, 11.99, 10.34" ); }} +timing() { /* ring osc delay oai211v0x1, path a1 to z 88.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 89.3 ; */ +/* intrinsic_fall : 61.1 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 3.03 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 88.2, 123.8, 202.2, 340.3, 632.7", \ + " 81.3, 95.1, 130.9, 209.4, 347.6, 640.0", \ + " 86.0, 99.9, 135.7, 214.3, 352.5, 645.0", \ + " 92.2, 106.0, 141.8, 220.5, 358.8, 651.3", \ + " 103.3, 117.0, 152.6, 231.2, 369.5, 662.0", \ + " 117.0, 132.1, 168.6, 246.9, 384.9, 677.3", \ + " 135.8, 152.1, 191.8, 271.3, 408.7, 700.6", \ + " 162.0, 179.5, 222.3, 307.7, 444.9, 735.7", \ + " 199.2, 218.4, 264.7, 356.7, 501.2, 789.9", \ + " 251.6, 273.1, 324.4, 424.3, 580.4, 874.7" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 149.2, 185.9, 284.5, 507.1, 903.3, 1745.2", \ + " 149.4, 186.1, 284.5, 507.1, 903.3, 1745.2", \ + " 151.8, 187.8, 285.1, 507.1, 903.3, 1745.2", \ + " 156.4, 192.0, 288.2, 508.0, 903.3, 1745.2", \ + " 166.9, 201.6, 296.0, 513.1, 904.6, 1745.2", \ + " 185.8, 219.2, 310.9, 523.9, 911.0, 1745.8", \ + " 220.8, 251.4, 338.7, 545.4, 925.9, 1752.4", \ + " 269.4, 302.5, 386.5, 584.6, 955.4, 1770.2", \ + " 339.0, 373.5, 462.1, 652.8, 1010.8, 1808.3", \ + " 437.8, 474.8, 568.4, 765.8, 1108.8, 1883.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.8, 62.3, 87.0, 141.5, 237.4, 440.6", \ + " 57.5, 67.1, 92.0, 146.6, 242.6, 445.8", \ + " 60.1, 69.8, 94.7, 149.3, 245.4, 448.7", \ + " 62.7, 72.7, 97.7, 152.4, 248.5, 451.8", \ + " 65.1, 75.8, 102.3, 157.1, 253.3, 456.6", \ + " 66.6, 78.2, 106.4, 163.5, 259.7, 463.0", \ + " 66.6, 79.6, 110.3, 171.2, 269.3, 472.4", \ + " 63.2, 78.3, 113.0, 179.0, 282.7, 486.7", \ + " 54.1, 71.9, 112.3, 186.0, 297.4, 508.9", \ + " 36.0, 56.8, 104.4, 189.5, 312.2, 537.6" ); } +fall_transition(x1_130_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 88.0, 113.8, 183.4, 340.9, 621.6, 1218.4", \ + " 88.6, 114.3, 183.6, 341.0, 621.6, 1218.4", \ + " 91.0, 116.4, 185.1, 341.5, 621.7, 1218.4", \ + " 95.1, 120.0, 188.0, 343.6, 622.5, 1218.4", \ + " 105.4, 129.0, 195.1, 348.8, 626.0, 1219.4", \ + " 122.3, 146.5, 209.4, 359.4, 633.5, 1223.7", \ + " 147.8, 172.4, 237.2, 381.1, 649.5, 1233.9", \ + " 188.3, 213.2, 278.7, 421.6, 680.9, 1255.1", \ + " 252.5, 277.9, 343.9, 488.6, 739.9, 1298.2", \ + " 353.3, 379.1, 446.2, 591.3, 843.1, 1380.8" ); }} +timing() { /* ring osc delay oai211v0x1, path a2 to z 77.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.3 ; */ +/* intrinsic_fall : 52.1 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.03 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.2, 80.1, 115.8, 194.3, 332.4, 624.8", \ + " 71.2, 85.1, 121.0, 199.8, 338.0, 630.5", \ + " 76.2, 90.0, 125.8, 204.5, 342.8, 635.3", \ + " 83.6, 97.2, 132.7, 211.1, 349.3, 641.8", \ + " 97.8, 110.9, 145.7, 223.5, 361.3, 653.6", \ + " 115.4, 130.4, 165.7, 242.3, 379.2, 670.9", \ + " 138.7, 155.3, 195.1, 272.0, 407.3, 697.6", \ + " 169.4, 187.7, 232.0, 317.0, 450.4, 738.2", \ + " 211.5, 231.9, 280.9, 376.2, 518.2, 801.7", \ + " 270.5, 293.5, 348.1, 454.0, 614.7, 902.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 146.1, 182.0, 278.5, 496.1, 883.3, 1706.2", \ + " 147.0, 182.3, 278.4, 496.1, 883.3, 1706.2", \ + " 151.3, 185.8, 279.8, 496.1, 883.3, 1706.2", \ + " 159.0, 192.5, 284.4, 497.3, 883.4, 1706.2", \ + " 175.0, 207.1, 295.9, 504.1, 884.4, 1706.2", \ + " 200.2, 231.1, 316.5, 518.8, 892.3, 1706.3", \ + " 241.8, 270.2, 352.2, 547.1, 911.7, 1712.9", \ + " 290.0, 326.0, 408.8, 596.3, 949.2, 1735.0", \ + " 358.3, 397.5, 492.6, 678.0, 1017.8, 1782.7", \ + " 457.5, 500.3, 603.9, 807.6, 1136.5, 1874.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.4, 53.7, 78.0, 132.0, 227.6, 430.6", \ + " 48.9, 58.5, 83.3, 137.7, 233.5, 436.5", \ + " 51.3, 61.0, 85.9, 140.4, 236.4, 439.6", \ + " 53.1, 63.6, 88.7, 143.4, 239.5, 442.8", \ + " 54.4, 65.7, 92.9, 148.0, 244.2, 447.6", \ + " 54.5, 66.9, 96.1, 154.2, 250.4, 453.9", \ + " 52.1, 66.4, 98.8, 161.1, 259.9, 463.2", \ + " 44.9, 62.1, 99.5, 167.6, 272.7, 477.2", \ + " 30.1, 50.6, 95.3, 172.6, 286.1, 499.2", \ + " 3.4, 27.8, 81.2, 172.3, 298.7, 526.6" ); } +fall_transition(x1_130_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 67.7, 93.8, 163.7, 321.5, 602.3, 1199.0", \ + " 68.2, 94.2, 163.9, 321.6, 602.3, 1199.0", \ + " 70.9, 96.2, 165.1, 321.8, 602.3, 1199.0", \ + " 75.5, 100.1, 168.1, 323.8, 602.9, 1199.0", \ + " 87.6, 109.7, 175.2, 329.0, 606.3, 1199.8", \ + " 103.4, 127.7, 189.7, 339.3, 613.6, 1203.9", \ + " 129.3, 153.3, 218.1, 360.9, 629.2, 1213.9", \ + " 170.9, 194.9, 259.1, 401.7, 660.3, 1234.8", \ + " 236.5, 260.9, 325.0, 468.2, 718.9, 1277.3", \ + " 339.9, 364.0, 428.9, 570.6, 822.1, 1359.3" ); }} +timing() { /* ring osc delay oai211v0x1, path b to z 62.3 */ +related_pin : "b" ; +when : "(a1'*a2*c)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.1 ; */ +/* intrinsic_fall : 44.8 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.0, 57.3, 89.3, 160.6, 286.8, 554.8", \ + " 52.4, 64.6, 96.7, 168.3, 294.7, 562.8", \ + " 58.6, 70.7, 102.7, 174.2, 300.6, 568.8", \ + " 67.5, 79.3, 111.0, 182.2, 308.6, 576.8", \ + " 81.5, 94.9, 126.2, 196.8, 322.9, 590.9", \ + " 98.4, 113.9, 148.9, 218.5, 343.9, 611.4", \ + " 120.7, 138.4, 179.1, 252.2, 376.2, 642.7", \ + " 150.1, 170.2, 216.7, 301.4, 425.2, 689.7", \ + " 190.4, 213.4, 266.2, 363.9, 500.9, 762.1", \ + " 246.6, 273.2, 333.7, 445.1, 605.3, 875.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.2, 127.9, 217.9, 420.3, 780.0, 1544.2", \ + " 96.8, 129.4, 218.0, 420.3, 780.0, 1544.2", \ + " 102.1, 133.7, 220.2, 420.3, 780.0, 1544.2", \ + " 110.6, 141.1, 225.6, 422.1, 780.0, 1544.2", \ + " 127.7, 156.7, 238.0, 429.7, 781.5, 1544.2", \ + " 154.5, 182.0, 259.6, 445.5, 790.2, 1544.3", \ + " 191.2, 222.3, 296.4, 475.0, 810.9, 1551.7", \ + " 238.4, 274.1, 354.4, 525.4, 850.2, 1575.4", \ + " 303.5, 343.8, 436.7, 608.8, 920.4, 1625.7", \ + " 396.0, 441.4, 546.8, 740.2, 1041.2, 1720.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.2, 43.3, 67.3, 121.1, 216.6, 419.5", \ + " 38.5, 47.7, 71.9, 125.8, 221.4, 424.3", \ + " 41.0, 50.7, 75.0, 129.0, 224.6, 427.6", \ + " 43.0, 53.8, 79.1, 133.2, 228.8, 431.8", \ + " 45.1, 57.4, 85.6, 140.6, 236.3, 439.3", \ + " 45.8, 60.2, 92.1, 151.3, 247.0, 449.9", \ + " 43.7, 60.9, 98.1, 164.1, 263.2, 466.1", \ + " 37.1, 57.5, 101.6, 177.4, 285.8, 490.0", \ + " 23.3, 47.3, 99.7, 188.8, 311.2, 526.3", \ + " -2.4, 25.9, 87.9, 193.8, 336.3, 575.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 65.7, 91.0, 159.0, 312.5, 585.5, 1165.8", \ + " 68.8, 93.5, 160.3, 312.6, 585.5, 1165.8", \ + " 73.4, 97.4, 163.2, 314.1, 585.6, 1165.8", \ + " 81.4, 104.3, 168.4, 317.4, 587.0, 1165.8", \ + " 97.6, 119.5, 180.5, 325.8, 591.8, 1166.7", \ + " 116.2, 141.5, 201.9, 342.0, 602.6, 1171.6", \ + " 145.2, 171.2, 236.7, 372.1, 625.2, 1184.5", \ + " 188.5, 215.1, 282.6, 422.3, 666.4, 1212.6", \ + " 252.4, 280.4, 350.2, 496.7, 737.9, 1267.6", \ + " 344.9, 375.4, 450.1, 602.4, 854.2, 1367.6" ); }} +timing() { /* ring osc delay oai211v0x1, path b to z 73.5 */ +related_pin : "b" ; +when : "(a1*a2'*c)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.1 ; */ +/* intrinsic_fall : 44.8 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 59.4, 91.8, 163.9, 291.6, 561.7", \ + " 54.4, 66.7, 99.3, 171.6, 299.4, 569.7", \ + " 60.6, 72.8, 105.2, 177.5, 305.4, 575.7", \ + " 69.5, 81.4, 113.5, 185.6, 313.4, 583.7", \ + " 84.2, 97.3, 128.7, 200.2, 327.7, 597.8", \ + " 101.8, 117.0, 151.5, 221.9, 348.6, 618.3", \ + " 125.1, 142.5, 182.7, 255.6, 381.0, 649.6", \ + " 155.9, 175.5, 221.4, 305.4, 430.0, 696.6", \ + " 198.2, 220.4, 272.4, 369.4, 505.8, 769.0", \ + " 257.6, 283.0, 342.1, 452.5, 612.0, 881.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 137.8, 170.9, 260.0, 461.6, 820.8, 1584.6", \ + " 140.5, 172.4, 260.1, 461.6, 820.8, 1584.6", \ + " 145.7, 176.7, 262.3, 461.6, 820.8, 1584.6", \ + " 154.1, 184.1, 267.6, 463.4, 820.8, 1584.6", \ + " 171.1, 199.6, 280.1, 471.0, 822.3, 1584.6", \ + " 197.3, 224.6, 301.6, 486.7, 830.9, 1584.7", \ + " 238.5, 264.7, 338.2, 516.2, 851.7, 1592.1", \ + " 293.3, 323.5, 396.2, 566.5, 890.9, 1615.8", \ + " 366.2, 400.7, 483.8, 649.9, 961.1, 1666.1", \ + " 467.4, 506.3, 601.4, 782.8, 1081.8, 1761.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 53.2, 77.9, 132.3, 228.3, 431.5", \ + " 48.1, 57.7, 82.5, 137.1, 233.0, 436.3", \ + " 51.3, 60.8, 85.6, 140.2, 236.2, 439.5", \ + " 54.7, 65.0, 89.8, 144.4, 240.5, 443.8", \ + " 58.8, 70.1, 97.1, 151.8, 247.9, 451.2", \ + " 62.2, 75.0, 104.9, 162.6, 258.6, 461.9", \ + " 63.7, 78.7, 112.9, 176.6, 274.8, 478.0", \ + " 61.3, 79.0, 119.1, 191.5, 298.0, 502.0", \ + " 52.4, 73.3, 120.7, 205.2, 324.7, 538.2", \ + " 32.2, 57.0, 113.3, 213.4, 351.8, 587.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.2, 110.4, 178.3, 331.6, 604.6, 1185.1", \ + " 87.4, 112.2, 179.1, 331.6, 604.6, 1185.1", \ + " 91.1, 115.4, 181.6, 332.8, 604.6, 1185.1", \ + " 97.5, 121.3, 186.2, 335.8, 605.8, 1185.1", \ + " 112.4, 134.5, 197.2, 343.6, 610.3, 1185.8", \ + " 132.8, 157.6, 217.0, 358.9, 620.6, 1190.4", \ + " 161.1, 186.9, 251.9, 387.7, 642.3, 1202.9", \ + " 203.1, 230.0, 297.6, 436.4, 682.5, 1230.3", \ + " 265.7, 294.1, 364.6, 511.2, 752.7, 1284.4", \ + " 357.2, 388.4, 463.7, 616.8, 868.5, 1383.4" ); }} +timing() { /* ring osc delay oai211v0x1, path b to z 57.6 */ +related_pin : "b" ; +when : "(a1*a2*c)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.1 ; */ +/* intrinsic_fall : 44.8 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 56.8, 88.8, 160.1, 286.4, 554.4", \ + " 52.0, 64.1, 96.2, 167.7, 294.1, 562.2", \ + " 58.3, 70.3, 102.2, 173.6, 300.0, 568.2", \ + " 67.3, 79.1, 110.6, 181.7, 308.1, 576.2", \ + " 81.6, 94.8, 126.0, 196.5, 322.4, 590.3", \ + " 98.8, 114.2, 148.9, 218.3, 343.5, 610.9", \ + " 121.6, 139.1, 179.6, 252.3, 376.1, 642.3", \ + " 151.9, 171.7, 217.9, 302.0, 425.4, 689.6", \ + " 193.7, 216.3, 268.5, 365.4, 501.8, 762.5", \ + " 252.3, 278.4, 338.0, 448.3, 607.4, 876.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.2, 127.9, 217.9, 420.3, 780.0, 1544.2", \ + " 97.2, 129.6, 218.0, 420.3, 780.0, 1544.2", \ + " 102.5, 134.1, 220.5, 420.3, 780.0, 1544.2", \ + " 111.0, 141.5, 225.9, 422.3, 780.0, 1544.2", \ + " 127.8, 157.0, 238.3, 430.0, 781.6, 1544.2", \ + " 153.8, 181.6, 259.6, 445.7, 790.4, 1544.3", \ + " 189.3, 220.6, 295.5, 474.8, 811.1, 1551.9", \ + " 234.5, 270.5, 351.7, 524.0, 849.8, 1575.5", \ + " 296.6, 337.3, 431.2, 605.1, 918.6, 1625.3", \ + " 384.7, 430.3, 536.7, 732.2, 1036.1, 1718.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.6, 37.4, 57.8, 103.6, 185.0, 357.8", \ + " 34.2, 42.1, 62.6, 108.6, 190.0, 362.8", \ + " 36.5, 45.2, 65.9, 111.9, 193.3, 366.2", \ + " 38.5, 48.0, 70.2, 116.2, 197.7, 370.6", \ + " 40.1, 51.2, 76.3, 123.9, 205.4, 378.3", \ + " 40.0, 53.3, 82.1, 134.4, 216.5, 389.4", \ + " 36.8, 52.7, 86.9, 146.3, 233.2, 406.1", \ + " 28.5, 47.6, 88.5, 157.7, 254.4, 430.7", \ + " 12.0, 34.8, 83.9, 166.3, 277.1, 467.5", \ + " -17.4, 9.5, 68.1, 167.0, 297.8, 512.1" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 52.8, 74.2, 131.6, 261.1, 491.4, 980.8", \ + " 56.5, 77.1, 133.3, 261.3, 491.4, 980.8", \ + " 61.5, 81.4, 136.4, 263.2, 491.6, 980.8", \ + " 70.2, 88.8, 142.2, 266.9, 493.5, 980.8", \ + " 85.0, 105.3, 155.2, 276.2, 499.1, 982.4", \ + " 104.2, 125.6, 177.8, 293.7, 511.3, 988.5", \ + " 133.2, 155.6, 211.4, 325.3, 535.7, 1003.5", \ + " 175.4, 198.9, 257.2, 377.2, 579.3, 1034.5", \ + " 236.9, 262.3, 324.0, 449.9, 653.9, 1093.2", \ + " 325.7, 353.8, 421.3, 554.5, 770.0, 1198.3" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 64.1 ; */ +/* intrinsic_fall : 44.8 ; */ +/* rise_resistance : 3.97 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 59.4, 91.8, 163.9, 291.6, 561.7", \ + " 54.4, 66.7, 99.3, 171.6, 299.4, 569.7", \ + " 60.6, 72.8, 105.2, 177.5, 305.4, 575.7", \ + " 69.5, 81.4, 113.5, 185.6, 313.4, 583.7", \ + " 84.2, 97.3, 128.7, 200.2, 327.7, 597.8", \ + " 101.8, 117.0, 151.5, 221.9, 348.6, 618.3", \ + " 125.1, 142.5, 182.7, 255.6, 381.0, 649.6", \ + " 155.9, 175.5, 221.4, 305.4, 430.0, 696.6", \ + " 198.2, 220.4, 272.4, 369.4, 505.8, 769.0", \ + " 257.6, 283.0, 342.1, 452.5, 612.0, 881.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 137.8, 170.9, 260.0, 461.6, 820.8, 1584.6", \ + " 140.5, 172.4, 260.1, 461.6, 820.8, 1584.6", \ + " 145.7, 176.7, 262.3, 461.6, 820.8, 1584.6", \ + " 154.1, 184.1, 267.6, 463.4, 820.8, 1584.6", \ + " 171.1, 199.6, 280.1, 471.0, 822.3, 1584.6", \ + " 197.3, 224.6, 301.6, 486.7, 830.9, 1584.7", \ + " 238.5, 264.7, 338.2, 516.2, 851.7, 1592.1", \ + " 293.3, 323.5, 396.2, 566.5, 890.9, 1615.8", \ + " 366.2, 400.7, 483.8, 649.9, 961.1, 1666.1", \ + " 467.4, 506.3, 601.4, 782.8, 1081.8, 1761.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 53.2, 77.9, 132.3, 228.3, 431.5", \ + " 48.1, 57.7, 82.5, 137.1, 233.0, 436.3", \ + " 51.3, 60.8, 85.6, 140.2, 236.2, 439.5", \ + " 54.7, 65.0, 89.8, 144.4, 240.5, 443.8", \ + " 58.8, 70.1, 97.1, 151.8, 247.9, 451.2", \ + " 62.2, 75.0, 104.9, 162.6, 258.6, 461.9", \ + " 63.7, 78.7, 112.9, 176.6, 274.8, 478.0", \ + " 61.3, 79.0, 119.1, 191.5, 298.0, 502.0", \ + " 52.4, 73.3, 120.7, 205.2, 324.7, 538.2", \ + " 32.2, 57.0, 113.3, 213.4, 351.8, 587.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.2, 110.4, 178.3, 331.6, 604.6, 1185.1", \ + " 87.4, 112.2, 179.1, 331.6, 604.6, 1185.1", \ + " 91.1, 115.4, 181.6, 332.8, 604.6, 1185.1", \ + " 97.5, 121.3, 186.2, 335.8, 605.8, 1185.1", \ + " 112.4, 134.5, 197.2, 343.6, 610.3, 1185.8", \ + " 132.8, 157.6, 217.0, 358.9, 620.6, 1190.4", \ + " 161.1, 186.9, 251.9, 387.7, 642.3, 1202.9", \ + " 203.1, 230.0, 297.6, 436.4, 682.5, 1230.3", \ + " 265.7, 294.1, 364.6, 511.2, 752.7, 1284.4", \ + " 357.2, 388.4, 463.7, 616.8, 868.5, 1383.4" ); }} +timing() { /* ring osc delay oai211v0x1, path c to z 55.8 */ +related_pin : "c" ; +when : "(a1'*a2*b)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 43.2 ; */ +/* rise_resistance : 3.98 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.4, 49.0, 81.4, 152.9, 279.3, 547.3", \ + " 43.8, 56.1, 88.6, 160.5, 287.2, 555.4", \ + " 50.2, 62.2, 94.4, 166.3, 293.0, 561.4", \ + " 58.4, 70.9, 102.6, 174.2, 300.9, 569.3", \ + " 70.2, 85.2, 117.8, 188.7, 315.1, 583.4", \ + " 84.7, 102.0, 139.8, 210.1, 335.8, 603.7", \ + " 104.1, 123.9, 167.8, 243.7, 368.0, 634.8", \ + " 129.8, 152.5, 202.8, 291.4, 416.7, 681.6", \ + " 164.9, 191.2, 248.8, 351.1, 491.9, 753.7", \ + " 213.5, 244.3, 311.1, 428.6, 593.4, 866.1" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.3, 108.9, 198.8, 401.0, 760.4, 1524.2", \ + " 78.5, 110.6, 198.8, 401.0, 760.4, 1524.2", \ + " 84.4, 115.3, 201.2, 401.0, 760.4, 1524.2", \ + " 93.4, 123.3, 206.8, 402.7, 760.4, 1524.2", \ + " 111.4, 139.5, 219.7, 410.4, 761.8, 1524.2", \ + " 138.4, 165.6, 241.9, 426.5, 770.5, 1524.3", \ + " 171.5, 204.9, 279.4, 456.5, 791.5, 1531.7", \ + " 216.1, 253.7, 338.4, 507.6, 831.2, 1555.4", \ + " 279.5, 321.1, 417.5, 591.8, 902.2, 1606.1", \ + " 371.5, 417.3, 524.7, 723.0, 1023.9, 1702.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.9, 37.1, 61.2, 115.1, 210.6, 413.5", \ + " 33.4, 42.5, 66.7, 120.7, 216.3, 419.3", \ + " 36.9, 46.9, 71.0, 125.0, 220.6, 423.6", \ + " 39.7, 51.4, 76.9, 130.9, 226.5, 429.4", \ + " 42.4, 56.4, 86.5, 141.3, 236.8, 439.6", \ + " 43.7, 60.1, 95.6, 156.4, 251.7, 454.4", \ + " 42.6, 61.9, 103.7, 175.3, 274.5, 476.7", \ + " 37.7, 60.4, 109.3, 193.4, 307.1, 510.0", \ + " 26.2, 52.8, 110.4, 209.1, 342.9, 560.6", \ + " 3.7, 35.2, 103.1, 219.2, 376.8, 631.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.8, 87.9, 154.7, 304.8, 571.3, 1137.8", \ + " 68.4, 91.8, 156.0, 304.5, 571.3, 1137.8", \ + " 75.4, 98.0, 160.3, 305.9, 571.3, 1137.8", \ + " 85.8, 107.5, 168.1, 310.5, 571.9, 1137.8", \ + " 103.5, 126.2, 184.4, 322.3, 578.0, 1137.7", \ + " 122.3, 149.6, 210.0, 343.8, 592.8, 1142.6", \ + " 151.3, 179.8, 248.7, 380.6, 622.2, 1159.5", \ + " 193.3, 223.8, 296.6, 437.8, 673.6, 1196.1", \ + " 253.9, 287.7, 365.6, 518.9, 757.2, 1265.6", \ + " 341.4, 379.7, 466.0, 630.0, 886.9, 1386.6" ); }} +timing() { /* ring osc delay oai211v0x1, path c to z 68.1 */ +related_pin : "c" ; +when : "(a1*a2'*b)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 43.2 ; */ +/* rise_resistance : 3.98 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 50.9, 83.7, 156.1, 283.9, 554.2", \ + " 45.6, 58.1, 91.0, 163.8, 291.8, 562.3", \ + " 52.0, 64.2, 96.8, 169.5, 297.7, 568.2", \ + " 60.6, 72.8, 105.0, 177.5, 305.6, 576.2", \ + " 73.0, 87.7, 120.1, 191.9, 319.7, 590.2", \ + " 88.3, 105.2, 142.6, 213.4, 340.5, 610.5", \ + " 108.8, 128.1, 171.5, 247.0, 372.6, 641.7", \ + " 136.1, 158.0, 207.5, 295.5, 421.3, 688.4", \ + " 173.7, 198.8, 255.2, 356.7, 496.9, 760.5", \ + " 226.4, 255.3, 319.9, 436.2, 600.2, 872.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 119.2, 152.2, 241.0, 442.3, 801.2, 1564.6", \ + " 122.4, 153.9, 241.0, 442.3, 801.2, 1564.6", \ + " 128.2, 158.5, 243.4, 442.3, 801.2, 1564.6", \ + " 137.2, 166.4, 248.9, 444.0, 801.2, 1564.6", \ + " 154.6, 182.5, 261.8, 451.7, 802.5, 1564.6", \ + " 181.3, 208.0, 283.9, 467.8, 811.3, 1564.6", \ + " 223.0, 248.9, 321.1, 497.7, 832.2, 1572.0", \ + " 274.7, 306.1, 379.8, 548.7, 871.9, 1595.8", \ + " 345.2, 380.6, 466.3, 632.9, 942.8, 1646.5", \ + " 445.1, 484.2, 580.9, 766.7, 1064.5, 1742.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 47.0, 71.8, 126.3, 222.3, 425.5", \ + " 42.9, 52.5, 77.4, 131.9, 228.0, 431.2", \ + " 47.5, 56.9, 81.7, 136.3, 232.3, 435.5", \ + " 52.4, 62.9, 87.6, 142.1, 238.1, 441.3", \ + " 58.0, 70.3, 98.1, 152.5, 248.4, 451.6", \ + " 62.4, 76.9, 109.4, 167.6, 263.3, 466.3", \ + " 65.1, 82.0, 120.3, 188.2, 286.1, 488.6", \ + " 64.5, 84.3, 129.0, 208.8, 319.3, 521.9", \ + " 58.2, 81.3, 133.7, 227.3, 357.3, 572.5", \ + " 42.0, 69.2, 130.8, 240.8, 393.9, 644.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.3, 107.2, 173.8, 323.7, 590.3, 1156.9", \ + " 85.8, 109.5, 174.3, 323.5, 590.3, 1156.9", \ + " 91.5, 114.6, 177.9, 324.3, 590.2, 1156.9", \ + " 100.5, 123.1, 184.7, 328.2, 590.5, 1156.9", \ + " 118.8, 140.0, 199.8, 339.2, 596.0, 1156.8", \ + " 139.9, 166.2, 224.3, 359.7, 610.0, 1161.1", \ + " 168.4, 196.5, 264.2, 395.5, 638.5, 1177.4", \ + " 210.3, 240.3, 312.5, 451.7, 688.9, 1213.2", \ + " 271.4, 304.2, 381.6, 534.1, 771.7, 1281.7", \ + " 359.8, 397.0, 482.1, 645.7, 901.6, 1401.8" ); }} +timing() { /* ring osc delay oai211v0x1, path c to z 52.2 */ +related_pin : "c" ; +when : "(a1*a2*b)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 43.2 ; */ +/* rise_resistance : 3.98 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.3, 48.8, 81.2, 152.7, 279.1, 547.2", \ + " 43.5, 55.8, 88.3, 160.2, 286.9, 555.2", \ + " 50.0, 61.9, 94.1, 166.0, 292.7, 561.1", \ + " 58.3, 70.7, 102.3, 173.9, 300.6, 569.0", \ + " 70.2, 85.1, 117.6, 188.4, 314.7, 583.0", \ + " 85.0, 102.3, 139.9, 210.0, 335.6, 603.4", \ + " 105.0, 124.6, 168.3, 243.8, 367.9, 634.6", \ + " 131.7, 154.0, 203.9, 291.9, 416.9, 681.6", \ + " 168.2, 194.1, 251.0, 352.6, 492.7, 754.1", \ + " 219.2, 249.5, 315.3, 431.6, 595.4, 867.2" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.3, 109.0, 198.8, 401.0, 760.4, 1524.2", \ + " 78.8, 110.8, 198.8, 401.0, 760.4, 1524.2", \ + " 84.7, 115.7, 201.4, 401.0, 760.4, 1524.2", \ + " 93.8, 123.6, 207.1, 402.8, 760.4, 1524.2", \ + " 111.5, 139.7, 220.0, 410.7, 761.9, 1524.2", \ + " 137.9, 165.2, 241.9, 426.7, 770.7, 1524.3", \ + " 169.8, 203.4, 278.5, 456.4, 791.6, 1531.7", \ + " 212.6, 250.6, 335.9, 506.4, 830.8, 1555.4", \ + " 273.3, 315.1, 412.4, 588.3, 900.5, 1605.7", \ + " 361.3, 407.2, 515.4, 715.7, 1019.2, 1700.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 32.9, 53.4, 99.3, 180.6, 353.5", \ + " 31.0, 38.8, 59.3, 105.3, 186.7, 359.6", \ + " 34.0, 43.3, 64.0, 109.9, 191.3, 364.2", \ + " 36.5, 47.3, 70.3, 116.1, 197.5, 370.3", \ + " 38.7, 51.7, 79.4, 127.2, 208.5, 381.2", \ + " 39.2, 54.6, 87.6, 142.9, 224.3, 396.9", \ + " 37.0, 55.3, 94.4, 160.4, 248.5, 420.7", \ + " 30.5, 52.0, 98.2, 176.5, 280.6, 456.0", \ + " 16.7, 42.1, 96.8, 189.4, 313.2, 509.4", \ + " -9.1, 21.0, 85.7, 195.5, 342.6, 576.5" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.9, 72.1, 128.3, 254.8, 479.7, 957.6", \ + " 57.6, 77.0, 130.5, 254.8, 479.7, 957.6", \ + " 65.1, 83.7, 135.5, 257.1, 479.6, 957.6", \ + " 76.6, 94.0, 144.0, 262.6, 481.3, 957.6", \ + " 92.3, 114.2, 161.5, 275.8, 489.1, 958.2", \ + " 112.1, 135.5, 188.6, 299.2, 506.0, 965.5", \ + " 141.4, 166.5, 225.9, 338.1, 538.3, 985.8", \ + " 182.9, 210.4, 274.4, 398.0, 593.2, 1026.9", \ + " 242.0, 273.2, 343.3, 477.3, 680.6, 1102.3", \ + " 326.9, 362.9, 442.3, 588.2, 811.2, 1230.4" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.6 ; */ +/* intrinsic_fall : 43.2 ; */ +/* rise_resistance : 3.98 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.2, 50.9, 83.7, 156.1, 283.9, 554.2", \ + " 45.6, 58.1, 91.0, 163.8, 291.8, 562.3", \ + " 52.0, 64.2, 96.8, 169.5, 297.7, 568.2", \ + " 60.6, 72.8, 105.0, 177.5, 305.6, 576.2", \ + " 73.0, 87.7, 120.1, 191.9, 319.7, 590.2", \ + " 88.3, 105.2, 142.6, 213.4, 340.5, 610.5", \ + " 108.8, 128.1, 171.5, 247.0, 372.6, 641.7", \ + " 136.1, 158.0, 207.5, 295.5, 421.3, 688.4", \ + " 173.7, 198.8, 255.2, 356.7, 496.9, 760.5", \ + " 226.4, 255.3, 319.9, 436.2, 600.2, 872.9" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 119.2, 152.2, 241.0, 442.3, 801.2, 1564.6", \ + " 122.4, 153.9, 241.0, 442.3, 801.2, 1564.6", \ + " 128.2, 158.5, 243.4, 442.3, 801.2, 1564.6", \ + " 137.2, 166.4, 248.9, 444.0, 801.2, 1564.6", \ + " 154.6, 182.5, 261.8, 451.7, 802.5, 1564.6", \ + " 181.3, 208.0, 283.9, 467.8, 811.3, 1564.6", \ + " 223.0, 248.9, 321.1, 497.7, 832.2, 1572.0", \ + " 274.7, 306.1, 379.8, 548.7, 871.9, 1595.8", \ + " 345.2, 380.6, 466.3, 632.9, 942.8, 1646.5", \ + " 445.1, 484.2, 580.9, 766.7, 1064.5, 1742.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 47.0, 71.8, 126.3, 222.3, 425.5", \ + " 42.9, 52.5, 77.4, 131.9, 228.0, 431.2", \ + " 47.5, 56.9, 81.7, 136.3, 232.3, 435.5", \ + " 52.4, 62.9, 87.6, 142.1, 238.1, 441.3", \ + " 58.0, 70.3, 98.1, 152.5, 248.4, 451.6", \ + " 62.4, 76.9, 109.4, 167.6, 263.3, 466.3", \ + " 65.1, 82.0, 120.3, 188.2, 286.1, 488.6", \ + " 64.5, 84.3, 129.0, 208.8, 319.3, 521.9", \ + " 58.2, 81.3, 133.7, 227.3, 357.3, 572.5", \ + " 42.0, 69.2, 130.8, 240.8, 393.9, 644.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.3, 107.2, 173.8, 323.7, 590.3, 1156.9", \ + " 85.8, 109.5, 174.3, 323.5, 590.3, 1156.9", \ + " 91.5, 114.6, 177.9, 324.3, 590.2, 1156.9", \ + " 100.5, 123.1, 184.7, 328.2, 590.5, 1156.9", \ + " 118.8, 140.0, 199.8, 339.2, 596.0, 1156.8", \ + " 139.9, 166.2, 224.3, 359.7, 610.0, 1161.1", \ + " 168.4, 196.5, 264.2, 395.5, 638.5, 1177.4", \ + " 210.3, 240.3, 312.5, 451.7, 688.9, 1213.2", \ + " 271.4, 304.2, 381.6, 534.1, 771.7, 1281.7", \ + " 359.8, 397.0, 482.1, 645.7, 901.6, 1401.8" ); }} +} +} +cell(oai22v0x05) { /* 2008-01-06:07h51 characteristic delay 16.0 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* oai22v0x05 */ +cell_footprint : oai22 ; +pin(a1) { /* oai22v0x05 FO4 effort 2.02 logical effort 1.89 */ +direction : input ; +capacitance : 2.66 ; +rise_capacitance : 2.67 ; +fall_capacitance : 2.66 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai22v0x05 */ +} +pin(a2) { /* oai22v0x05 FO4 effort 1.86 logical effort 1.85 */ +direction : input ; +capacitance : 2.61 ; +rise_capacitance : 2.38 ; +fall_capacitance : 2.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai22v0x05 */ +} +pin(b1) { /* oai22v0x05 FO4 effort 1.85 logical effort 1.94 */ +direction : input ; +capacitance : 2.71 ; +rise_capacitance : 2.77 ; +fall_capacitance : 2.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of oai22v0x05 */ +} +pin(b2) { /* oai22v0x05 FO4 effort 1.64 logical effort 1.81 */ +direction : input ; +capacitance : 2.54 ; +rise_capacitance : 2.40 ; +fall_capacitance : 2.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of oai22v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 54 ; +max_fanout : 2 ; +function : "((a1+a2)*(b1+b2))'" ; +internal_power(a1_z_n) { /* oai22v0x05 12.53 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 6.42, 6.42, 6.43, 6.42, 6.41", \ + " 6.29, 6.31, 6.33, 6.34, 6.34", \ + " 6.25, 6.27, 6.29, 6.32, 6.32", \ + " 6.21, 6.23, 6.26, 6.29, 6.30", \ + " 6.22, 6.23, 6.25, 6.28, 6.29", \ + " 6.34, 6.33, 6.32, 6.31, 6.31", \ + " 6.70, 6.64, 6.55, 6.46, 6.40", \ + " 7.46, 7.33, 7.10, 6.85, 6.66", \ + " 8.89, 8.67, 8.25, 7.72, 7.28", \ + " 11.37, 11.05, 10.38, 9.45, 8.59" ); }} +internal_power(a2_z_n) { /* oai22v0x05 10.17 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 5.16, 5.19, 5.22, 5.24, 5.24", \ + " 5.02, 5.07, 5.14, 5.20, 5.22", \ + " 4.98, 5.03, 5.11, 5.18, 5.21", \ + " 4.98, 5.01, 5.08, 5.16, 5.20", \ + " 5.07, 5.08, 5.11, 5.16, 5.19", \ + " 5.32, 5.28, 5.25, 5.23, 5.23", \ + " 5.84, 5.74, 5.59, 5.45, 5.37", \ + " 6.78, 6.59, 6.28, 5.95, 5.71", \ + " 8.41, 8.12, 7.59, 6.95, 6.43", \ + " 11.12, 10.72, 9.92, 8.85, 7.89" ); }} +internal_power(b1_z_n) { /* oai22v0x05 9.35 nW/MHz */ +related_pin : "b1" ; +power(pwr_x05_81_5x10) { +values( " 4.80, 4.82, 4.85, 4.86, 4.86", \ + " 4.65, 4.69, 4.74, 4.79, 4.80", \ + " 4.61, 4.64, 4.70, 4.76, 4.78", \ + " 4.60, 4.63, 4.68, 4.73, 4.76", \ + " 4.67, 4.67, 4.69, 4.72, 4.75", \ + " 4.89, 4.85, 4.80, 4.78, 4.78", \ + " 5.36, 5.26, 5.11, 4.97, 4.89", \ + " 6.24, 6.06, 5.76, 5.43, 5.19", \ + " 7.77, 7.50, 6.99, 6.37, 5.86", \ + " 10.27, 9.90, 9.16, 8.14, 7.21" ); }} +internal_power(b2_z_n) { /* oai22v0x05 7.01 nW/MHz */ +related_pin : "b2" ; +power(pwr_x05_81_5x10) { +values( " 3.47, 3.53, 3.59, 3.62, 3.64", \ + " 3.38, 3.44, 3.53, 3.60, 3.64", \ + " 3.38, 3.42, 3.50, 3.58, 3.63", \ + " 3.43, 3.45, 3.50, 3.58, 3.62", \ + " 3.61, 3.58, 3.57, 3.60, 3.63", \ + " 3.95, 3.87, 3.77, 3.71, 3.69", \ + " 4.57, 4.42, 4.19, 3.98, 3.86", \ + " 5.61, 5.36, 4.96, 4.54, 4.24", \ + " 7.32, 6.96, 6.33, 5.60, 5.01", \ + " 10.05, 9.58, 8.68, 7.51, 6.50" ); }} +timing() { /* ring osc delay oai22v0x05, path a1 to z 103.4 */ +related_pin : "a1" ; +when : "(a2'*b1'*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.9 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.9, 82.1, 119.2, 201.4, 346.7, 654.8", \ + " 75.1, 89.4, 126.6, 209.0, 354.3, 662.5", \ + " 80.0, 94.3, 131.6, 214.0, 359.4, 667.6", \ + " 86.3, 100.6, 137.9, 220.3, 365.7, 674.0", \ + " 96.9, 111.5, 148.7, 231.1, 376.5, 684.8", \ + " 109.2, 125.5, 164.4, 246.6, 391.9, 700.0", \ + " 125.6, 143.4, 186.3, 270.5, 415.3, 723.2", \ + " 147.5, 167.2, 214.1, 305.5, 450.7, 757.7", \ + " 177.9, 199.8, 251.6, 351.5, 505.1, 810.7", \ + " 219.7, 244.7, 302.8, 413.0, 580.9, 893.0" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 134.6, 173.3, 277.3, 512.2, 930.1, 1818.1", \ + " 135.0, 173.6, 277.4, 512.2, 930.1, 1818.1", \ + " 137.4, 175.4, 278.0, 512.3, 930.1, 1818.1", \ + " 142.0, 179.5, 281.1, 513.1, 930.2, 1818.1", \ + " 152.5, 189.1, 288.8, 517.9, 931.3, 1818.1", \ + " 171.5, 206.5, 303.5, 528.5, 937.3, 1818.4", \ + " 205.6, 238.8, 330.9, 549.8, 951.9, 1824.5", \ + " 252.4, 288.2, 378.3, 588.4, 980.9, 1841.7", \ + " 320.4, 357.7, 452.9, 655.8, 1035.5, 1879.1", \ + " 416.9, 456.8, 557.4, 768.1, 1132.3, 1952.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.7, 63.2, 90.2, 149.8, 255.0, 477.6", \ + " 58.5, 69.1, 96.3, 156.0, 261.2, 483.9", \ + " 62.3, 72.8, 100.1, 160.0, 265.2, 487.9", \ + " 66.7, 77.5, 104.8, 164.7, 270.0, 492.8", \ + " 72.3, 84.2, 112.6, 172.6, 278.0, 500.8", \ + " 77.8, 91.0, 122.3, 183.8, 289.2, 512.0", \ + " 82.6, 97.9, 133.1, 200.0, 306.2, 529.0", \ + " 85.7, 103.7, 144.3, 218.9, 331.5, 554.1", \ + " 86.0, 107.1, 154.7, 239.9, 364.0, 592.4", \ + " 81.8, 106.3, 162.0, 261.4, 401.6, 649.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.9, 112.7, 184.7, 347.6, 637.7, 1254.4", \ + " 86.6, 113.2, 184.9, 347.6, 637.7, 1254.4", \ + " 89.3, 115.4, 186.2, 347.9, 637.7, 1254.4", \ + " 94.3, 119.8, 189.5, 349.7, 637.9, 1254.4", \ + " 106.5, 130.6, 198.1, 355.5, 640.9, 1254.5", \ + " 127.0, 150.9, 214.9, 367.8, 648.8, 1257.4", \ + " 156.6, 182.1, 246.7, 392.8, 666.7, 1267.0", \ + " 200.3, 227.3, 295.3, 438.1, 701.6, 1289.5", \ + " 266.1, 294.6, 366.0, 514.0, 766.6, 1336.2", \ + " 364.8, 395.3, 470.5, 625.5, 879.9, 1425.6" ); }} +timing() { /* ring osc delay oai22v0x05, path a1 to z 115.5 */ +related_pin : "a1" ; +when : "(a2'*b1*b2')" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.9 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 84.8, 122.3, 205.4, 352.3, 662.8", \ + " 77.7, 92.1, 129.7, 213.0, 359.9, 670.5", \ + " 82.6, 97.0, 134.7, 218.0, 365.0, 675.6", \ + " 88.9, 103.3, 140.9, 224.3, 371.3, 681.9", \ + " 99.8, 114.2, 151.8, 235.1, 382.1, 692.7", \ + " 112.6, 128.7, 167.5, 250.6, 397.5, 708.0", \ + " 129.6, 147.2, 189.9, 274.5, 420.9, 731.2", \ + " 152.5, 171.8, 218.4, 309.9, 456.3, 765.7", \ + " 184.4, 205.7, 256.9, 356.7, 510.9, 818.6", \ + " 228.5, 252.6, 309.7, 419.4, 587.7, 900.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 184.4, 222.6, 325.7, 559.7, 977.1, 1864.6", \ + " 184.8, 222.8, 325.7, 559.7, 977.1, 1864.6", \ + " 187.2, 224.6, 326.4, 559.8, 977.1, 1864.6", \ + " 191.8, 228.7, 329.4, 560.6, 977.1, 1864.6", \ + " 202.2, 238.2, 337.1, 565.4, 978.2, 1864.6", \ + " 220.7, 255.6, 351.8, 576.0, 984.2, 1865.0", \ + " 254.1, 286.8, 379.0, 597.2, 998.8, 1871.0", \ + " 306.2, 339.3, 425.9, 635.8, 1027.8, 1888.2", \ + " 378.6, 413.0, 503.1, 703.0, 1082.3, 1925.6", \ + " 480.3, 516.9, 611.9, 815.4, 1179.0, 1999.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 74.7, 102.1, 162.2, 267.7, 490.6", \ + " 70.0, 80.7, 108.2, 168.4, 274.0, 497.0", \ + " 73.8, 84.5, 112.1, 172.4, 277.9, 501.0", \ + " 78.5, 89.2, 116.8, 177.1, 282.8, 505.8", \ + " 85.5, 96.8, 124.7, 185.1, 290.7, 513.8", \ + " 92.8, 105.2, 135.3, 196.3, 302.0, 525.1", \ + " 100.3, 114.3, 147.7, 213.0, 319.0, 542.0", \ + " 107.0, 123.1, 161.0, 233.1, 344.4, 567.1", \ + " 111.3, 130.2, 174.2, 256.0, 378.0, 605.4", \ + " 111.6, 133.7, 185.2, 280.0, 417.2, 662.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.8, 132.7, 204.9, 367.9, 658.1, 1275.0", \ + " 106.1, 132.9, 205.0, 367.9, 658.1, 1275.0", \ + " 108.2, 134.6, 205.9, 368.1, 658.1, 1275.0", \ + " 112.4, 138.4, 208.8, 369.6, 658.3, 1275.0", \ + " 122.6, 147.8, 216.5, 374.9, 660.9, 1275.1", \ + " 142.5, 166.1, 232.0, 386.5, 668.4, 1277.7", \ + " 172.2, 197.6, 261.9, 410.2, 685.5, 1286.9", \ + " 215.6, 242.5, 310.3, 453.8, 719.4, 1308.9", \ + " 280.6, 309.2, 380.6, 528.7, 782.8, 1354.7", \ + " 378.0, 408.7, 484.4, 639.8, 894.5, 1442.9" ); }} +timing() { /* ring osc delay oai22v0x05, path a1 to z 101.8 */ +related_pin : "a1" ; +when : "(a2'*b1*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.9 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.0, 86.0, 122.8, 204.8, 349.9, 658.0", \ + " 79.2, 93.3, 130.3, 212.4, 357.7, 665.8", \ + " 84.1, 98.2, 135.2, 217.4, 362.7, 670.9", \ + " 90.4, 104.5, 141.4, 223.7, 369.1, 677.3", \ + " 101.2, 115.4, 152.3, 234.4, 379.8, 688.0", \ + " 114.0, 129.8, 168.0, 250.0, 395.2, 703.3", \ + " 131.0, 148.4, 190.4, 274.0, 418.7, 726.5", \ + " 153.9, 173.0, 219.0, 309.4, 454.2, 761.1", \ + " 185.6, 206.8, 257.5, 356.4, 509.2, 814.4", \ + " 229.2, 253.5, 310.4, 419.3, 586.2, 897.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 139.3, 178.3, 282.7, 517.9, 936.1, 1824.2", \ + " 139.6, 178.5, 282.8, 518.0, 936.1, 1824.2", \ + " 141.9, 180.2, 283.3, 518.0, 936.1, 1824.2", \ + " 146.3, 184.2, 286.3, 518.7, 936.1, 1824.2", \ + " 156.4, 193.4, 293.8, 523.4, 937.1, 1824.2", \ + " 174.6, 210.2, 308.0, 533.8, 943.0, 1824.5", \ + " 207.6, 241.1, 334.5, 554.6, 957.4, 1830.4", \ + " 252.6, 288.9, 380.1, 592.0, 985.8, 1847.4", \ + " 316.5, 354.8, 451.7, 657.0, 1038.9, 1884.2", \ + " 406.2, 447.4, 550.8, 764.8, 1132.5, 1956.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.1, 51.1, 71.5, 116.5, 195.7, 363.2", \ + " 49.7, 57.7, 78.3, 123.4, 202.5, 370.1", \ + " 54.4, 62.5, 83.1, 128.3, 207.5, 375.1", \ + " 60.3, 68.8, 89.5, 134.7, 214.0, 381.6", \ + " 67.7, 77.8, 100.7, 146.1, 225.4, 393.1", \ + " 74.4, 86.4, 113.5, 162.4, 241.8, 409.4", \ + " 80.1, 94.5, 127.0, 184.2, 266.5, 434.1", \ + " 83.8, 100.9, 139.6, 207.7, 301.6, 470.6", \ + " 84.6, 104.7, 150.5, 231.7, 342.7, 525.6", \ + " 80.8, 104.2, 158.1, 254.3, 386.4, 600.5" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 72.6, 92.7, 146.8, 269.5, 488.2, 953.4", \ + " 74.0, 93.7, 147.2, 269.6, 488.2, 953.4", \ + " 77.7, 96.9, 149.4, 270.3, 488.3, 953.4", \ + " 84.8, 103.3, 154.6, 273.4, 489.1, 953.4", \ + " 100.6, 118.1, 167.2, 282.7, 494.4, 954.0", \ + " 124.2, 142.9, 190.0, 301.1, 507.5, 959.7", \ + " 156.8, 177.5, 228.3, 335.0, 534.3, 976.5", \ + " 202.8, 225.6, 281.3, 391.0, 582.5, 1011.8", \ + " 270.5, 295.2, 355.8, 475.7, 664.8, 1078.3", \ + " 370.2, 397.1, 462.8, 593.6, 796.4, 1196.4" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 84.9 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 7.33 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 84.8, 122.3, 205.4, 352.3, 662.8", \ + " 77.7, 92.1, 129.7, 213.0, 359.9, 670.5", \ + " 82.6, 97.0, 134.7, 218.0, 365.0, 675.6", \ + " 88.9, 103.3, 140.9, 224.3, 371.3, 681.9", \ + " 99.8, 114.2, 151.8, 235.1, 382.1, 692.7", \ + " 112.6, 128.7, 167.5, 250.6, 397.5, 708.0", \ + " 129.6, 147.2, 189.9, 274.5, 420.9, 731.2", \ + " 152.5, 171.8, 218.4, 309.9, 456.3, 765.7", \ + " 184.4, 205.7, 256.9, 356.7, 510.9, 818.6", \ + " 228.5, 252.6, 309.7, 419.4, 587.7, 900.9" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 184.4, 222.6, 325.7, 559.7, 977.1, 1864.6", \ + " 184.8, 222.8, 325.7, 559.7, 977.1, 1864.6", \ + " 187.2, 224.6, 326.4, 559.8, 977.1, 1864.6", \ + " 191.8, 228.7, 329.4, 560.6, 977.1, 1864.6", \ + " 202.2, 238.2, 337.1, 565.4, 978.2, 1864.6", \ + " 220.7, 255.6, 351.8, 576.0, 984.2, 1865.0", \ + " 254.1, 286.8, 379.0, 597.2, 998.8, 1871.0", \ + " 306.2, 339.3, 425.9, 635.8, 1027.8, 1888.2", \ + " 378.6, 413.0, 503.1, 703.0, 1082.3, 1925.6", \ + " 480.3, 516.9, 611.9, 815.4, 1179.0, 1999.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 74.7, 102.1, 162.2, 267.7, 490.6", \ + " 70.0, 80.7, 108.2, 168.4, 274.0, 497.0", \ + " 73.8, 84.5, 112.1, 172.4, 277.9, 501.0", \ + " 78.5, 89.2, 116.8, 177.1, 282.8, 505.8", \ + " 85.5, 96.8, 124.7, 185.1, 290.7, 513.8", \ + " 92.8, 105.2, 135.3, 196.3, 302.0, 525.1", \ + " 100.3, 114.3, 147.7, 213.0, 319.0, 542.0", \ + " 107.0, 123.1, 161.0, 233.1, 344.4, 567.1", \ + " 111.3, 130.2, 174.2, 256.0, 378.0, 605.4", \ + " 111.6, 133.7, 185.2, 280.0, 417.2, 662.8" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.8, 132.7, 204.9, 367.9, 658.1, 1275.0", \ + " 106.1, 132.9, 205.0, 367.9, 658.1, 1275.0", \ + " 108.2, 134.6, 205.9, 368.1, 658.1, 1275.0", \ + " 112.4, 138.4, 208.8, 369.6, 658.3, 1275.0", \ + " 122.6, 147.8, 216.5, 374.9, 660.9, 1275.1", \ + " 142.5, 166.1, 232.0, 386.5, 668.4, 1277.7", \ + " 172.2, 197.6, 261.9, 410.2, 685.5, 1286.9", \ + " 215.6, 242.5, 310.3, 453.8, 719.4, 1308.9", \ + " 280.6, 309.2, 380.6, 528.7, 782.8, 1354.7", \ + " 378.0, 408.7, 484.4, 639.8, 894.5, 1442.9" ); }} +timing() { /* ring osc delay oai22v0x05, path a2 to z 90.7 */ +related_pin : "a2" ; +when : "(a1'*b1'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 58.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.1, 73.5, 110.7, 193.0, 338.4, 646.5", \ + " 64.4, 78.8, 116.2, 198.8, 344.2, 652.5", \ + " 69.4, 83.7, 121.0, 203.5, 349.0, 657.3", \ + " 76.8, 90.9, 127.9, 210.2, 355.6, 663.9", \ + " 90.0, 104.4, 140.7, 222.4, 367.5, 675.6", \ + " 105.6, 122.1, 160.3, 240.9, 385.2, 692.8", \ + " 125.7, 144.3, 187.8, 269.9, 412.7, 719.1", \ + " 151.8, 172.5, 221.5, 313.4, 454.8, 759.0", \ + " 186.8, 210.2, 265.0, 369.1, 520.6, 821.1", \ + " 234.5, 261.2, 323.3, 440.4, 613.6, 918.7" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 131.4, 169.4, 271.2, 500.8, 909.3, 1777.1", \ + " 132.8, 169.9, 271.1, 500.8, 909.3, 1777.1", \ + " 137.5, 173.7, 272.7, 500.8, 909.3, 1777.1", \ + " 145.6, 180.7, 277.5, 502.0, 909.3, 1777.1", \ + " 162.1, 195.8, 289.2, 508.8, 910.2, 1777.1", \ + " 188.3, 220.5, 310.3, 523.6, 917.8, 1777.1", \ + " 228.3, 260.6, 346.5, 552.2, 937.1, 1782.9", \ + " 274.5, 313.9, 403.8, 601.8, 974.8, 1804.4", \ + " 340.9, 383.9, 486.7, 684.3, 1043.8, 1852.0", \ + " 437.3, 484.5, 596.9, 815.1, 1163.2, 1944.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 53.8, 80.4, 139.5, 244.3, 466.6", \ + " 49.3, 59.8, 86.8, 146.3, 251.3, 473.8", \ + " 52.8, 63.4, 90.6, 150.3, 255.4, 478.0", \ + " 56.5, 67.8, 95.1, 155.0, 260.2, 482.9", \ + " 60.3, 73.1, 102.7, 162.7, 268.1, 490.9", \ + " 63.4, 78.0, 111.0, 173.7, 279.2, 502.1", \ + " 64.9, 82.1, 120.0, 189.1, 296.0, 518.9", \ + " 63.4, 84.0, 128.5, 206.3, 320.9, 543.8", \ + " 57.2, 81.7, 134.6, 224.7, 351.8, 581.8", \ + " 43.5, 72.4, 135.2, 241.9, 386.8, 637.7" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 64.6, 91.6, 163.8, 326.9, 617.0, 1233.5", \ + " 65.2, 92.0, 164.0, 326.9, 617.0, 1233.5", \ + " 68.4, 94.2, 165.0, 327.0, 617.0, 1233.5", \ + " 74.0, 99.0, 168.4, 328.6, 617.1, 1233.5", \ + " 88.1, 110.6, 177.1, 334.3, 619.8, 1233.6", \ + " 107.2, 131.9, 194.3, 346.6, 627.5, 1236.1", \ + " 135.6, 161.4, 227.4, 371.5, 645.2, 1245.6", \ + " 178.7, 205.6, 274.1, 417.0, 680.0, 1267.8", \ + " 244.5, 272.7, 343.6, 492.8, 744.8, 1314.3", \ + " 343.5, 373.5, 448.0, 602.4, 858.7, 1403.5" ); }} +timing() { /* ring osc delay oai22v0x05, path a2 to z 103.0 */ +related_pin : "a2" ; +when : "(a1'*b1*b2')" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 58.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.8, 76.2, 113.8, 197.1, 344.0, 654.5", \ + " 67.0, 81.5, 119.3, 202.8, 349.8, 660.5", \ + " 72.1, 86.4, 124.1, 207.6, 354.6, 665.3", \ + " 79.4, 93.6, 131.0, 214.2, 361.2, 671.9", \ + " 93.1, 107.1, 143.8, 226.5, 373.1, 683.6", \ + " 109.3, 125.5, 163.4, 244.9, 390.8, 700.7", \ + " 130.5, 148.6, 191.7, 273.9, 418.3, 727.1", \ + " 157.8, 178.1, 226.5, 317.9, 460.4, 767.0", \ + " 194.8, 217.4, 271.5, 375.1, 526.3, 829.0", \ + " 245.6, 271.2, 331.9, 448.2, 620.9, 926.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 180.1, 217.5, 318.4, 547.2, 955.2, 1822.6", \ + " 181.5, 218.0, 318.3, 547.2, 955.2, 1822.6", \ + " 186.2, 221.8, 319.9, 547.2, 955.2, 1822.6", \ + " 194.2, 228.7, 324.6, 548.4, 955.2, 1822.6", \ + " 210.7, 243.8, 336.4, 555.1, 956.0, 1822.6", \ + " 236.5, 268.4, 357.4, 570.0, 963.6, 1822.6", \ + " 277.5, 308.0, 393.6, 598.5, 982.9, 1828.3", \ + " 334.0, 368.9, 450.8, 648.1, 1020.6, 1849.8", \ + " 406.7, 444.7, 539.3, 730.6, 1089.5, 1897.4", \ + " 510.6, 552.1, 655.6, 861.9, 1209.0, 1989.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.1, 64.7, 92.0, 151.8, 257.0, 479.7", \ + " 60.2, 71.0, 98.5, 158.6, 264.0, 486.8", \ + " 63.9, 74.7, 102.4, 162.6, 268.1, 491.0", \ + " 68.5, 79.2, 106.9, 167.3, 272.9, 495.9", \ + " 74.2, 86.2, 114.6, 175.1, 280.8, 503.9", \ + " 79.7, 93.0, 124.4, 186.1, 291.9, 515.1", \ + " 84.7, 99.9, 135.1, 202.3, 308.7, 531.9", \ + " 87.6, 105.5, 146.1, 220.9, 333.9, 556.9", \ + " 86.5, 107.7, 155.6, 241.4, 366.0, 594.9", \ + " 78.6, 103.7, 160.6, 261.4, 402.7, 651.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.5, 112.3, 184.4, 347.3, 637.4, 1254.2", \ + " 85.6, 112.4, 184.4, 347.3, 637.4, 1254.2", \ + " 87.7, 113.9, 185.0, 347.4, 637.4, 1254.2", \ + " 92.1, 117.9, 188.0, 348.6, 637.5, 1254.2", \ + " 103.1, 127.6, 195.8, 353.8, 639.8, 1254.2", \ + " 123.3, 147.0, 211.4, 365.3, 647.1, 1256.5", \ + " 151.4, 177.2, 241.9, 389.0, 664.1, 1265.6", \ + " 193.7, 220.8, 289.4, 432.6, 697.8, 1287.2", \ + " 258.3, 286.8, 358.2, 507.6, 761.1, 1332.8", \ + " 355.4, 386.1, 461.4, 616.8, 873.0, 1420.8" ); }} +timing() { /* ring osc delay oai22v0x05, path a2 to z 90.2 */ +related_pin : "a2" ; +when : "(a1'*b1*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 58.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.2, 77.3, 114.3, 196.4, 341.7, 649.7", \ + " 68.5, 82.7, 119.8, 202.2, 347.6, 655.8", \ + " 73.5, 87.6, 124.6, 207.0, 352.4, 660.6", \ + " 80.9, 94.8, 131.5, 213.6, 359.0, 667.2", \ + " 94.6, 108.4, 144.4, 225.9, 370.9, 679.0", \ + " 110.8, 126.7, 164.1, 244.4, 388.6, 696.1", \ + " 131.6, 149.7, 192.3, 273.6, 416.3, 722.6", \ + " 158.6, 178.8, 226.9, 317.7, 458.7, 762.7", \ + " 194.8, 217.6, 271.6, 374.6, 525.0, 825.2", \ + " 244.2, 270.2, 331.3, 447.4, 619.5, 923.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 136.0, 174.3, 276.6, 506.6, 915.3, 1783.3", \ + " 137.2, 174.7, 276.5, 506.6, 915.3, 1783.3", \ + " 141.6, 178.3, 277.8, 506.6, 915.3, 1783.3", \ + " 149.1, 184.9, 282.4, 507.6, 915.3, 1783.3", \ + " 164.5, 199.0, 293.7, 514.1, 916.0, 1783.3", \ + " 189.0, 222.2, 313.6, 528.5, 923.4, 1783.2", \ + " 227.9, 260.0, 348.0, 556.0, 942.3, 1788.8", \ + " 271.5, 311.5, 402.4, 603.5, 978.9, 1809.8", \ + " 333.6, 377.2, 481.7, 682.1, 1045.4, 1856.5", \ + " 423.2, 471.0, 585.5, 806.6, 1160.0, 1946.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 44.5, 64.6, 109.1, 187.9, 355.1", \ + " 43.2, 51.2, 71.5, 116.3, 195.3, 362.7", \ + " 47.7, 55.8, 76.3, 121.3, 200.3, 367.7", \ + " 52.2, 61.6, 82.6, 127.7, 206.9, 374.3", \ + " 57.1, 68.5, 93.1, 138.9, 218.2, 385.8", \ + " 61.0, 74.6, 104.2, 155.0, 234.4, 402.1", \ + " 63.1, 79.5, 115.1, 175.4, 259.0, 426.7", \ + " 62.3, 81.9, 124.5, 196.7, 293.3, 463.0", \ + " 56.8, 80.1, 131.1, 217.5, 332.3, 517.8", \ + " 43.8, 71.2, 131.8, 235.4, 372.9, 591.1" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 56.3, 76.6, 131.0, 253.8, 472.6, 937.7", \ + " 57.6, 77.3, 131.2, 253.9, 472.6, 937.7", \ + " 61.8, 80.8, 133.2, 254.3, 472.6, 937.7", \ + " 69.5, 87.6, 138.4, 257.3, 473.2, 937.7", \ + " 86.4, 102.9, 151.3, 266.5, 478.3, 938.1", \ + " 107.6, 127.1, 174.1, 284.8, 491.2, 943.6", \ + " 138.3, 159.7, 212.1, 318.6, 517.8, 960.1", \ + " 183.1, 206.2, 263.1, 374.6, 565.8, 995.1", \ + " 249.8, 274.7, 335.7, 457.6, 647.7, 1061.5", \ + " 348.4, 375.4, 441.6, 573.2, 778.7, 1179.2" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.1 ; */ +/* intrinsic_fall : 58.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.88 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.8, 76.2, 113.8, 197.1, 344.0, 654.5", \ + " 67.0, 81.5, 119.3, 202.8, 349.8, 660.5", \ + " 72.1, 86.4, 124.1, 207.6, 354.6, 665.3", \ + " 79.4, 93.6, 131.0, 214.2, 361.2, 671.9", \ + " 93.1, 107.1, 143.8, 226.5, 373.1, 683.6", \ + " 109.3, 125.5, 163.4, 244.9, 390.8, 700.7", \ + " 130.5, 148.6, 191.7, 273.9, 418.3, 727.1", \ + " 157.8, 178.1, 226.5, 317.9, 460.4, 767.0", \ + " 194.8, 217.4, 271.5, 375.1, 526.3, 829.0", \ + " 245.6, 271.2, 331.9, 448.2, 620.9, 926.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 180.1, 217.5, 318.4, 547.2, 955.2, 1822.6", \ + " 181.5, 218.0, 318.3, 547.2, 955.2, 1822.6", \ + " 186.2, 221.8, 319.9, 547.2, 955.2, 1822.6", \ + " 194.2, 228.7, 324.6, 548.4, 955.2, 1822.6", \ + " 210.7, 243.8, 336.4, 555.1, 956.0, 1822.6", \ + " 236.5, 268.4, 357.4, 570.0, 963.6, 1822.6", \ + " 277.5, 308.0, 393.6, 598.5, 982.9, 1828.3", \ + " 334.0, 368.9, 450.8, 648.1, 1020.6, 1849.8", \ + " 406.7, 444.7, 539.3, 730.6, 1089.5, 1897.4", \ + " 510.6, 552.1, 655.6, 861.9, 1209.0, 1989.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.1, 64.7, 92.0, 151.8, 257.0, 479.7", \ + " 60.2, 71.0, 98.5, 158.6, 264.0, 486.8", \ + " 63.9, 74.7, 102.4, 162.6, 268.1, 491.0", \ + " 68.5, 79.2, 106.9, 167.3, 272.9, 495.9", \ + " 74.2, 86.2, 114.6, 175.1, 280.8, 503.9", \ + " 79.7, 93.0, 124.4, 186.1, 291.9, 515.1", \ + " 84.7, 99.9, 135.1, 202.3, 308.7, 531.9", \ + " 87.6, 105.5, 146.1, 220.9, 333.9, 556.9", \ + " 86.5, 107.7, 155.6, 241.4, 366.0, 594.9", \ + " 78.6, 103.7, 160.6, 261.4, 402.7, 651.3" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.5, 112.3, 184.4, 347.3, 637.4, 1254.2", \ + " 85.6, 112.4, 184.4, 347.3, 637.4, 1254.2", \ + " 87.7, 113.9, 185.0, 347.4, 637.4, 1254.2", \ + " 92.1, 117.9, 188.0, 348.6, 637.5, 1254.2", \ + " 103.1, 127.6, 195.8, 353.8, 639.8, 1254.2", \ + " 123.3, 147.0, 211.4, 365.3, 647.1, 1256.5", \ + " 151.4, 177.2, 241.9, 389.0, 664.1, 1265.6", \ + " 193.7, 220.8, 289.4, 432.6, 697.8, 1287.2", \ + " 258.3, 286.8, 358.2, 507.6, 761.1, 1332.8", \ + " 355.4, 386.1, 461.4, 616.8, 873.0, 1420.8" ); }} +timing() { /* ring osc delay oai22v0x05, path b1 to z 89.5 */ +related_pin : "b1" ; +when : "(a1'*a2*b2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 58.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.7, 65.8, 102.5, 184.4, 329.4, 637.4", \ + " 58.5, 72.7, 109.8, 192.0, 337.3, 645.4", \ + " 63.2, 77.4, 114.5, 196.9, 342.3, 650.5", \ + " 69.3, 83.5, 120.6, 203.0, 348.6, 656.9", \ + " 77.5, 93.6, 131.3, 213.6, 359.2, 667.6", \ + " 87.1, 105.0, 146.6, 229.0, 374.4, 682.8", \ + " 100.0, 120.0, 166.0, 252.7, 397.7, 705.7", \ + " 117.2, 139.8, 190.8, 286.1, 432.8, 740.0", \ + " 140.4, 166.4, 223.9, 329.1, 486.5, 792.7", \ + " 171.7, 202.0, 268.2, 386.0, 559.1, 874.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.7, 136.6, 240.6, 475.3, 892.8, 1780.0", \ + " 98.4, 137.0, 240.7, 475.3, 892.8, 1780.0", \ + " 101.8, 139.3, 241.4, 475.4, 892.8, 1780.0", \ + " 107.4, 144.3, 245.0, 476.2, 892.8, 1780.0", \ + " 119.6, 155.0, 253.5, 481.5, 893.9, 1780.0", \ + " 141.8, 174.2, 269.1, 492.7, 900.3, 1780.3", \ + " 173.0, 208.7, 297.7, 514.6, 915.3, 1786.6", \ + " 217.6, 254.3, 347.3, 554.0, 944.9, 1804.1", \ + " 284.1, 321.4, 418.5, 622.2, 1000.0, 1842.0", \ + " 379.1, 418.7, 519.7, 734.1, 1097.3, 1916.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.6, 52.1, 79.1, 138.8, 243.9, 466.6", \ + " 47.2, 57.8, 85.0, 144.7, 249.9, 472.6", \ + " 51.9, 62.5, 89.7, 149.5, 254.7, 477.4", \ + " 58.2, 69.0, 96.2, 155.9, 261.1, 483.8", \ + " 66.1, 79.1, 107.9, 167.4, 272.5, 495.2", \ + " 73.8, 89.0, 123.0, 184.4, 289.1, 511.6", \ + " 81.5, 99.2, 139.2, 209.7, 314.6, 536.5", \ + " 88.7, 109.1, 155.5, 238.6, 352.7, 573.7", \ + " 94.7, 118.4, 172.1, 268.9, 403.6, 630.5", \ + " 98.0, 125.6, 188.0, 300.4, 458.6, 717.2" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 83.3, 109.7, 180.2, 339.5, 623.1, 1225.9", \ + " 86.0, 111.3, 180.5, 339.5, 623.1, 1225.9", \ + " 91.7, 116.1, 183.5, 339.9, 623.1, 1225.9", \ + " 101.2, 124.6, 189.9, 343.0, 623.2, 1225.9", \ + " 119.8, 142.3, 204.7, 352.9, 627.1, 1225.9", \ + " 144.3, 169.6, 229.8, 372.4, 639.5, 1228.1", \ + " 176.4, 204.5, 270.1, 408.0, 666.1, 1241.5", \ + " 221.2, 252.1, 325.0, 465.5, 714.7, 1274.0", \ + " 285.0, 319.5, 399.6, 553.5, 797.9, 1338.3", \ + " 376.6, 416.1, 505.4, 674.3, 931.0, 1455.3" ); }} +timing() { /* ring osc delay oai22v0x05, path b1 to z 103.4 */ +related_pin : "b1" ; +when : "(a1*a2'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 58.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.7, 68.0, 105.2, 188.1, 334.9, 645.4", \ + " 60.5, 74.9, 112.5, 195.8, 342.7, 653.4", \ + " 65.2, 79.6, 117.2, 200.7, 347.7, 658.5", \ + " 71.5, 85.7, 123.3, 206.8, 354.0, 664.9", \ + " 80.1, 96.2, 133.9, 217.4, 364.6, 675.6", \ + " 90.2, 108.0, 149.6, 232.7, 379.8, 690.7", \ + " 103.9, 123.7, 169.4, 256.5, 403.1, 713.7", \ + " 122.3, 144.4, 195.0, 290.4, 438.2, 748.0", \ + " 147.5, 172.6, 229.2, 334.3, 492.2, 800.7", \ + " 181.7, 210.6, 275.4, 392.5, 565.9, 882.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 148.7, 186.8, 289.7, 523.4, 940.3, 1827.1", \ + " 149.4, 187.2, 289.8, 523.4, 940.3, 1827.1", \ + " 152.8, 189.6, 290.5, 523.5, 940.3, 1827.1", \ + " 158.4, 194.5, 294.1, 524.3, 940.3, 1827.1", \ + " 170.0, 205.1, 302.6, 529.6, 941.4, 1827.1", \ + " 189.9, 223.5, 318.1, 540.8, 947.8, 1827.4", \ + " 226.4, 256.7, 346.4, 562.6, 962.8, 1833.7", \ + " 274.8, 308.5, 394.6, 601.9, 992.4, 1851.2", \ + " 344.8, 379.3, 470.9, 669.9, 1047.4, 1889.0", \ + " 444.6, 480.7, 576.2, 783.4, 1144.7, 1963.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 63.7, 91.2, 151.3, 256.8, 479.7", \ + " 58.8, 69.5, 97.1, 157.3, 262.8, 485.8", \ + " 63.5, 74.2, 101.8, 162.0, 267.5, 490.5", \ + " 70.2, 80.7, 108.2, 168.4, 274.0, 497.0", \ + " 80.8, 92.4, 119.9, 180.0, 285.4, 508.3", \ + " 91.5, 105.1, 136.6, 196.8, 302.0, 524.7", \ + " 102.4, 118.4, 155.5, 222.7, 327.4, 549.7", \ + " 113.2, 131.6, 174.8, 254.0, 365.4, 586.8", \ + " 123.4, 144.7, 194.6, 287.2, 418.2, 643.6", \ + " 131.8, 156.5, 214.4, 321.9, 476.0, 730.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.3, 129.7, 200.5, 359.9, 643.6, 1246.7", \ + " 104.5, 130.4, 200.4, 359.9, 643.6, 1246.7", \ + " 108.9, 134.1, 202.5, 360.0, 643.6, 1246.7", \ + " 117.0, 141.4, 208.0, 362.5, 643.6, 1246.7", \ + " 133.9, 157.4, 221.5, 371.4, 646.9, 1246.7", \ + " 160.3, 183.1, 245.1, 389.8, 658.5, 1248.4", \ + " 193.1, 220.4, 284.1, 424.0, 684.1, 1261.2", \ + " 238.7, 268.8, 340.5, 480.3, 731.4, 1292.8", \ + " 303.6, 337.0, 415.9, 568.4, 813.4, 1356.0", \ + " 397.0, 434.9, 522.5, 690.2, 945.6, 1471.8" ); }} +timing() { /* ring osc delay oai22v0x05, path b1 to z 83.9 */ +related_pin : "b1" ; +when : "(a1*a2*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 58.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.4, 65.5, 102.3, 184.1, 329.2, 637.2", \ + " 58.1, 72.3, 109.4, 191.6, 336.9, 645.0", \ + " 62.9, 77.0, 114.1, 196.5, 341.9, 650.1", \ + " 69.1, 83.2, 120.2, 202.6, 348.1, 656.4", \ + " 77.3, 93.4, 130.9, 213.1, 358.7, 667.1", \ + " 87.2, 105.0, 146.4, 228.6, 373.9, 682.3", \ + " 100.7, 120.5, 166.1, 252.6, 397.3, 705.3", \ + " 119.2, 141.4, 191.7, 286.5, 432.8, 739.8", \ + " 144.7, 170.1, 226.5, 330.5, 487.2, 792.9", \ + " 179.5, 209.0, 273.7, 389.6, 561.4, 875.6" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.8, 136.6, 240.6, 475.3, 892.8, 1780.0", \ + " 98.5, 137.0, 240.7, 475.3, 892.8, 1780.0", \ + " 102.0, 139.5, 241.6, 475.4, 892.8, 1780.0", \ + " 107.7, 144.6, 245.2, 476.3, 892.8, 1780.0", \ + " 119.9, 155.3, 253.8, 481.7, 894.0, 1780.0", \ + " 142.0, 174.4, 269.4, 493.0, 900.5, 1780.3", \ + " 172.8, 208.7, 297.8, 514.8, 915.5, 1786.7", \ + " 216.5, 253.3, 346.5, 553.7, 944.9, 1804.2", \ + " 279.9, 317.7, 415.7, 620.5, 999.2, 1841.8", \ + " 369.9, 409.9, 512.5, 729.0, 1094.3, 1914.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.0, 42.4, 63.9, 111.1, 194.1, 369.9", \ + " 40.5, 48.9, 70.5, 117.7, 200.8, 376.6", \ + " 45.8, 54.1, 75.7, 122.9, 206.0, 381.8", \ + " 51.6, 61.1, 82.8, 130.0, 213.1, 388.9", \ + " 58.7, 70.2, 95.4, 142.6, 225.6, 401.3", \ + " 65.2, 78.9, 109.2, 161.0, 243.7, 419.2", \ + " 71.2, 87.3, 123.4, 185.9, 271.3, 446.4", \ + " 75.9, 94.8, 137.2, 211.7, 311.6, 486.8", \ + " 78.4, 100.5, 150.1, 237.9, 357.9, 548.3", \ + " 76.6, 102.5, 160.6, 263.8, 406.4, 634.9" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.2, 82.5, 137.0, 260.0, 479.0, 944.5", \ + " 66.3, 85.5, 138.2, 260.1, 479.0, 944.5", \ + " 73.0, 91.3, 142.2, 261.4, 479.0, 944.5", \ + " 83.5, 101.0, 149.9, 266.0, 479.9, 944.5", \ + " 103.3, 120.4, 166.8, 278.1, 486.4, 944.7", \ + " 127.0, 147.4, 194.2, 300.6, 502.1, 950.4", \ + " 159.7, 182.8, 236.2, 340.0, 533.1, 969.0", \ + " 204.3, 230.5, 290.7, 401.9, 587.7, 1008.2", \ + " 267.1, 297.2, 365.1, 490.8, 678.3, 1081.8", \ + " 356.5, 391.8, 469.7, 611.3, 818.8, 1211.6" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 66.2 ; */ +/* intrinsic_fall : 58.5 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.7, 68.0, 105.2, 188.1, 334.9, 645.4", \ + " 60.5, 74.9, 112.5, 195.8, 342.7, 653.4", \ + " 65.2, 79.6, 117.2, 200.7, 347.7, 658.5", \ + " 71.5, 85.7, 123.3, 206.8, 354.0, 664.9", \ + " 80.1, 96.2, 133.9, 217.4, 364.6, 675.6", \ + " 90.2, 108.0, 149.6, 232.7, 379.8, 690.7", \ + " 103.9, 123.7, 169.4, 256.5, 403.1, 713.7", \ + " 122.3, 144.4, 195.0, 290.4, 438.2, 748.0", \ + " 147.5, 172.6, 229.2, 334.3, 492.2, 800.7", \ + " 181.7, 210.6, 275.4, 392.5, 565.9, 882.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 148.7, 186.8, 289.7, 523.4, 940.3, 1827.1", \ + " 149.4, 187.2, 289.8, 523.4, 940.3, 1827.1", \ + " 152.8, 189.6, 290.5, 523.5, 940.3, 1827.1", \ + " 158.4, 194.5, 294.1, 524.3, 940.3, 1827.1", \ + " 170.0, 205.1, 302.6, 529.6, 941.4, 1827.1", \ + " 189.9, 223.5, 318.1, 540.8, 947.8, 1827.4", \ + " 226.4, 256.7, 346.4, 562.6, 962.8, 1833.7", \ + " 274.8, 308.5, 394.6, 601.9, 992.4, 1851.2", \ + " 344.8, 379.3, 470.9, 669.9, 1047.4, 1889.0", \ + " 444.6, 480.7, 576.2, 783.4, 1144.7, 1963.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 63.7, 91.2, 151.3, 256.8, 479.7", \ + " 58.8, 69.5, 97.1, 157.3, 262.8, 485.8", \ + " 63.5, 74.2, 101.8, 162.0, 267.5, 490.5", \ + " 70.2, 80.7, 108.2, 168.4, 274.0, 497.0", \ + " 80.8, 92.4, 119.9, 180.0, 285.4, 508.3", \ + " 91.5, 105.1, 136.6, 196.8, 302.0, 524.7", \ + " 102.4, 118.4, 155.5, 222.7, 327.4, 549.7", \ + " 113.2, 131.6, 174.8, 254.0, 365.4, 586.8", \ + " 123.4, 144.7, 194.6, 287.2, 418.2, 643.6", \ + " 131.8, 156.5, 214.4, 321.9, 476.0, 730.6" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.3, 129.7, 200.5, 359.9, 643.6, 1246.7", \ + " 104.5, 130.4, 200.4, 359.9, 643.6, 1246.7", \ + " 108.9, 134.1, 202.5, 360.0, 643.6, 1246.7", \ + " 117.0, 141.4, 208.0, 362.5, 643.6, 1246.7", \ + " 133.9, 157.4, 221.5, 371.4, 646.9, 1246.7", \ + " 160.3, 183.1, 245.1, 389.8, 658.5, 1248.4", \ + " 193.1, 220.4, 284.1, 424.0, 684.1, 1261.2", \ + " 238.7, 268.8, 340.5, 480.3, 731.4, 1292.8", \ + " 303.6, 337.0, 415.9, 568.4, 813.4, 1356.0", \ + " 397.0, 434.9, 522.5, 690.2, 945.6, 1471.8" ); }} +timing() { /* ring osc delay oai22v0x05, path b2 to z 76.2 */ +related_pin : "b2" ; +when : "(a1'*a2*b1')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.2 ; */ +/* intrinsic_fall : 48.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.5, 55.7, 92.7, 174.7, 319.9, 627.9", \ + " 47.2, 61.3, 98.4, 180.7, 326.1, 634.3", \ + " 52.5, 66.4, 103.2, 185.5, 330.9, 639.1", \ + " 59.7, 73.8, 110.1, 192.2, 337.5, 645.7", \ + " 69.4, 86.1, 123.1, 204.4, 349.4, 657.4", \ + " 81.4, 100.2, 142.3, 222.8, 367.0, 674.5", \ + " 97.2, 118.4, 166.3, 251.8, 394.4, 700.8", \ + " 117.6, 141.8, 195.9, 293.4, 436.4, 740.6", \ + " 144.7, 172.6, 234.1, 344.9, 501.8, 802.4", \ + " 181.0, 213.6, 284.6, 410.4, 590.5, 899.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.4, 132.6, 234.6, 464.0, 872.0, 1739.1", \ + " 97.5, 134.1, 234.4, 464.0, 872.0, 1739.1", \ + " 103.3, 138.8, 236.7, 464.0, 872.0, 1739.1", \ + " 112.4, 146.7, 242.2, 465.5, 872.0, 1739.1", \ + " 130.5, 162.9, 254.9, 472.9, 873.1, 1739.1", \ + " 159.7, 188.9, 276.9, 488.5, 881.1, 1739.1", \ + " 189.6, 229.2, 314.1, 517.9, 901.1, 1745.2", \ + " 232.8, 275.0, 372.8, 568.5, 939.6, 1767.2", \ + " 297.0, 341.8, 449.4, 651.6, 1009.6, 1815.6", \ + " 391.4, 440.0, 555.5, 780.5, 1130.0, 1909.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 41.9, 68.5, 127.7, 232.5, 454.9", \ + " 37.6, 47.9, 74.8, 134.3, 239.2, 461.7", \ + " 42.3, 52.7, 79.5, 139.0, 244.0, 466.6", \ + " 46.6, 58.8, 85.9, 145.4, 250.5, 473.1", \ + " 51.5, 66.2, 97.6, 156.9, 261.9, 484.5", \ + " 55.9, 73.2, 110.4, 173.7, 278.4, 500.8", \ + " 59.5, 79.7, 123.5, 198.0, 303.7, 525.7", \ + " 61.3, 85.0, 136.1, 224.0, 341.5, 562.7", \ + " 59.9, 87.7, 147.7, 250.6, 390.0, 619.2", \ + " 52.6, 85.5, 156.1, 276.8, 441.2, 705.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.1, 88.7, 159.7, 319.2, 602.8, 1205.4", \ + " 65.4, 90.6, 159.8, 319.2, 602.8, 1205.4", \ + " 71.5, 95.7, 162.9, 319.3, 602.8, 1205.4", \ + " 81.1, 104.5, 169.4, 322.4, 602.6, 1205.4", \ + " 99.5, 122.1, 184.4, 332.3, 606.5, 1205.4", \ + " 119.9, 147.5, 209.3, 351.9, 618.8, 1207.3", \ + " 150.4, 180.0, 249.7, 387.4, 645.4, 1220.6", \ + " 194.2, 226.0, 301.2, 444.5, 694.1, 1253.0", \ + " 256.8, 292.4, 373.9, 531.2, 777.1, 1317.4", \ + " 346.9, 387.7, 478.5, 649.3, 909.7, 1434.6" ); }} +timing() { /* ring osc delay oai22v0x05, path b2 to z 90.3 */ +related_pin : "b2" ; +when : "(a1*a2'*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.2 ; */ +/* intrinsic_fall : 48.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.5, 57.9, 95.4, 178.5, 325.3, 635.8", \ + " 49.2, 63.5, 101.1, 184.5, 331.5, 642.2", \ + " 54.5, 68.5, 105.9, 189.3, 336.3, 647.1", \ + " 62.1, 75.9, 112.8, 195.9, 342.9, 653.7", \ + " 72.4, 88.8, 125.8, 208.1, 354.8, 665.4", \ + " 85.1, 103.6, 145.3, 226.5, 372.4, 682.5", \ + " 102.0, 122.8, 170.2, 255.5, 399.8, 708.8", \ + " 124.0, 147.5, 200.9, 297.9, 441.8, 748.6", \ + " 153.7, 180.4, 240.7, 350.9, 507.4, 810.3", \ + " 194.0, 224.7, 293.6, 418.4, 598.0, 907.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 144.2, 181.7, 282.5, 511.0, 918.5, 1785.1", \ + " 147.2, 183.1, 282.4, 511.0, 918.5, 1785.1", \ + " 153.0, 187.8, 284.7, 511.0, 918.5, 1785.1", \ + " 162.0, 195.6, 290.1, 512.4, 918.5, 1785.1", \ + " 179.5, 211.8, 302.8, 519.8, 919.5, 1785.1", \ + " 206.4, 237.2, 324.7, 535.4, 927.5, 1785.1", \ + " 249.8, 278.0, 361.8, 564.8, 947.5, 1791.2", \ + " 298.2, 334.7, 419.9, 615.3, 985.9, 1813.2", \ + " 367.9, 407.0, 505.1, 698.6, 1055.9, 1861.5", \ + " 469.8, 511.5, 617.3, 830.6, 1176.4, 1954.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 52.9, 80.2, 140.1, 245.3, 468.0", \ + " 48.4, 59.1, 86.6, 146.7, 252.0, 474.9", \ + " 53.2, 63.8, 91.3, 151.5, 256.9, 479.8", \ + " 59.9, 70.5, 97.8, 157.9, 263.4, 486.3", \ + " 68.0, 80.8, 109.4, 169.3, 274.7, 497.6", \ + " 75.7, 90.8, 124.8, 186.1, 291.3, 514.0", \ + " 83.1, 100.8, 140.9, 211.4, 316.5, 538.8", \ + " 89.4, 110.0, 156.7, 240.1, 354.3, 575.8", \ + " 93.4, 117.4, 172.0, 269.8, 405.0, 632.3", \ + " 92.6, 120.9, 185.0, 299.5, 459.1, 718.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 83.2, 109.6, 180.3, 339.8, 623.4, 1226.2", \ + " 84.6, 110.2, 180.0, 339.7, 623.4, 1226.2", \ + " 89.3, 114.2, 182.3, 339.5, 623.4, 1226.2", \ + " 97.4, 121.6, 187.8, 342.0, 623.2, 1226.2", \ + " 114.1, 137.4, 201.4, 351.0, 626.3, 1226.2", \ + " 137.6, 163.4, 224.9, 369.4, 637.8, 1227.7", \ + " 168.1, 197.0, 263.6, 403.6, 663.4, 1240.3", \ + " 212.0, 243.2, 317.4, 459.5, 710.8, 1271.9", \ + " 275.5, 309.9, 390.5, 546.5, 792.7, 1335.2", \ + " 367.1, 406.4, 495.5, 665.5, 924.3, 1451.1" ); }} +timing() { /* ring osc delay oai22v0x05, path b2 to z 71.7 */ +related_pin : "b2" ; +when : "(a1*a2*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 56.2 ; */ +/* intrinsic_fall : 48.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.2, 55.4, 92.4, 174.4, 319.6, 627.6", \ + " 46.8, 60.8, 97.9, 180.3, 325.7, 633.9", \ + " 52.2, 66.0, 102.8, 185.0, 330.4, 638.7", \ + " 59.5, 73.5, 109.7, 191.7, 337.0, 645.3", \ + " 69.6, 86.1, 122.9, 204.0, 348.9, 657.0", \ + " 82.0, 100.7, 142.4, 222.6, 366.6, 674.1", \ + " 98.7, 119.6, 167.1, 252.0, 394.3, 700.5", \ + " 120.5, 144.2, 197.6, 294.4, 436.8, 740.6", \ + " 149.8, 177.1, 237.5, 347.2, 503.1, 803.0", \ + " 189.6, 221.4, 290.9, 415.0, 593.6, 901.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.4, 132.6, 234.6, 464.0, 872.0, 1739.1", \ + " 97.8, 134.3, 234.4, 464.0, 872.0, 1739.1", \ + " 103.7, 139.2, 237.0, 464.0, 872.0, 1739.1", \ + " 112.8, 147.1, 242.6, 465.6, 872.0, 1739.1", \ + " 130.4, 163.0, 255.2, 473.1, 873.1, 1739.1", \ + " 158.5, 188.2, 276.7, 488.6, 881.3, 1739.1", \ + " 187.0, 227.0, 312.8, 517.5, 901.1, 1745.2", \ + " 227.7, 270.4, 369.1, 566.7, 939.0, 1767.2", \ + " 288.1, 333.3, 442.3, 646.7, 1007.1, 1814.9", \ + " 377.1, 425.7, 542.5, 770.4, 1123.4, 1906.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.8, 34.8, 55.8, 102.5, 185.2, 360.7", \ + " 33.4, 41.5, 62.7, 109.6, 192.4, 368.0", \ + " 37.8, 46.8, 67.9, 114.9, 197.8, 373.4", \ + " 41.6, 52.5, 75.1, 122.0, 204.8, 380.5", \ + " 45.8, 59.0, 86.7, 134.5, 217.3, 393.0", \ + " 49.2, 64.9, 98.2, 152.8, 235.4, 410.9", \ + " 51.2, 69.8, 109.5, 175.7, 262.9, 438.0", \ + " 50.8, 72.8, 119.7, 198.8, 302.2, 478.2", \ + " 46.0, 72.1, 127.7, 221.5, 346.0, 539.4", \ + " 33.8, 64.8, 130.9, 242.3, 390.8, 624.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.7, 66.2, 120.9, 244.1, 463.2, 928.6", \ + " 50.5, 69.5, 122.1, 244.1, 463.2, 928.6", \ + " 57.4, 75.5, 126.2, 245.3, 463.2, 928.6", \ + " 68.3, 85.4, 134.0, 249.9, 463.9, 928.6", \ + " 85.4, 105.0, 150.9, 262.0, 470.3, 928.7", \ + " 106.9, 129.1, 178.2, 284.5, 485.9, 934.2", \ + " 137.9, 162.3, 218.5, 323.7, 516.9, 952.6", \ + " 180.9, 208.3, 270.6, 385.5, 571.4, 991.8", \ + " 241.6, 273.3, 343.2, 472.0, 661.7, 1065.5", \ + " 328.5, 365.7, 446.1, 589.9, 801.2, 1195.3" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 56.2 ; */ +/* intrinsic_fall : 48.9 ; */ +/* rise_resistance : 7.30 ; */ +/* fall_resistance : 4.93 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.5, 57.9, 95.4, 178.5, 325.3, 635.8", \ + " 49.2, 63.5, 101.1, 184.5, 331.5, 642.2", \ + " 54.5, 68.5, 105.9, 189.3, 336.3, 647.1", \ + " 62.1, 75.9, 112.8, 195.9, 342.9, 653.7", \ + " 72.4, 88.8, 125.8, 208.1, 354.8, 665.4", \ + " 85.1, 103.6, 145.3, 226.5, 372.4, 682.5", \ + " 102.0, 122.8, 170.2, 255.5, 399.8, 708.8", \ + " 124.0, 147.5, 200.9, 297.9, 441.8, 748.6", \ + " 153.7, 180.4, 240.7, 350.9, 507.4, 810.3", \ + " 194.0, 224.7, 293.6, 418.4, 598.0, 907.5" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 144.2, 181.7, 282.5, 511.0, 918.5, 1785.1", \ + " 147.2, 183.1, 282.4, 511.0, 918.5, 1785.1", \ + " 153.0, 187.8, 284.7, 511.0, 918.5, 1785.1", \ + " 162.0, 195.6, 290.1, 512.4, 918.5, 1785.1", \ + " 179.5, 211.8, 302.8, 519.8, 919.5, 1785.1", \ + " 206.4, 237.2, 324.7, 535.4, 927.5, 1785.1", \ + " 249.8, 278.0, 361.8, 564.8, 947.5, 1791.2", \ + " 298.2, 334.7, 419.9, 615.3, 985.9, 1813.2", \ + " 367.9, 407.0, 505.1, 698.6, 1055.9, 1861.5", \ + " 469.8, 511.5, 617.3, 830.6, 1176.4, 1954.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 52.9, 80.2, 140.1, 245.3, 468.0", \ + " 48.4, 59.1, 86.6, 146.7, 252.0, 474.9", \ + " 53.2, 63.8, 91.3, 151.5, 256.9, 479.8", \ + " 59.9, 70.5, 97.8, 157.9, 263.4, 486.3", \ + " 68.0, 80.8, 109.4, 169.3, 274.7, 497.6", \ + " 75.7, 90.8, 124.8, 186.1, 291.3, 514.0", \ + " 83.1, 100.8, 140.9, 211.4, 316.5, 538.8", \ + " 89.4, 110.0, 156.7, 240.1, 354.3, 575.8", \ + " 93.4, 117.4, 172.0, 269.8, 405.0, 632.3", \ + " 92.6, 120.9, 185.0, 299.5, 459.1, 718.5" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 83.2, 109.6, 180.3, 339.8, 623.4, 1226.2", \ + " 84.6, 110.2, 180.0, 339.7, 623.4, 1226.2", \ + " 89.3, 114.2, 182.3, 339.5, 623.4, 1226.2", \ + " 97.4, 121.6, 187.8, 342.0, 623.2, 1226.2", \ + " 114.1, 137.4, 201.4, 351.0, 626.3, 1226.2", \ + " 137.6, 163.4, 224.9, 369.4, 637.8, 1227.7", \ + " 168.1, 197.0, 263.6, 403.6, 663.4, 1240.3", \ + " 212.0, 243.2, 317.4, 459.5, 710.8, 1271.9", \ + " 275.5, 309.9, 390.5, 546.5, 792.7, 1335.2", \ + " 367.1, 406.4, 495.5, 665.5, 924.3, 1451.1" ); }} +} +} +cell(oai22v0x1) { /* 2008-01-06:07h51 characteristic delay 15.6 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 947 ; /* oai22v0x1 */ +cell_footprint : oai22 ; +pin(a1) { /* oai22v0x1 FO4 effort 1.92 logical effort 1.80 */ +direction : input ; +capacitance : 4.33 ; +rise_capacitance : 4.35 ; +fall_capacitance : 4.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai22v0x1 */ +} +pin(a2) { /* oai22v0x1 FO4 effort 1.75 logical effort 1.72 */ +direction : input ; +capacitance : 4.13 ; +rise_capacitance : 3.78 ; +fall_capacitance : 4.48 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai22v0x1 */ +} +pin(b1) { /* oai22v0x1 FO4 effort 1.78 logical effort 1.94 */ +direction : input ; +capacitance : 4.70 ; +rise_capacitance : 4.80 ; +fall_capacitance : 4.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of oai22v0x1 */ +} +pin(b2) { /* oai22v0x1 FO4 effort 1.60 logical effort 1.84 */ +direction : input ; +capacitance : 4.47 ; +rise_capacitance : 4.24 ; +fall_capacitance : 4.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of oai22v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 93 ; +max_fanout : 4 ; +function : "((a1+a2)*(b1+b2))'" ; +internal_power(a1_z_n) { /* oai22v0x1 19.66 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 10.08, 10.09, 10.10, 10.10, 10.08", \ + " 9.88, 9.90, 9.94, 9.97, 9.97", \ + " 9.80, 9.83, 9.88, 9.92, 9.93", \ + " 9.75, 9.78, 9.83, 9.88, 9.90", \ + " 9.77, 9.78, 9.81, 9.86, 9.88", \ + " 9.99, 9.96, 9.93, 9.92, 9.91", \ + " 10.60, 10.49, 10.33, 10.18, 10.07", \ + " 11.89, 11.67, 11.28, 10.85, 10.52", \ + " 14.29, 13.92, 13.21, 12.31, 11.57", \ + " 18.39, 17.86, 16.76, 15.21, 13.78" ); }} +internal_power(a2_z_n) { /* oai22v0x1 15.72 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 7.97, 8.03, 8.09, 8.13, 8.13", \ + " 7.73, 7.82, 7.96, 8.06, 8.10", \ + " 7.67, 7.75, 7.89, 8.02, 8.08", \ + " 7.68, 7.74, 7.86, 7.98, 8.06", \ + " 7.85, 7.86, 7.91, 7.99, 8.05", \ + " 8.29, 8.22, 8.15, 8.13, 8.13", \ + " 9.17, 9.00, 8.74, 8.51, 8.36", \ + " 10.77, 10.45, 9.92, 9.35, 8.94", \ + " 13.50, 13.02, 12.12, 11.04, 10.17", \ + " 18.00, 17.34, 16.01, 14.21, 12.61" ); }} +internal_power(b1_z_n) { /* oai22v0x1 14.64 nW/MHz */ +related_pin : "b1" ; +power(pwr_x1_130_5x10) { +values( " 7.52, 7.58, 7.64, 7.68, 7.68", \ + " 7.25, 7.33, 7.44, 7.54, 7.58", \ + " 7.18, 7.25, 7.37, 7.48, 7.54", \ + " 7.18, 7.23, 7.32, 7.43, 7.50", \ + " 7.34, 7.33, 7.36, 7.42, 7.48", \ + " 7.78, 7.69, 7.59, 7.54, 7.54", \ + " 8.70, 8.51, 8.21, 7.93, 7.77", \ + " 10.37, 10.03, 9.46, 8.82, 8.36", \ + " 13.19, 12.71, 11.78, 10.62, 9.67", \ + " 17.76, 17.12, 15.80, 13.96, 12.25" ); }} +internal_power(b2_z_n) { /* oai22v0x1 10.77 nW/MHz */ +related_pin : "b2" ; +power(pwr_x1_130_5x10) { +values( " 5.29, 5.41, 5.53, 5.61, 5.64", \ + " 5.14, 5.24, 5.41, 5.56, 5.64", \ + " 5.16, 5.23, 5.37, 5.53, 5.62", \ + " 5.28, 5.30, 5.39, 5.51, 5.61", \ + " 5.63, 5.57, 5.54, 5.57, 5.63", \ + " 6.29, 6.13, 5.92, 5.79, 5.75", \ + " 7.47, 7.17, 6.73, 6.33, 6.08", \ + " 9.41, 8.95, 8.20, 7.40, 6.82", \ + " 12.53, 11.91, 10.76, 9.41, 8.31", \ + " 17.49, 16.69, 15.10, 12.99, 11.12" ); }} +timing() { /* ring osc delay oai22v0x1, path a1 to z 87.0 */ +related_pin : "a1" ; +when : "(a2'*b1'*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.3 ; */ +/* intrinsic_fall : 63.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.1, 76.5, 111.6, 189.5, 327.2, 619.3", \ + " 70.4, 83.9, 119.1, 197.1, 334.8, 627.0", \ + " 75.3, 88.8, 124.0, 202.1, 339.9, 632.1", \ + " 81.6, 95.1, 130.3, 208.4, 346.3, 638.5", \ + " 91.8, 106.0, 141.1, 219.2, 357.0, 649.3", \ + " 103.5, 119.2, 156.9, 234.7, 372.4, 664.6", \ + " 119.0, 136.4, 177.8, 258.6, 395.9, 687.7", \ + " 139.8, 159.0, 204.6, 292.8, 431.2, 722.2", \ + " 168.4, 190.0, 240.5, 337.2, 485.3, 775.2", \ + " 207.4, 232.2, 289.3, 396.5, 558.7, 857.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 122.5, 159.2, 257.9, 480.6, 876.9, 1718.8", \ + " 123.0, 159.5, 258.0, 480.6, 876.9, 1718.8", \ + " 125.7, 161.6, 258.8, 480.7, 876.9, 1718.8", \ + " 130.5, 166.0, 262.1, 481.8, 876.9, 1718.8", \ + " 141.3, 175.8, 270.1, 487.0, 878.4, 1718.8", \ + " 161.0, 193.8, 285.3, 498.1, 885.0, 1719.5", \ + " 194.5, 227.0, 313.4, 520.1, 900.3, 1726.3", \ + " 240.5, 275.0, 361.7, 559.6, 930.4, 1744.6", \ + " 307.2, 343.2, 434.8, 627.9, 986.2, 1783.6", \ + " 401.3, 440.1, 537.4, 739.8, 1084.5, 1859.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 57.3, 81.9, 135.8, 230.7, 431.8", \ + " 53.8, 63.4, 88.1, 142.1, 237.1, 438.2", \ + " 57.8, 67.5, 92.2, 146.4, 241.4, 442.5", \ + " 62.7, 72.7, 97.5, 151.7, 246.8, 448.0", \ + " 69.0, 80.3, 106.6, 161.0, 256.1, 457.4", \ + " 75.1, 88.0, 117.7, 174.2, 269.5, 470.7", \ + " 80.5, 95.7, 130.1, 193.1, 289.6, 490.8", \ + " 84.3, 102.2, 142.5, 214.5, 319.3, 520.6", \ + " 85.9, 106.8, 154.1, 238.0, 356.4, 565.9", \ + " 83.7, 108.0, 163.3, 261.7, 398.6, 631.5" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.0, 101.2, 166.4, 314.1, 577.1, 1136.3", \ + " 78.0, 101.8, 166.7, 314.1, 577.1, 1136.3", \ + " 81.1, 104.6, 168.4, 314.5, 577.1, 1136.3", \ + " 87.0, 109.8, 172.4, 316.8, 577.5, 1136.3", \ + " 101.1, 122.4, 182.6, 323.9, 581.2, 1136.5", \ + " 122.9, 145.0, 202.1, 338.6, 590.9, 1140.2", \ + " 153.8, 177.7, 237.0, 367.3, 612.2, 1152.1", \ + " 198.6, 224.2, 287.7, 417.3, 652.4, 1179.3", \ + " 265.3, 292.6, 360.1, 497.3, 724.4, 1233.4", \ + " 363.8, 393.4, 465.6, 611.7, 845.9, 1334.0" ); }} +timing() { /* ring osc delay oai22v0x1, path a1 to z 99.1 */ +related_pin : "a1" ; +when : "(a2'*b1*b2')" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.3 ; */ +/* intrinsic_fall : 63.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 79.2, 114.6, 193.4, 332.6, 627.0", \ + " 72.9, 86.5, 122.1, 201.0, 340.2, 634.7", \ + " 77.8, 91.4, 127.0, 206.0, 345.3, 639.8", \ + " 84.1, 97.7, 133.3, 212.3, 351.6, 646.2", \ + " 94.6, 108.6, 144.1, 223.1, 362.4, 657.0", \ + " 106.9, 122.4, 159.9, 238.6, 377.8, 672.3", \ + " 123.0, 140.2, 181.4, 262.5, 401.3, 695.4", \ + " 144.9, 163.7, 208.9, 297.2, 436.6, 729.9", \ + " 175.0, 196.0, 245.8, 342.5, 490.9, 782.9", \ + " 216.5, 240.3, 296.3, 403.0, 565.4, 865.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 171.6, 207.8, 305.5, 527.4, 923.1, 1764.7", \ + " 172.2, 208.1, 305.6, 527.4, 923.1, 1764.7", \ + " 174.8, 210.2, 306.5, 527.5, 923.1, 1764.7", \ + " 179.6, 214.5, 309.7, 528.6, 923.2, 1764.7", \ + " 190.3, 224.4, 317.8, 533.8, 924.6, 1764.7", \ + " 209.3, 242.1, 332.9, 544.9, 931.2, 1765.3", \ + " 243.4, 274.0, 360.8, 566.8, 946.5, 1772.1", \ + " 294.4, 326.1, 408.4, 606.2, 976.6, 1790.4", \ + " 365.6, 398.6, 484.9, 674.3, 1032.4, 1829.4", \ + " 465.2, 500.5, 591.9, 787.5, 1130.5, 1905.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 68.1, 93.0, 147.3, 242.6, 444.0", \ + " 64.5, 74.2, 99.2, 153.7, 249.1, 450.4", \ + " 68.6, 78.4, 103.4, 157.9, 253.3, 454.7", \ + " 73.9, 83.6, 108.7, 163.3, 258.8, 460.2", \ + " 81.9, 92.5, 117.9, 172.6, 268.1, 469.6", \ + " 90.3, 102.1, 130.3, 185.9, 281.4, 482.9", \ + " 98.8, 112.5, 144.5, 205.5, 301.6, 503.0", \ + " 106.3, 122.3, 159.5, 228.5, 331.5, 532.8", \ + " 112.0, 130.7, 174.2, 254.2, 370.0, 578.1", \ + " 114.4, 136.2, 187.2, 280.8, 414.1, 644.4" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.7, 120.1, 185.4, 333.2, 596.3, 1155.6", \ + " 96.2, 120.3, 185.5, 333.2, 596.3, 1155.6", \ + " 98.7, 122.5, 186.8, 333.4, 596.3, 1155.6", \ + " 103.6, 127.0, 190.3, 335.4, 596.5, 1155.6", \ + " 115.7, 138.1, 199.6, 341.8, 599.9, 1155.8", \ + " 137.5, 158.8, 217.6, 355.7, 609.1, 1159.2", \ + " 168.7, 192.3, 250.8, 383.1, 629.5, 1170.7", \ + " 213.5, 238.9, 301.8, 431.5, 668.6, 1197.1", \ + " 279.4, 306.7, 374.2, 510.9, 739.2, 1250.4", \ + " 377.0, 406.7, 479.2, 625.5, 859.2, 1349.7" ); }} +timing() { /* ring osc delay oai22v0x1, path a1 to z 86.6 */ +related_pin : "a1" ; +when : "(a2'*b1*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.3 ; */ +/* intrinsic_fall : 63.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.5, 80.8, 115.6, 193.3, 330.9, 622.9", \ + " 74.7, 88.1, 123.1, 200.9, 338.6, 630.7", \ + " 79.6, 93.0, 128.0, 205.9, 343.7, 635.8", \ + " 85.9, 99.2, 134.3, 212.2, 350.0, 642.2", \ + " 96.5, 110.2, 145.1, 222.9, 360.8, 653.0", \ + " 108.7, 124.0, 160.9, 238.5, 376.1, 668.3", \ + " 124.9, 141.8, 182.4, 262.5, 399.7, 691.4", \ + " 146.7, 165.3, 209.9, 297.2, 435.1, 726.0", \ + " 176.6, 197.4, 246.8, 342.5, 489.6, 779.2", \ + " 217.4, 241.3, 297.2, 403.0, 564.2, 862.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 128.3, 165.3, 264.3, 487.3, 883.8, 1725.8", \ + " 128.8, 165.6, 264.4, 487.3, 883.8, 1725.8", \ + " 131.2, 167.5, 265.1, 487.4, 883.8, 1725.8", \ + " 135.9, 171.7, 268.3, 488.4, 883.8, 1725.8", \ + " 146.3, 181.3, 276.1, 493.5, 885.2, 1725.8", \ + " 165.1, 198.6, 290.9, 504.4, 891.6, 1726.4", \ + " 197.9, 230.3, 318.0, 525.8, 906.7, 1733.1", \ + " 242.4, 277.3, 364.6, 564.2, 936.2, 1751.2", \ + " 305.8, 342.6, 435.5, 630.4, 990.8, 1789.7", \ + " 394.6, 434.4, 533.7, 738.7, 1086.3, 1864.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 48.8, 68.6, 111.9, 188.1, 349.2", \ + " 47.8, 55.5, 75.4, 118.8, 195.1, 356.2", \ + " 52.8, 60.6, 80.5, 124.0, 200.2, 361.5", \ + " 58.9, 67.3, 87.3, 130.8, 207.1, 368.4", \ + " 66.6, 76.6, 99.1, 142.9, 219.3, 380.6", \ + " 73.5, 85.6, 112.6, 160.3, 236.7, 398.0", \ + " 79.5, 94.0, 126.5, 183.4, 263.1, 424.3", \ + " 84.0, 101.1, 139.8, 207.8, 300.4, 463.2", \ + " 86.2, 106.2, 151.8, 232.8, 343.3, 521.9", \ + " 84.6, 107.7, 161.1, 257.0, 388.9, 600.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 69.6, 88.7, 140.5, 257.8, 466.8, 911.5", \ + " 71.1, 89.9, 141.0, 257.9, 466.8, 911.5", \ + " 75.2, 93.5, 143.5, 258.7, 466.9, 911.5", \ + " 82.9, 100.5, 149.1, 262.2, 467.9, 911.5", \ + " 99.5, 116.2, 162.8, 272.4, 473.8, 912.1", \ + " 123.6, 141.7, 186.6, 292.0, 488.0, 918.6", \ + " 156.9, 177.1, 226.0, 327.6, 516.6, 936.9", \ + " 203.7, 225.9, 280.0, 385.4, 567.3, 974.7", \ + " 272.1, 296.2, 355.3, 471.6, 652.4, 1045.0", \ + " 371.7, 398.3, 462.9, 590.7, 786.8, 1167.8" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 80.3 ; */ +/* intrinsic_fall : 63.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 79.2, 114.6, 193.4, 332.6, 627.0", \ + " 72.9, 86.5, 122.1, 201.0, 340.2, 634.7", \ + " 77.8, 91.4, 127.0, 206.0, 345.3, 639.8", \ + " 84.1, 97.7, 133.3, 212.3, 351.6, 646.2", \ + " 94.6, 108.6, 144.1, 223.1, 362.4, 657.0", \ + " 106.9, 122.4, 159.9, 238.6, 377.8, 672.3", \ + " 123.0, 140.2, 181.4, 262.5, 401.3, 695.4", \ + " 144.9, 163.7, 208.9, 297.2, 436.6, 729.9", \ + " 175.0, 196.0, 245.8, 342.5, 490.9, 782.9", \ + " 216.5, 240.3, 296.3, 403.0, 565.4, 865.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 171.6, 207.8, 305.5, 527.4, 923.1, 1764.7", \ + " 172.2, 208.1, 305.6, 527.4, 923.1, 1764.7", \ + " 174.8, 210.2, 306.5, 527.5, 923.1, 1764.7", \ + " 179.6, 214.5, 309.7, 528.6, 923.2, 1764.7", \ + " 190.3, 224.4, 317.8, 533.8, 924.6, 1764.7", \ + " 209.3, 242.1, 332.9, 544.9, 931.2, 1765.3", \ + " 243.4, 274.0, 360.8, 566.8, 946.5, 1772.1", \ + " 294.4, 326.1, 408.4, 606.2, 976.6, 1790.4", \ + " 365.6, 398.6, 484.9, 674.3, 1032.4, 1829.4", \ + " 465.2, 500.5, 591.9, 787.5, 1130.5, 1905.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.4, 68.1, 93.0, 147.3, 242.6, 444.0", \ + " 64.5, 74.2, 99.2, 153.7, 249.1, 450.4", \ + " 68.6, 78.4, 103.4, 157.9, 253.3, 454.7", \ + " 73.9, 83.6, 108.7, 163.3, 258.8, 460.2", \ + " 81.9, 92.5, 117.9, 172.6, 268.1, 469.6", \ + " 90.3, 102.1, 130.3, 185.9, 281.4, 482.9", \ + " 98.8, 112.5, 144.5, 205.5, 301.6, 503.0", \ + " 106.3, 122.3, 159.5, 228.5, 331.5, 532.8", \ + " 112.0, 130.7, 174.2, 254.2, 370.0, 578.1", \ + " 114.4, 136.2, 187.2, 280.8, 414.1, 644.4" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.7, 120.1, 185.4, 333.2, 596.3, 1155.6", \ + " 96.2, 120.3, 185.5, 333.2, 596.3, 1155.6", \ + " 98.7, 122.5, 186.8, 333.4, 596.3, 1155.6", \ + " 103.6, 127.0, 190.3, 335.4, 596.5, 1155.6", \ + " 115.7, 138.1, 199.6, 341.8, 599.9, 1155.8", \ + " 137.5, 158.8, 217.6, 355.7, 609.1, 1159.2", \ + " 168.7, 192.3, 250.8, 383.1, 629.5, 1170.7", \ + " 213.5, 238.9, 301.8, 431.5, 668.6, 1197.1", \ + " 279.4, 306.7, 374.2, 510.9, 739.2, 1250.4", \ + " 377.0, 406.7, 479.2, 625.5, 859.2, 1349.7" ); }} +timing() { /* ring osc delay oai22v0x1, path a2 to z 75.2 */ +related_pin : "a2" ; +when : "(a1'*b1'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.7 ; */ +/* intrinsic_fall : 55.3 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.4, 67.9, 103.2, 181.2, 318.9, 611.1", \ + " 59.8, 73.4, 108.8, 187.0, 324.9, 617.1", \ + " 64.9, 78.4, 113.6, 191.8, 329.7, 622.0", \ + " 72.4, 85.6, 120.5, 198.5, 336.3, 628.6", \ + " 84.9, 99.2, 133.5, 210.8, 348.3, 640.3", \ + " 99.7, 115.8, 153.1, 229.3, 366.0, 657.5", \ + " 118.7, 136.9, 179.4, 258.4, 393.6, 683.9", \ + " 143.4, 163.8, 211.6, 301.0, 435.8, 723.9", \ + " 176.4, 199.4, 253.1, 354.5, 501.6, 786.0", \ + " 221.1, 247.6, 308.6, 422.9, 591.2, 883.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 119.4, 155.4, 252.1, 469.9, 857.2, 1680.1", \ + " 121.3, 156.3, 251.9, 469.9, 857.2, 1680.1", \ + " 126.3, 160.5, 254.0, 469.9, 857.2, 1680.1", \ + " 134.7, 167.8, 259.2, 471.5, 857.2, 1680.1", \ + " 151.6, 183.4, 271.5, 478.9, 858.6, 1680.1", \ + " 178.3, 208.4, 293.1, 494.5, 867.0, 1680.1", \ + " 215.8, 249.4, 329.9, 523.9, 887.4, 1687.3", \ + " 260.7, 299.3, 387.7, 574.5, 926.5, 1710.4", \ + " 325.5, 367.5, 467.6, 657.6, 997.1, 1760.2", \ + " 419.4, 465.7, 575.3, 786.9, 1118.0, 1855.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.4, 48.7, 72.8, 126.2, 220.8, 421.6", \ + " 45.1, 54.7, 79.3, 133.1, 227.9, 428.8", \ + " 48.9, 58.6, 83.3, 137.3, 232.2, 433.2", \ + " 52.6, 63.5, 88.4, 142.6, 237.7, 438.7", \ + " 56.7, 69.2, 97.2, 151.7, 246.9, 448.1", \ + " 60.0, 74.6, 106.6, 164.7, 260.0, 461.3", \ + " 61.7, 79.1, 116.7, 182.5, 280.0, 481.3", \ + " 60.7, 81.5, 126.0, 202.0, 309.1, 510.9", \ + " 55.6, 80.2, 133.1, 222.4, 344.4, 555.9", \ + " 43.8, 72.6, 135.3, 241.5, 383.7, 620.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 57.0, 81.4, 146.9, 294.6, 557.7, 1116.7", \ + " 57.9, 81.9, 147.0, 294.7, 557.7, 1116.8", \ + " 61.6, 84.7, 148.5, 294.9, 557.7, 1116.7", \ + " 68.4, 90.4, 152.6, 297.0, 557.9, 1116.7", \ + " 84.3, 104.1, 163.1, 304.0, 561.4, 1116.8", \ + " 103.8, 126.7, 183.0, 318.7, 570.9, 1120.3", \ + " 133.3, 157.6, 218.4, 347.4, 592.0, 1132.0", \ + " 177.4, 203.0, 267.2, 397.6, 632.1, 1158.9", \ + " 243.9, 271.0, 338.2, 476.9, 704.0, 1212.9", \ + " 342.4, 371.5, 443.3, 589.3, 825.8, 1313.3" ); }} +timing() { /* ring osc delay oai22v0x1, path a2 to z 87.4 */ +related_pin : "a2" ; +when : "(a1'*b1*b2')" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.7 ; */ +/* intrinsic_fall : 55.3 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 70.6, 106.2, 185.1, 324.3, 618.8", \ + " 62.3, 76.0, 111.8, 190.9, 330.3, 624.9", \ + " 67.4, 81.0, 116.6, 195.7, 335.1, 629.7", \ + " 74.9, 88.2, 123.5, 202.4, 341.7, 636.3", \ + " 88.0, 101.9, 136.5, 214.7, 353.7, 648.1", \ + " 103.5, 119.3, 156.1, 233.2, 371.4, 665.2", \ + " 123.6, 141.3, 183.3, 262.3, 399.0, 691.7", \ + " 149.6, 169.4, 216.6, 305.6, 441.2, 731.6", \ + " 184.6, 206.8, 259.7, 360.5, 507.2, 793.8", \ + " 232.5, 257.8, 317.4, 430.7, 598.6, 891.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 167.4, 202.9, 298.6, 515.6, 902.4, 1724.8", \ + " 169.3, 203.8, 298.5, 515.6, 902.4, 1724.8", \ + " 174.3, 207.9, 300.5, 515.6, 902.4, 1724.8", \ + " 182.7, 215.2, 305.7, 517.2, 902.4, 1724.8", \ + " 199.5, 230.7, 318.0, 524.6, 903.7, 1724.8", \ + " 225.6, 255.6, 339.5, 540.1, 912.2, 1724.9", \ + " 267.1, 295.5, 376.2, 569.5, 932.5, 1732.0", \ + " 321.0, 354.8, 433.9, 620.1, 971.6, 1755.2", \ + " 392.1, 429.0, 520.6, 703.4, 1042.1, 1804.9", \ + " 493.9, 534.1, 634.4, 835.1, 1163.2, 1899.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.1, 58.8, 83.5, 137.6, 232.6, 433.7", \ + " 55.2, 65.1, 90.1, 144.5, 239.7, 441.0", \ + " 59.3, 69.1, 94.3, 148.8, 244.1, 445.4", \ + " 64.5, 74.3, 99.5, 154.1, 249.6, 450.9", \ + " 70.8, 82.1, 108.5, 163.2, 258.8, 460.3", \ + " 76.9, 89.9, 119.7, 176.3, 271.9, 473.5", \ + " 82.4, 97.6, 132.0, 195.1, 291.9, 493.5", \ + " 86.0, 103.9, 144.2, 216.4, 321.4, 523.1", \ + " 86.1, 107.2, 154.9, 239.3, 358.2, 568.1", \ + " 80.1, 105.0, 161.6, 261.6, 399.6, 633.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.8, 101.0, 166.2, 313.9, 576.9, 1136.1", \ + " 76.9, 101.1, 166.3, 313.9, 576.9, 1136.1", \ + " 79.6, 103.1, 167.2, 314.0, 576.9, 1136.1", \ + " 84.8, 107.8, 170.8, 315.7, 577.0, 1136.1", \ + " 97.7, 119.3, 180.2, 322.1, 580.1, 1136.2", \ + " 119.1, 141.3, 198.3, 335.9, 589.1, 1139.3", \ + " 148.6, 172.7, 232.3, 363.2, 609.4, 1150.6", \ + " 192.0, 217.6, 281.6, 411.7, 648.3, 1176.8", \ + " 257.2, 284.6, 352.2, 490.8, 718.8, 1229.9", \ + " 354.1, 383.9, 456.5, 603.1, 839.3, 1329.0" ); }} +timing() { /* ring osc delay oai22v0x1, path a2 to z 75.5 */ +related_pin : "a2" ; +when : "(a1'*b1*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.7 ; */ +/* intrinsic_fall : 55.3 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 72.1, 107.1, 185.0, 322.7, 614.8", \ + " 64.1, 77.6, 112.7, 190.8, 328.7, 620.9", \ + " 69.3, 82.5, 117.6, 195.6, 333.5, 625.7", \ + " 76.7, 89.8, 124.5, 202.3, 340.1, 632.3", \ + " 89.9, 103.4, 137.5, 214.6, 352.0, 644.1", \ + " 105.3, 120.9, 157.2, 233.2, 369.8, 661.3", \ + " 125.1, 142.8, 184.3, 262.4, 397.5, 687.8", \ + " 150.8, 170.5, 217.4, 305.7, 440.0, 727.9", \ + " 185.0, 207.3, 260.0, 360.3, 506.2, 790.4", \ + " 231.4, 257.0, 316.9, 430.1, 597.2, 888.8" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.2, 161.6, 258.6, 476.7, 864.2, 1687.1", \ + " 126.8, 162.3, 258.5, 476.7, 864.2, 1687.1", \ + " 131.5, 166.1, 260.2, 476.6, 864.2, 1687.1", \ + " 139.3, 173.0, 265.2, 478.1, 864.2, 1687.1", \ + " 155.2, 187.6, 277.0, 485.2, 865.4, 1687.1", \ + " 180.3, 211.4, 297.5, 500.3, 873.6, 1687.1", \ + " 217.5, 250.1, 332.7, 528.7, 893.5, 1694.1", \ + " 260.6, 299.4, 388.0, 577.5, 931.6, 1716.8", \ + " 322.1, 364.4, 465.5, 657.4, 1000.0, 1765.6", \ + " 410.8, 457.4, 568.2, 782.1, 1116.9, 1858.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.7, 42.2, 61.6, 104.4, 180.3, 341.2", \ + " 41.1, 48.9, 68.6, 111.8, 187.8, 348.8", \ + " 45.8, 53.7, 73.6, 116.9, 193.0, 354.1", \ + " 50.3, 59.7, 80.2, 123.7, 199.9, 361.1", \ + " 55.2, 66.7, 91.3, 135.6, 212.0, 373.2", \ + " 59.1, 72.9, 102.7, 152.9, 229.3, 390.6", \ + " 61.5, 78.1, 113.9, 174.2, 255.5, 416.9", \ + " 61.3, 81.0, 123.9, 196.2, 291.8, 455.6", \ + " 57.0, 80.3, 131.3, 217.8, 332.5, 514.0", \ + " 46.1, 73.4, 133.8, 237.2, 374.7, 591.2" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 53.4, 72.8, 124.8, 242.2, 451.4, 895.9", \ + " 55.0, 73.7, 125.1, 242.3, 451.4, 895.9", \ + " 59.6, 77.6, 127.4, 242.8, 451.4, 895.9", \ + " 67.9, 85.0, 133.2, 246.2, 452.1, 895.9", \ + " 85.4, 101.3, 147.0, 256.3, 457.8, 896.4", \ + " 107.0, 126.0, 171.0, 275.9, 471.8, 902.6", \ + " 138.3, 159.2, 209.9, 311.4, 500.3, 920.7", \ + " 183.9, 206.4, 261.7, 369.2, 550.7, 958.2", \ + " 251.4, 275.6, 335.1, 453.5, 635.5, 1028.2", \ + " 350.0, 376.6, 441.5, 570.2, 769.1, 1150.8" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 70.7 ; */ +/* intrinsic_fall : 55.3 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.81 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 70.6, 106.2, 185.1, 324.3, 618.8", \ + " 62.3, 76.0, 111.8, 190.9, 330.3, 624.9", \ + " 67.4, 81.0, 116.6, 195.7, 335.1, 629.7", \ + " 74.9, 88.2, 123.5, 202.4, 341.7, 636.3", \ + " 88.0, 101.9, 136.5, 214.7, 353.7, 648.1", \ + " 103.5, 119.3, 156.1, 233.2, 371.4, 665.2", \ + " 123.6, 141.3, 183.3, 262.3, 399.0, 691.7", \ + " 149.6, 169.4, 216.6, 305.6, 441.2, 731.6", \ + " 184.6, 206.8, 259.7, 360.5, 507.2, 793.8", \ + " 232.5, 257.8, 317.4, 430.7, 598.6, 891.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 167.4, 202.9, 298.6, 515.6, 902.4, 1724.8", \ + " 169.3, 203.8, 298.5, 515.6, 902.4, 1724.8", \ + " 174.3, 207.9, 300.5, 515.6, 902.4, 1724.8", \ + " 182.7, 215.2, 305.7, 517.2, 902.4, 1724.8", \ + " 199.5, 230.7, 318.0, 524.6, 903.7, 1724.8", \ + " 225.6, 255.6, 339.5, 540.1, 912.2, 1724.9", \ + " 267.1, 295.5, 376.2, 569.5, 932.5, 1732.0", \ + " 321.0, 354.8, 433.9, 620.1, 971.6, 1755.2", \ + " 392.1, 429.0, 520.6, 703.4, 1042.1, 1804.9", \ + " 493.9, 534.1, 634.4, 835.1, 1163.2, 1899.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.1, 58.8, 83.5, 137.6, 232.6, 433.7", \ + " 55.2, 65.1, 90.1, 144.5, 239.7, 441.0", \ + " 59.3, 69.1, 94.3, 148.8, 244.1, 445.4", \ + " 64.5, 74.3, 99.5, 154.1, 249.6, 450.9", \ + " 70.8, 82.1, 108.5, 163.2, 258.8, 460.3", \ + " 76.9, 89.9, 119.7, 176.3, 271.9, 473.5", \ + " 82.4, 97.6, 132.0, 195.1, 291.9, 493.5", \ + " 86.0, 103.9, 144.2, 216.4, 321.4, 523.1", \ + " 86.1, 107.2, 154.9, 239.3, 358.2, 568.1", \ + " 80.1, 105.0, 161.6, 261.6, 399.6, 633.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.8, 101.0, 166.2, 313.9, 576.9, 1136.1", \ + " 76.9, 101.1, 166.3, 313.9, 576.9, 1136.1", \ + " 79.6, 103.1, 167.2, 314.0, 576.9, 1136.1", \ + " 84.8, 107.8, 170.8, 315.7, 577.0, 1136.1", \ + " 97.7, 119.3, 180.2, 322.1, 580.1, 1136.2", \ + " 119.1, 141.3, 198.3, 335.9, 589.1, 1139.3", \ + " 148.6, 172.7, 232.3, 363.2, 609.4, 1150.6", \ + " 192.0, 217.6, 281.6, 411.7, 648.3, 1176.8", \ + " 257.2, 284.6, 352.2, 490.8, 718.8, 1229.9", \ + " 354.1, 383.9, 456.5, 603.1, 839.3, 1329.0" ); }} +timing() { /* ring osc delay oai22v0x1, path b1 to z 72.1 */ +related_pin : "b1" ; +when : "(a1'*a2*b2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 52.9 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.2, 61.7, 96.8, 174.6, 312.2, 604.2", \ + " 54.7, 68.3, 103.8, 182.1, 320.0, 612.2", \ + " 59.4, 73.0, 108.5, 186.9, 324.9, 617.3", \ + " 65.4, 79.1, 114.5, 192.9, 331.1, 623.6", \ + " 73.0, 88.8, 125.2, 203.4, 341.6, 634.2", \ + " 82.5, 100.0, 140.3, 218.8, 356.8, 649.4", \ + " 95.7, 115.1, 159.4, 242.9, 380.3, 672.4", \ + " 113.6, 135.5, 184.6, 276.0, 415.8, 707.0", \ + " 138.3, 163.5, 218.7, 319.2, 470.0, 760.2", \ + " 171.8, 201.3, 265.0, 377.5, 542.7, 843.3" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.5, 124.3, 222.9, 445.4, 841.3, 1682.5", \ + " 88.3, 124.8, 223.0, 445.4, 841.3, 1682.5", \ + " 92.0, 127.4, 223.9, 445.5, 841.3, 1682.5", \ + " 98.0, 132.6, 227.7, 446.5, 841.3, 1682.5", \ + " 110.6, 143.7, 236.5, 452.2, 842.7, 1682.5", \ + " 133.7, 163.5, 252.5, 463.7, 849.5, 1683.1", \ + " 163.3, 197.5, 281.7, 486.1, 865.1, 1690.0", \ + " 207.7, 242.5, 332.1, 526.1, 895.3, 1708.4", \ + " 273.2, 308.6, 401.1, 595.0, 951.2, 1747.3", \ + " 366.6, 404.2, 500.5, 705.3, 1049.2, 1822.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.9, 46.5, 71.0, 125.0, 219.9, 421.0", \ + " 42.2, 51.8, 76.5, 130.6, 225.6, 426.7", \ + " 46.7, 56.3, 81.0, 135.0, 230.1, 431.2", \ + " 52.0, 62.5, 87.1, 141.2, 236.2, 437.3", \ + " 58.2, 70.6, 98.2, 152.1, 247.0, 448.0", \ + " 64.1, 78.5, 110.9, 168.3, 262.8, 463.6", \ + " 69.4, 86.2, 124.0, 190.9, 287.1, 487.3", \ + " 73.5, 92.9, 136.6, 214.8, 323.0, 522.7", \ + " 75.3, 97.8, 148.5, 239.2, 366.1, 576.9", \ + " 72.8, 99.0, 158.0, 263.3, 411.4, 655.8" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.7, 98.6, 162.5, 306.8, 563.9, 1110.4", \ + " 78.1, 100.8, 163.1, 306.8, 563.9, 1110.4", \ + " 84.2, 106.0, 166.6, 307.6, 563.9, 1110.4", \ + " 94.0, 115.0, 173.5, 311.4, 564.3, 1110.4", \ + " 113.2, 133.0, 189.1, 322.3, 569.6, 1110.4", \ + " 134.6, 159.0, 214.6, 343.0, 583.4, 1114.5", \ + " 165.3, 191.7, 254.8, 379.5, 611.7, 1130.4", \ + " 208.8, 237.7, 305.9, 437.1, 662.2, 1165.7", \ + " 271.3, 303.7, 378.2, 521.8, 746.3, 1233.4", \ + " 361.2, 398.6, 481.8, 638.1, 878.6, 1353.5" ); }} +timing() { /* ring osc delay oai22v0x1, path b1 to z 84.8 */ +related_pin : "b1" ; +when : "(a1*a2'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 52.9 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.2, 63.9, 99.4, 178.2, 317.4, 611.9", \ + " 56.7, 70.5, 106.4, 185.7, 325.2, 619.9", \ + " 61.4, 75.1, 111.1, 190.5, 330.1, 625.0", \ + " 67.6, 81.3, 117.1, 196.5, 336.3, 631.3", \ + " 75.7, 91.4, 127.7, 207.0, 346.8, 641.9", \ + " 85.7, 103.0, 143.2, 222.4, 362.0, 657.1", \ + " 99.7, 118.8, 162.9, 246.5, 385.5, 680.1", \ + " 118.9, 140.2, 188.7, 280.3, 421.0, 714.6", \ + " 145.5, 169.7, 224.0, 324.4, 475.6, 767.9", \ + " 182.2, 210.1, 272.2, 383.9, 549.3, 851.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 137.6, 173.7, 271.1, 492.6, 887.8, 1728.7", \ + " 138.5, 174.1, 271.3, 492.6, 887.8, 1728.7", \ + " 142.1, 176.7, 272.1, 492.7, 887.8, 1728.7", \ + " 148.0, 181.9, 275.9, 493.7, 887.9, 1728.7", \ + " 159.8, 192.8, 284.7, 499.3, 889.3, 1728.7", \ + " 180.3, 211.6, 300.6, 510.9, 896.1, 1729.2", \ + " 216.7, 245.5, 329.3, 533.2, 911.6, 1736.2", \ + " 264.4, 296.3, 378.2, 573.0, 941.8, 1754.6", \ + " 333.0, 365.8, 453.0, 641.6, 997.7, 1793.4", \ + " 430.9, 465.2, 556.2, 754.1, 1095.6, 1868.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.6, 57.3, 82.2, 136.6, 231.9, 433.3", \ + " 53.0, 62.7, 87.7, 142.2, 237.6, 439.0", \ + " 57.5, 67.2, 92.2, 146.7, 242.1, 443.5", \ + " 63.9, 73.4, 98.4, 152.8, 248.2, 449.5", \ + " 72.7, 83.8, 109.5, 163.8, 259.0, 460.3", \ + " 81.3, 94.3, 124.2, 179.9, 274.8, 475.9", \ + " 89.7, 104.8, 139.8, 203.6, 299.0, 499.6", \ + " 97.4, 114.8, 155.3, 229.9, 335.2, 534.9", \ + " 103.3, 123.4, 170.3, 257.0, 380.4, 589.1", \ + " 105.8, 129.1, 183.5, 284.1, 428.3, 668.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 93.4, 117.4, 181.4, 325.9, 583.1, 1129.8", \ + " 95.1, 118.4, 181.5, 325.9, 583.1, 1129.8", \ + " 100.0, 122.6, 184.2, 326.2, 583.1, 1129.8", \ + " 108.5, 130.4, 190.3, 329.5, 583.3, 1129.8", \ + " 125.7, 146.8, 204.5, 339.5, 587.9, 1129.8", \ + " 150.4, 173.0, 228.7, 359.0, 601.0, 1133.3", \ + " 181.4, 207.2, 268.0, 394.2, 628.3, 1148.6", \ + " 225.4, 253.6, 320.8, 450.8, 677.6, 1183.1", \ + " 288.9, 320.2, 393.6, 536.1, 760.6, 1249.7", \ + " 380.8, 416.3, 497.8, 653.1, 892.3, 1368.7" ); }} +timing() { /* ring osc delay oai22v0x1, path b1 to z 65.2 */ +related_pin : "b1" ; +when : "(a1*a2*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 52.9 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 61.4, 96.4, 174.2, 311.8, 603.9", \ + " 54.3, 67.9, 103.3, 181.5, 319.5, 611.7", \ + " 59.1, 72.5, 107.9, 186.3, 324.3, 616.7", \ + " 65.1, 78.8, 113.9, 192.3, 330.5, 623.0", \ + " 72.8, 88.5, 124.7, 202.8, 341.0, 633.6", \ + " 82.6, 100.0, 140.0, 218.4, 356.2, 648.7", \ + " 96.7, 115.8, 159.7, 242.7, 379.9, 671.8", \ + " 116.3, 137.7, 185.9, 276.6, 415.9, 706.7", \ + " 143.7, 168.1, 222.1, 321.3, 471.0, 760.6", \ + " 181.4, 210.0, 271.9, 382.2, 545.7, 844.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 87.5, 124.3, 222.9, 445.4, 841.3, 1682.5", \ + " 88.5, 124.9, 223.1, 445.4, 841.3, 1682.5", \ + " 92.3, 127.7, 224.1, 445.5, 841.3, 1682.5", \ + " 98.3, 132.9, 228.0, 446.7, 841.3, 1682.5", \ + " 110.9, 144.0, 236.9, 452.5, 842.8, 1682.5", \ + " 133.9, 163.8, 252.8, 464.1, 849.8, 1683.1", \ + " 163.0, 197.3, 281.7, 486.3, 865.4, 1690.2", \ + " 205.7, 240.8, 330.8, 525.6, 895.3, 1708.6", \ + " 267.0, 303.1, 396.9, 592.4, 950.0, 1747.0", \ + " 354.6, 392.5, 490.4, 698.1, 1044.9, 1820.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 36.2, 54.6, 95.0, 166.0, 316.3", \ + " 35.3, 42.5, 61.1, 101.6, 172.6, 322.9", \ + " 40.2, 47.7, 66.2, 106.7, 177.7, 328.0", \ + " 44.8, 53.8, 73.2, 113.7, 184.7, 334.9", \ + " 50.1, 61.0, 84.5, 126.1, 196.9, 347.1", \ + " 54.6, 67.4, 95.7, 143.9, 214.8, 364.7", \ + " 57.9, 73.1, 106.7, 164.6, 241.9, 391.4", \ + " 59.2, 77.0, 116.4, 185.3, 277.9, 431.1", \ + " 56.8, 77.6, 123.9, 205.1, 315.9, 491.2", \ + " 48.2, 72.6, 127.0, 222.6, 354.0, 565.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.4, 69.9, 116.8, 222.7, 411.3, 812.3", \ + " 57.8, 73.9, 118.8, 222.9, 411.3, 812.3", \ + " 65.0, 80.4, 123.6, 225.1, 411.4, 812.3", \ + " 76.1, 90.8, 132.1, 230.7, 413.4, 812.4", \ + " 94.2, 110.8, 150.1, 244.3, 421.8, 813.5", \ + " 116.4, 135.3, 178.2, 268.6, 439.6, 822.1", \ + " 147.6, 168.9, 217.8, 309.5, 473.4, 844.2", \ + " 190.7, 214.8, 269.6, 371.5, 530.9, 887.8", \ + " 251.5, 279.5, 341.5, 455.4, 623.2, 967.0", \ + " 338.4, 371.5, 443.1, 571.1, 759.1, 1101.9" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 62.7 ; */ +/* intrinsic_fall : 52.9 ; */ +/* rise_resistance : 4.36 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.2, 63.9, 99.4, 178.2, 317.4, 611.9", \ + " 56.7, 70.5, 106.4, 185.7, 325.2, 619.9", \ + " 61.4, 75.1, 111.1, 190.5, 330.1, 625.0", \ + " 67.6, 81.3, 117.1, 196.5, 336.3, 631.3", \ + " 75.7, 91.4, 127.7, 207.0, 346.8, 641.9", \ + " 85.7, 103.0, 143.2, 222.4, 362.0, 657.1", \ + " 99.7, 118.8, 162.9, 246.5, 385.5, 680.1", \ + " 118.9, 140.2, 188.7, 280.3, 421.0, 714.6", \ + " 145.5, 169.7, 224.0, 324.4, 475.6, 767.9", \ + " 182.2, 210.1, 272.2, 383.9, 549.3, 851.0" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 137.6, 173.7, 271.1, 492.6, 887.8, 1728.7", \ + " 138.5, 174.1, 271.3, 492.6, 887.8, 1728.7", \ + " 142.1, 176.7, 272.1, 492.7, 887.8, 1728.7", \ + " 148.0, 181.9, 275.9, 493.7, 887.9, 1728.7", \ + " 159.8, 192.8, 284.7, 499.3, 889.3, 1728.7", \ + " 180.3, 211.6, 300.6, 510.9, 896.1, 1729.2", \ + " 216.7, 245.5, 329.3, 533.2, 911.6, 1736.2", \ + " 264.4, 296.3, 378.2, 573.0, 941.8, 1754.6", \ + " 333.0, 365.8, 453.0, 641.6, 997.7, 1793.4", \ + " 430.9, 465.2, 556.2, 754.1, 1095.6, 1868.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.6, 57.3, 82.2, 136.6, 231.9, 433.3", \ + " 53.0, 62.7, 87.7, 142.2, 237.6, 439.0", \ + " 57.5, 67.2, 92.2, 146.7, 242.1, 443.5", \ + " 63.9, 73.4, 98.4, 152.8, 248.2, 449.5", \ + " 72.7, 83.8, 109.5, 163.8, 259.0, 460.3", \ + " 81.3, 94.3, 124.2, 179.9, 274.8, 475.9", \ + " 89.7, 104.8, 139.8, 203.6, 299.0, 499.6", \ + " 97.4, 114.8, 155.3, 229.9, 335.2, 534.9", \ + " 103.3, 123.4, 170.3, 257.0, 380.4, 589.1", \ + " 105.8, 129.1, 183.5, 284.1, 428.3, 668.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 93.4, 117.4, 181.4, 325.9, 583.1, 1129.8", \ + " 95.1, 118.4, 181.5, 325.9, 583.1, 1129.8", \ + " 100.0, 122.6, 184.2, 326.2, 583.1, 1129.8", \ + " 108.5, 130.4, 190.3, 329.5, 583.3, 1129.8", \ + " 125.7, 146.8, 204.5, 339.5, 587.9, 1129.8", \ + " 150.4, 173.0, 228.7, 359.0, 601.0, 1133.3", \ + " 181.4, 207.2, 268.0, 394.2, 628.3, 1148.6", \ + " 225.4, 253.6, 320.8, 450.8, 677.6, 1183.1", \ + " 288.9, 320.2, 393.6, 536.1, 760.6, 1249.7", \ + " 380.8, 416.3, 497.8, 653.1, 892.3, 1368.7" ); }} +timing() { /* ring osc delay oai22v0x1, path b2 to z 60.1 */ +related_pin : "b2" ; +when : "(a1'*a2*b1')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 43.9 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.0, 51.8, 87.1, 165.1, 302.8, 594.9", \ + " 43.7, 57.2, 92.7, 171.0, 309.0, 601.3", \ + " 49.3, 62.4, 97.5, 175.7, 313.8, 606.1", \ + " 56.0, 70.0, 104.4, 182.3, 320.3, 612.7", \ + " 65.4, 81.8, 117.6, 194.6, 332.2, 624.4", \ + " 77.4, 95.7, 136.7, 213.3, 349.9, 641.5", \ + " 93.5, 114.1, 160.4, 242.7, 377.6, 668.0", \ + " 114.6, 138.1, 190.1, 284.0, 420.2, 708.2", \ + " 143.0, 170.2, 229.3, 335.5, 486.5, 770.8", \ + " 181.7, 213.6, 281.9, 402.1, 574.5, 869.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.4, 120.6, 217.3, 434.9, 821.7, 1643.8", \ + " 87.9, 122.4, 217.1, 434.8, 821.7, 1643.8", \ + " 93.9, 127.3, 219.8, 434.8, 821.7, 1643.8", \ + " 103.2, 135.3, 225.5, 436.6, 821.7, 1643.8", \ + " 121.4, 151.7, 238.4, 444.4, 823.1, 1643.8", \ + " 148.6, 177.8, 260.5, 460.3, 831.8, 1643.8", \ + " 176.7, 215.3, 297.6, 490.0, 852.3, 1651.1", \ + " 218.6, 259.4, 356.1, 540.6, 891.3, 1674.2", \ + " 281.2, 324.3, 428.1, 623.0, 961.6, 1723.7", \ + " 373.5, 420.2, 531.1, 748.0, 1081.3, 1817.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.7, 37.0, 61.2, 114.6, 209.2, 410.0", \ + " 33.4, 42.7, 67.0, 120.8, 215.6, 416.5", \ + " 37.2, 47.3, 71.5, 125.3, 220.2, 421.1", \ + " 40.4, 52.3, 77.7, 131.4, 226.3, 427.2", \ + " 43.9, 58.0, 88.0, 142.3, 237.1, 438.0", \ + " 46.5, 63.0, 98.4, 158.3, 252.8, 453.5", \ + " 47.7, 67.1, 108.6, 179.3, 276.9, 477.1", \ + " 46.4, 69.1, 117.6, 200.5, 312.2, 512.4", \ + " 40.5, 67.3, 124.3, 221.2, 352.7, 566.3", \ + " 27.1, 58.7, 126.1, 239.9, 394.1, 643.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.5, 78.7, 143.0, 287.7, 544.8, 1091.2", \ + " 58.6, 81.2, 143.5, 287.5, 544.8, 1091.2", \ + " 64.9, 86.7, 147.1, 288.2, 544.8, 1091.2", \ + " 74.9, 95.7, 154.2, 292.0, 544.9, 1091.2", \ + " 91.0, 113.8, 169.7, 302.9, 550.1, 1091.1", \ + " 110.4, 136.5, 194.8, 323.5, 563.9, 1094.9", \ + " 140.1, 167.6, 233.2, 359.7, 592.1, 1110.7", \ + " 182.7, 212.6, 282.4, 416.9, 642.5, 1145.9", \ + " 243.7, 277.5, 353.2, 499.5, 726.0, 1213.6", \ + " 331.9, 370.6, 455.9, 613.5, 857.4, 1333.7" ); }} +timing() { /* ring osc delay oai22v0x1, path b2 to z 73.1 */ +related_pin : "b2" ; +when : "(a1*a2'*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 43.9 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.0, 53.9, 89.7, 168.7, 308.0, 602.6", \ + " 45.7, 59.4, 95.3, 174.6, 314.2, 609.0", \ + " 51.2, 64.5, 100.1, 179.3, 319.0, 613.8", \ + " 58.4, 72.1, 107.0, 186.0, 325.5, 620.4", \ + " 68.5, 84.5, 120.2, 198.2, 337.4, 632.1", \ + " 81.2, 99.2, 139.7, 216.9, 355.1, 649.2", \ + " 98.4, 118.5, 164.3, 246.4, 382.9, 675.7", \ + " 121.2, 143.8, 195.1, 288.6, 425.5, 715.9", \ + " 152.2, 178.0, 235.8, 341.4, 492.1, 778.5", \ + " 195.2, 224.8, 290.9, 409.9, 581.9, 877.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.4, 168.9, 264.5, 481.0, 867.3, 1689.0", \ + " 136.8, 170.6, 264.3, 481.0, 867.3, 1689.0", \ + " 142.8, 175.5, 266.9, 481.0, 867.3, 1689.0", \ + " 151.9, 183.5, 272.6, 482.7, 867.3, 1689.0", \ + " 169.4, 199.7, 285.4, 490.5, 868.6, 1689.0", \ + " 196.1, 225.0, 307.5, 506.4, 877.3, 1689.0", \ + " 237.4, 265.6, 344.4, 536.1, 897.8, 1696.2", \ + " 284.0, 319.2, 402.0, 586.6, 936.8, 1719.4", \ + " 351.8, 389.3, 483.8, 669.2, 1007.1, 1768.8", \ + " 451.4, 491.1, 592.4, 798.5, 1126.9, 1863.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 47.2, 72.0, 126.2, 221.2, 422.3", \ + " 43.3, 53.0, 78.0, 132.4, 227.6, 428.8", \ + " 48.1, 57.6, 82.5, 136.9, 232.2, 433.4", \ + " 53.6, 64.0, 88.6, 143.0, 238.3, 439.6", \ + " 60.1, 72.4, 99.8, 153.9, 249.1, 450.3", \ + " 65.9, 80.3, 112.6, 169.8, 264.8, 465.8", \ + " 70.9, 87.7, 125.5, 192.5, 288.8, 489.4", \ + " 74.0, 93.6, 137.6, 216.2, 324.6, 524.6", \ + " 73.6, 96.5, 148.0, 239.8, 367.4, 578.5", \ + " 66.6, 93.6, 154.2, 261.8, 411.6, 656.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.4, 98.3, 162.4, 307.0, 564.1, 1110.6", \ + " 76.3, 99.4, 162.3, 306.8, 564.1, 1110.6", \ + " 81.4, 103.8, 165.1, 307.0, 564.0, 1110.6", \ + " 89.7, 111.5, 171.2, 310.2, 564.0, 1110.6", \ + " 107.3, 127.7, 185.4, 320.1, 568.5, 1110.5", \ + " 127.6, 152.8, 209.2, 339.6, 581.5, 1113.8", \ + " 156.9, 183.9, 248.4, 374.6, 608.8, 1129.0", \ + " 199.7, 228.7, 297.9, 430.7, 658.0, 1163.3", \ + " 261.7, 294.1, 368.8, 514.3, 740.5, 1230.0", \ + " 351.2, 388.4, 471.8, 628.7, 871.7, 1348.9" ); }} +timing() { /* ring osc delay oai22v0x1, path b2 to z 54.3 */ +related_pin : "b2" ; +when : "(a1*a2*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 43.9 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.6, 51.3, 86.7, 164.7, 302.4, 594.5", \ + " 43.3, 56.7, 92.0, 170.4, 308.4, 600.7", \ + " 49.0, 61.9, 96.9, 175.0, 313.1, 605.5", \ + " 55.8, 69.7, 103.9, 181.7, 319.7, 612.1", \ + " 65.7, 81.8, 117.4, 194.1, 331.6, 623.8", \ + " 78.2, 96.4, 136.9, 213.1, 349.5, 641.0", \ + " 95.4, 115.6, 161.4, 243.1, 377.6, 667.6", \ + " 118.2, 141.1, 192.3, 285.3, 420.9, 708.3", \ + " 149.2, 175.6, 233.5, 338.4, 488.3, 771.8", \ + " 192.2, 223.0, 289.6, 407.8, 578.6, 872.0" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.4, 120.6, 217.3, 434.9, 821.7, 1643.8", \ + " 88.3, 122.7, 217.1, 434.8, 821.7, 1643.8", \ + " 94.4, 127.8, 220.1, 434.8, 821.7, 1643.8", \ + " 103.5, 135.8, 225.9, 436.8, 821.7, 1643.8", \ + " 121.2, 151.8, 238.7, 444.7, 823.2, 1643.8", \ + " 147.3, 176.7, 260.2, 460.5, 832.0, 1643.8", \ + " 173.3, 212.4, 295.7, 489.4, 852.3, 1651.2", \ + " 212.2, 253.5, 351.2, 538.1, 890.4, 1674.2", \ + " 270.2, 313.6, 418.9, 616.4, 958.2, 1722.6", \ + " 355.9, 402.5, 514.7, 734.9, 1072.5, 1813.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 29.6, 47.6, 87.5, 158.2, 308.2", \ + " 29.2, 36.2, 54.4, 94.5, 165.3, 315.4", \ + " 32.4, 41.1, 59.5, 99.7, 170.5, 320.6", \ + " 35.1, 45.4, 66.5, 106.6, 177.4, 327.5", \ + " 37.8, 50.2, 76.2, 119.0, 189.7, 339.7", \ + " 39.1, 54.0, 85.2, 136.2, 207.4, 357.3", \ + " 38.5, 56.2, 93.3, 154.9, 234.4, 383.9", \ + " 34.5, 55.5, 99.5, 172.9, 268.9, 423.5", \ + " 24.8, 49.7, 102.1, 189.3, 304.4, 483.1", \ + " 5.7, 35.2, 97.6, 201.4, 338.8, 554.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.5, 55.2, 102.3, 208.5, 397.2, 798.2", \ + " 43.5, 59.5, 104.3, 208.5, 397.2, 798.2", \ + " 50.9, 66.1, 109.1, 210.7, 397.1, 798.2", \ + " 62.0, 76.6, 117.7, 216.2, 399.0, 798.2", \ + " 76.6, 95.1, 135.5, 229.8, 407.3, 799.2", \ + " 97.1, 117.4, 163.7, 254.0, 425.0, 807.6", \ + " 126.9, 149.4, 200.5, 294.5, 458.7, 829.5", \ + " 168.2, 193.8, 250.4, 355.4, 516.0, 873.0", \ + " 226.6, 256.5, 320.7, 437.1, 607.8, 952.3", \ + " 310.5, 345.6, 420.5, 550.7, 741.7, 1086.7" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 53.2 ; */ +/* intrinsic_fall : 43.9 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.0, 53.9, 89.7, 168.7, 308.0, 602.6", \ + " 45.7, 59.4, 95.3, 174.6, 314.2, 609.0", \ + " 51.2, 64.5, 100.1, 179.3, 319.0, 613.8", \ + " 58.4, 72.1, 107.0, 186.0, 325.5, 620.4", \ + " 68.5, 84.5, 120.2, 198.2, 337.4, 632.1", \ + " 81.2, 99.2, 139.7, 216.9, 355.1, 649.2", \ + " 98.4, 118.5, 164.3, 246.4, 382.9, 675.7", \ + " 121.2, 143.8, 195.1, 288.6, 425.5, 715.9", \ + " 152.2, 178.0, 235.8, 341.4, 492.1, 778.5", \ + " 195.2, 224.8, 290.9, 409.9, 581.9, 877.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.4, 168.9, 264.5, 481.0, 867.3, 1689.0", \ + " 136.8, 170.6, 264.3, 481.0, 867.3, 1689.0", \ + " 142.8, 175.5, 266.9, 481.0, 867.3, 1689.0", \ + " 151.9, 183.5, 272.6, 482.7, 867.3, 1689.0", \ + " 169.4, 199.7, 285.4, 490.5, 868.6, 1689.0", \ + " 196.1, 225.0, 307.5, 506.4, 877.3, 1689.0", \ + " 237.4, 265.6, 344.4, 536.1, 897.8, 1696.2", \ + " 284.0, 319.2, 402.0, 586.6, 936.8, 1719.4", \ + " 351.8, 389.3, 483.8, 669.2, 1007.1, 1768.8", \ + " 451.4, 491.1, 592.4, 798.5, 1126.9, 1863.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.5, 47.2, 72.0, 126.2, 221.2, 422.3", \ + " 43.3, 53.0, 78.0, 132.4, 227.6, 428.8", \ + " 48.1, 57.6, 82.5, 136.9, 232.2, 433.4", \ + " 53.6, 64.0, 88.6, 143.0, 238.3, 439.6", \ + " 60.1, 72.4, 99.8, 153.9, 249.1, 450.3", \ + " 65.9, 80.3, 112.6, 169.8, 264.8, 465.8", \ + " 70.9, 87.7, 125.5, 192.5, 288.8, 489.4", \ + " 74.0, 93.6, 137.6, 216.2, 324.6, 524.6", \ + " 73.6, 96.5, 148.0, 239.8, 367.4, 578.5", \ + " 66.6, 93.6, 154.2, 261.8, 411.6, 656.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.4, 98.3, 162.4, 307.0, 564.1, 1110.6", \ + " 76.3, 99.4, 162.3, 306.8, 564.1, 1110.6", \ + " 81.4, 103.8, 165.1, 307.0, 564.0, 1110.6", \ + " 89.7, 111.5, 171.2, 310.2, 564.0, 1110.6", \ + " 107.3, 127.7, 185.4, 320.1, 568.5, 1110.5", \ + " 127.6, 152.8, 209.2, 339.6, 581.5, 1113.8", \ + " 156.9, 183.9, 248.4, 374.6, 608.8, 1129.0", \ + " 199.7, 228.7, 297.9, 430.7, 658.0, 1163.3", \ + " 261.7, 294.1, 368.8, 514.3, 740.5, 1230.0", \ + " 351.2, 388.4, 471.8, 628.7, 871.7, 1348.9" ); }} +} +} +cell(oai22v0x2) { /* 2008-01-06:07h52 characteristic delay 15.2 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1940 ; /* oai22v0x2 */ +cell_footprint : oai22 ; +pin(a1) { /* oai22v0x2 FO4 effort 1.89 logical effort 1.80 */ +direction : input ; +capacitance : 8.94 ; +rise_capacitance : 8.96 ; +fall_capacitance : 8.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai22v0x2 */ +} +pin(a2) { /* oai22v0x2 FO4 effort 1.69 logical effort 1.65 */ +direction : input ; +capacitance : 8.21 ; +rise_capacitance : 7.49 ; +fall_capacitance : 8.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai22v0x2 */ +} +pin(b1) { /* oai22v0x2 FO4 effort 1.75 logical effort 1.90 */ +direction : input ; +capacitance : 9.47 ; +rise_capacitance : 9.69 ; +fall_capacitance : 9.24 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of oai22v0x2 */ +} +pin(b2) { /* oai22v0x2 FO4 effort 1.55 logical effort 1.75 */ +direction : input ; +capacitance : 8.74 ; +rise_capacitance : 8.26 ; +fall_capacitance : 9.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of oai22v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 194 ; +max_fanout : 6 ; +function : "((a1+a2)*(b1+b2))'" ; +internal_power(a1_z_n) { /* oai22v0x2 38.81 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 19.93, 19.95, 19.97, 19.97, 19.94", \ + " 19.48, 19.55, 19.64, 19.70, 19.71", \ + " 19.32, 19.39, 19.51, 19.60, 19.63", \ + " 19.22, 19.29, 19.40, 19.52, 19.57", \ + " 19.29, 19.31, 19.38, 19.47, 19.52", \ + " 19.80, 19.73, 19.66, 19.63, 19.61", \ + " 21.15, 20.92, 20.55, 20.20, 19.96", \ + " 23.94, 23.46, 22.61, 21.67, 20.95", \ + " 29.04, 28.26, 26.74, 24.83, 23.22", \ + " 37.66, 36.56, 34.26, 31.00, 27.94" ); }} +internal_power(a2_z_n) { /* oai22v0x2 30.91 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 15.66, 15.79, 15.93, 16.01, 16.02", \ + " 15.17, 15.37, 15.65, 15.87, 15.96", \ + " 15.06, 15.23, 15.52, 15.78, 15.91", \ + " 15.10, 15.22, 15.45, 15.71, 15.87", \ + " 15.49, 15.49, 15.58, 15.74, 15.86", \ + " 16.45, 16.29, 16.12, 16.04, 16.04", \ + " 18.36, 17.98, 17.40, 16.88, 16.56", \ + " 21.76, 21.09, 19.94, 18.71, 17.80", \ + " 27.52, 26.51, 24.61, 22.31, 20.44", \ + " 36.95, 35.59, 32.82, 29.03, 25.65" ); }} +internal_power(b1_z_n) { /* oai22v0x2 29.36 nW/MHz */ +related_pin : "b1" ; +power(pwr_x2_260_5x10) { +values( " 15.08, 15.19, 15.31, 15.38, 15.39", \ + " 14.54, 14.69, 14.92, 15.10, 15.18", \ + " 14.42, 14.55, 14.77, 14.98, 15.10", \ + " 14.44, 14.51, 14.68, 14.89, 15.03", \ + " 14.77, 14.75, 14.78, 14.89, 14.99", \ + " 15.69, 15.51, 15.28, 15.16, 15.13", \ + " 17.60, 17.20, 16.57, 15.99, 15.63", \ + " 21.04, 20.36, 19.17, 17.85, 16.88", \ + " 26.85, 25.86, 23.96, 21.58, 19.60", \ + " 36.22, 34.92, 32.25, 28.48, 24.95" ); }} +internal_power(b2_z_n) { /* oai22v0x2 21.72 nW/MHz */ +related_pin : "b2" ; +power(pwr_x2_260_5x10) { +values( " 10.68, 10.92, 11.19, 11.36, 11.41", \ + " 10.35, 10.56, 10.92, 11.23, 11.40", \ + " 10.39, 10.54, 10.83, 11.16, 11.35", \ + " 10.64, 10.68, 10.86, 11.13, 11.32", \ + " 11.36, 11.24, 11.17, 11.25, 11.37", \ + " 12.72, 12.39, 11.97, 11.70, 11.61", \ + " 15.15, 14.55, 13.64, 12.81, 12.31", \ + " 19.13, 18.21, 16.66, 15.03, 13.83", \ + " 25.55, 24.28, 21.95, 19.17, 16.91", \ + " 35.73, 34.10, 30.87, 26.56, 22.72" ); }} +timing() { /* ring osc delay oai22v0x2, path a1 to z 82.8 */ +related_pin : "a1" ; +when : "(a2'*b1'*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 73.3, 107.1, 182.1, 314.9, 596.5", \ + " 67.5, 80.5, 114.4, 189.6, 322.5, 604.2", \ + " 72.3, 85.3, 119.3, 194.6, 327.5, 609.2", \ + " 78.6, 91.6, 125.6, 200.9, 333.8, 615.6", \ + " 88.5, 102.4, 136.4, 211.6, 344.5, 626.4", \ + " 99.9, 115.3, 152.1, 227.1, 359.9, 641.6", \ + " 115.1, 132.1, 172.6, 251.1, 383.4, 664.8", \ + " 135.6, 154.4, 198.9, 284.8, 418.9, 699.4", \ + " 163.8, 185.0, 234.3, 328.6, 472.7, 752.5", \ + " 202.3, 226.6, 282.6, 387.2, 545.1, 834.9" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 116.3, 151.7, 246.8, 461.6, 843.7, 1655.5", \ + " 116.9, 152.1, 246.9, 461.6, 843.7, 1655.5", \ + " 119.8, 154.4, 248.0, 461.7, 843.7, 1655.5", \ + " 124.9, 158.9, 251.5, 463.0, 843.8, 1655.5", \ + " 136.1, 169.2, 259.8, 468.6, 845.6, 1655.5", \ + " 156.6, 187.8, 275.5, 480.1, 852.5, 1656.4", \ + " 189.9, 221.8, 304.2, 502.6, 868.4, 1663.9", \ + " 235.9, 269.2, 353.4, 542.8, 899.3, 1683.0", \ + " 302.2, 337.1, 425.8, 612.1, 956.1, 1723.1", \ + " 395.9, 433.6, 527.9, 724.0, 1055.6, 1800.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.4, 55.6, 79.6, 132.2, 224.9, 421.2", \ + " 52.1, 61.5, 85.6, 138.4, 231.1, 427.5", \ + " 56.0, 65.4, 89.6, 142.5, 235.3, 431.6", \ + " 60.6, 70.5, 94.7, 147.7, 240.5, 436.9", \ + " 66.5, 77.5, 103.5, 156.6, 249.5, 446.0", \ + " 72.1, 84.8, 114.0, 169.5, 262.5, 459.0", \ + " 77.0, 91.9, 125.6, 187.5, 282.2, 478.5", \ + " 80.4, 97.9, 137.3, 207.9, 310.8, 507.6", \ + " 81.5, 101.9, 148.1, 230.1, 346.2, 551.7", \ + " 78.6, 102.3, 156.3, 252.5, 386.3, 614.7" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.2, 97.9, 161.6, 305.7, 562.4, 1108.0", \ + " 75.4, 98.7, 161.9, 305.8, 562.4, 1108.0", \ + " 78.7, 101.5, 163.8, 306.2, 562.4, 1108.0", \ + " 84.8, 106.9, 167.9, 308.8, 562.9, 1108.0", \ + " 99.1, 119.8, 178.4, 316.0, 566.9, 1108.3", \ + " 120.6, 142.5, 198.1, 330.9, 576.8, 1112.4", \ + " 151.3, 174.8, 233.2, 359.8, 598.3, 1124.6", \ + " 196.0, 221.0, 283.1, 409.9, 638.6, 1152.0", \ + " 262.3, 289.0, 354.9, 489.2, 710.7, 1206.3", \ + " 359.8, 388.9, 459.6, 602.2, 831.6, 1306.8" ); }} +timing() { /* ring osc delay oai22v0x2, path a1 to z 94.5 */ +related_pin : "a1" ; +when : "(a2'*b1*b2')" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.8, 75.8, 110.0, 185.9, 320.1, 604.0", \ + " 69.9, 83.0, 117.3, 193.4, 327.7, 611.7", \ + " 74.8, 87.9, 122.2, 198.4, 332.7, 616.7", \ + " 81.1, 94.1, 128.5, 204.6, 339.0, 623.1", \ + " 91.3, 105.1, 139.3, 215.4, 349.8, 633.8", \ + " 103.2, 118.4, 155.1, 230.9, 365.2, 649.1", \ + " 119.1, 135.9, 176.1, 254.9, 388.7, 672.3", \ + " 140.6, 159.0, 203.2, 289.2, 424.1, 706.8", \ + " 170.5, 191.0, 239.7, 333.8, 478.3, 759.9", \ + " 211.5, 234.8, 289.6, 393.6, 551.7, 842.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 163.7, 198.6, 292.8, 506.8, 888.4, 1699.8", \ + " 164.3, 199.0, 293.0, 506.8, 888.4, 1699.8", \ + " 167.2, 201.3, 294.0, 506.9, 888.4, 1699.8", \ + " 172.3, 205.8, 297.4, 508.2, 888.4, 1699.8", \ + " 183.4, 216.0, 305.8, 513.7, 890.2, 1699.8", \ + " 202.9, 234.3, 321.4, 525.2, 897.1, 1700.7", \ + " 237.9, 266.9, 349.9, 547.7, 913.0, 1708.1", \ + " 288.4, 319.1, 398.3, 587.8, 943.8, 1727.2", \ + " 359.2, 391.1, 474.7, 656.9, 1000.6, 1767.3", \ + " 458.2, 492.4, 581.0, 770.5, 1100.1, 1844.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 66.2, 90.5, 143.6, 236.6, 433.1", \ + " 62.7, 72.2, 96.6, 149.7, 242.8, 439.4", \ + " 66.6, 76.1, 100.6, 153.8, 247.0, 443.6", \ + " 71.7, 81.3, 105.7, 159.0, 252.2, 448.9", \ + " 79.3, 89.6, 114.7, 168.0, 261.3, 458.0", \ + " 87.1, 98.7, 126.4, 180.9, 274.2, 470.9", \ + " 95.1, 108.4, 139.8, 199.8, 293.9, 490.5", \ + " 102.0, 117.6, 154.0, 221.7, 322.9, 519.5", \ + " 107.1, 125.4, 167.9, 246.1, 359.6, 563.7", \ + " 108.7, 130.0, 179.8, 271.2, 401.6, 627.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.6, 116.4, 180.2, 324.4, 581.2, 1127.0", \ + " 93.2, 116.7, 180.4, 324.4, 581.2, 1127.0", \ + " 95.8, 119.0, 181.8, 324.7, 581.2, 1127.0", \ + " 100.9, 123.7, 185.4, 326.9, 581.5, 1127.0", \ + " 113.2, 135.0, 194.9, 333.6, 585.1, 1127.2", \ + " 135.0, 156.1, 213.1, 347.6, 594.5, 1131.0", \ + " 165.9, 189.1, 246.6, 375.1, 615.2, 1142.8", \ + " 210.4, 235.2, 296.9, 423.7, 654.4, 1169.5", \ + " 276.0, 302.7, 368.6, 502.5, 725.0, 1222.9", \ + " 372.6, 401.8, 472.7, 615.6, 844.8, 1322.1" ); }} +timing() { /* ring osc delay oai22v0x2, path a1 to z 82.1 */ +related_pin : "a1" ; +when : "(a2'*b1*b2)" ; +sdf_cond : "((a2 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.5, 77.3, 110.9, 185.8, 318.5, 600.1", \ + " 71.6, 84.5, 118.2, 193.3, 326.1, 607.8", \ + " 76.4, 89.3, 123.1, 198.3, 331.1, 612.9", \ + " 82.7, 95.5, 129.3, 204.5, 337.4, 619.2", \ + " 93.0, 106.5, 140.1, 215.2, 348.1, 630.0", \ + " 104.9, 119.9, 155.9, 230.8, 363.5, 645.2", \ + " 120.8, 137.3, 177.0, 254.9, 387.1, 668.4", \ + " 142.3, 160.5, 204.1, 289.1, 422.7, 703.1", \ + " 171.9, 192.3, 240.6, 333.8, 477.0, 756.4", \ + " 212.2, 235.7, 290.4, 393.7, 550.5, 839.4" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.9, 157.6, 253.0, 468.1, 850.3, 1662.2", \ + " 122.4, 157.9, 253.1, 468.1, 850.3, 1662.2", \ + " 125.2, 160.0, 254.0, 468.2, 850.3, 1662.2", \ + " 130.1, 164.5, 257.4, 469.3, 850.3, 1662.2", \ + " 140.8, 174.4, 265.6, 474.7, 852.0, 1662.2", \ + " 160.3, 192.2, 280.7, 486.0, 858.9, 1663.1", \ + " 193.1, 224.8, 308.5, 508.0, 874.5, 1670.4", \ + " 237.3, 271.1, 355.9, 547.1, 904.7, 1689.2", \ + " 300.2, 335.9, 426.1, 614.2, 960.2, 1728.7", \ + " 388.4, 427.0, 523.4, 722.3, 1056.8, 1804.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.6, 47.0, 66.1, 107.9, 181.4, 336.9", \ + " 46.1, 53.6, 72.8, 114.7, 188.3, 343.9", \ + " 51.1, 58.6, 77.8, 119.8, 193.4, 349.0", \ + " 57.0, 65.2, 84.6, 126.6, 200.2, 355.9", \ + " 64.1, 74.0, 96.1, 138.6, 212.3, 367.9", \ + " 70.6, 82.4, 109.0, 155.8, 229.5, 385.2", \ + " 76.1, 90.3, 122.2, 177.9, 255.7, 411.3", \ + " 80.1, 96.8, 134.7, 201.3, 292.0, 449.9", \ + " 81.7, 101.2, 145.8, 225.0, 333.2, 508.0", \ + " 79.3, 102.0, 154.2, 247.8, 376.7, 584.2" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 66.2, 84.7, 134.8, 248.2, 450.3, 880.0", \ + " 68.0, 86.1, 135.3, 248.3, 450.3, 880.0", \ + " 72.3, 89.9, 138.1, 249.3, 450.3, 880.0", \ + " 80.2, 97.2, 144.0, 253.1, 451.5, 880.0", \ + " 97.2, 113.2, 158.0, 263.6, 457.8, 881.0", \ + " 120.9, 138.7, 182.2, 283.6, 472.5, 888.0", \ + " 153.9, 173.7, 221.6, 319.6, 501.6, 907.0", \ + " 200.5, 222.1, 274.9, 377.7, 552.8, 945.4", \ + " 268.3, 291.9, 349.4, 462.8, 638.3, 1016.5", \ + " 366.7, 392.9, 456.0, 580.5, 771.8, 1140.1" ); }} +timing() { +related_pin : "a1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 77.4 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.8, 75.8, 110.0, 185.9, 320.1, 604.0", \ + " 69.9, 83.0, 117.3, 193.4, 327.7, 611.7", \ + " 74.8, 87.9, 122.2, 198.4, 332.7, 616.7", \ + " 81.1, 94.1, 128.5, 204.6, 339.0, 623.1", \ + " 91.3, 105.1, 139.3, 215.4, 349.8, 633.8", \ + " 103.2, 118.4, 155.1, 230.9, 365.2, 649.1", \ + " 119.1, 135.9, 176.1, 254.9, 388.7, 672.3", \ + " 140.6, 159.0, 203.2, 289.2, 424.1, 706.8", \ + " 170.5, 191.0, 239.7, 333.8, 478.3, 759.9", \ + " 211.5, 234.8, 289.6, 393.6, 551.7, 842.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 163.7, 198.6, 292.8, 506.8, 888.4, 1699.8", \ + " 164.3, 199.0, 293.0, 506.8, 888.4, 1699.8", \ + " 167.2, 201.3, 294.0, 506.9, 888.4, 1699.8", \ + " 172.3, 205.8, 297.4, 508.2, 888.4, 1699.8", \ + " 183.4, 216.0, 305.8, 513.7, 890.2, 1699.8", \ + " 202.9, 234.3, 321.4, 525.2, 897.1, 1700.7", \ + " 237.9, 266.9, 349.9, 547.7, 913.0, 1708.1", \ + " 288.4, 319.1, 398.3, 587.8, 943.8, 1727.2", \ + " 359.2, 391.1, 474.7, 656.9, 1000.6, 1767.3", \ + " 458.2, 492.4, 581.0, 770.5, 1100.1, 1844.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 66.2, 90.5, 143.6, 236.6, 433.1", \ + " 62.7, 72.2, 96.6, 149.7, 242.8, 439.4", \ + " 66.6, 76.1, 100.6, 153.8, 247.0, 443.6", \ + " 71.7, 81.3, 105.7, 159.0, 252.2, 448.9", \ + " 79.3, 89.6, 114.7, 168.0, 261.3, 458.0", \ + " 87.1, 98.7, 126.4, 180.9, 274.2, 470.9", \ + " 95.1, 108.4, 139.8, 199.8, 293.9, 490.5", \ + " 102.0, 117.6, 154.0, 221.7, 322.9, 519.5", \ + " 107.1, 125.4, 167.9, 246.1, 359.6, 563.7", \ + " 108.7, 130.0, 179.8, 271.2, 401.6, 627.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.6, 116.4, 180.2, 324.4, 581.2, 1127.0", \ + " 93.2, 116.7, 180.4, 324.4, 581.2, 1127.0", \ + " 95.8, 119.0, 181.8, 324.7, 581.2, 1127.0", \ + " 100.9, 123.7, 185.4, 326.9, 581.5, 1127.0", \ + " 113.2, 135.0, 194.9, 333.6, 585.1, 1127.2", \ + " 135.0, 156.1, 213.1, 347.6, 594.5, 1131.0", \ + " 165.9, 189.1, 246.6, 375.1, 615.2, 1142.8", \ + " 210.4, 235.2, 296.9, 423.7, 654.4, 1169.5", \ + " 276.0, 302.7, 368.6, 502.5, 725.0, 1222.9", \ + " 372.6, 401.8, 472.7, 615.6, 844.8, 1322.1" ); }} +timing() { /* ring osc delay oai22v0x2, path a2 to z 71.2 */ +related_pin : "a2" ; +when : "(a1'*b1'*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b0) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.3 ; */ +/* intrinsic_fall : 53.3 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.8, 64.9, 98.8, 174.0, 306.8, 588.5", \ + " 57.2, 70.3, 104.4, 179.8, 312.8, 594.6", \ + " 62.4, 75.3, 109.3, 184.6, 317.6, 599.4", \ + " 69.9, 82.6, 116.2, 191.3, 324.2, 606.0", \ + " 82.1, 96.1, 129.3, 203.7, 336.2, 617.8", \ + " 96.4, 112.3, 149.0, 222.3, 354.0, 635.0", \ + " 115.1, 132.9, 174.5, 251.5, 381.7, 661.5", \ + " 139.3, 159.3, 206.0, 293.6, 424.1, 701.6", \ + " 171.8, 194.4, 247.0, 346.0, 489.9, 764.0", \ + " 215.9, 242.0, 301.8, 413.3, 577.8, 862.1" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 113.3, 148.1, 241.2, 451.3, 824.8, 1618.1", \ + " 115.5, 149.2, 241.1, 451.3, 824.8, 1618.1", \ + " 120.8, 153.6, 243.5, 451.3, 824.8, 1618.1", \ + " 129.4, 161.2, 248.9, 453.2, 824.8, 1618.1", \ + " 146.7, 177.1, 261.6, 461.0, 826.5, 1618.1", \ + " 174.0, 202.5, 283.7, 477.0, 835.5, 1618.4", \ + " 210.1, 244.1, 320.9, 507.1, 856.5, 1626.4", \ + " 254.8, 292.4, 379.3, 558.4, 896.5, 1650.7", \ + " 319.3, 360.3, 457.7, 642.2, 968.2, 1701.7", \ + " 413.0, 458.2, 564.8, 770.6, 1090.3, 1798.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.0, 47.1, 70.6, 122.8, 215.1, 411.1", \ + " 43.5, 52.9, 76.9, 129.4, 222.0, 418.2", \ + " 47.1, 56.6, 80.7, 133.5, 226.2, 422.5", \ + " 50.5, 61.1, 85.7, 138.6, 231.4, 427.8", \ + " 54.2, 66.4, 94.0, 147.4, 240.4, 436.8", \ + " 57.0, 71.4, 102.9, 160.1, 253.2, 449.7", \ + " 58.4, 75.5, 112.4, 176.9, 272.6, 469.2", \ + " 57.0, 77.4, 121.0, 195.4, 300.7, 498.0", \ + " 51.4, 75.5, 127.3, 214.7, 334.2, 541.8", \ + " 38.9, 67.2, 128.5, 232.3, 371.5, 603.6" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 54.8, 78.6, 142.6, 286.8, 543.5, 1089.0", \ + " 56.0, 79.2, 142.8, 286.9, 543.5, 1089.0", \ + " 59.8, 82.3, 144.4, 287.1, 543.5, 1089.0", \ + " 66.8, 88.2, 148.7, 289.5, 543.8, 1089.0", \ + " 82.4, 102.1, 159.4, 296.7, 547.6, 1089.1", \ + " 101.9, 124.4, 179.5, 311.6, 557.4, 1093.0", \ + " 131.4, 155.2, 214.8, 340.4, 578.6, 1105.0", \ + " 175.6, 200.5, 263.1, 390.8, 618.8, 1132.2", \ + " 241.8, 268.2, 333.7, 469.1, 690.8, 1186.3", \ + " 339.4, 367.9, 438.1, 580.4, 811.8, 1286.6" ); }} +timing() { /* ring osc delay oai22v0x2, path a2 to z 83.0 */ +related_pin : "a2" ; +when : "(a1'*b1*b2')" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.3 ; */ +/* intrinsic_fall : 53.3 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.3, 67.4, 101.7, 177.8, 312.0, 596.0", \ + " 59.7, 72.9, 107.3, 183.6, 318.0, 602.0", \ + " 64.8, 77.9, 112.2, 188.4, 322.8, 606.9", \ + " 72.4, 85.2, 119.1, 195.1, 329.5, 613.5", \ + " 85.2, 99.0, 132.1, 207.5, 341.4, 625.3", \ + " 100.3, 115.8, 152.0, 226.0, 359.2, 642.5", \ + " 119.9, 137.3, 178.4, 255.3, 386.9, 669.0", \ + " 145.5, 164.9, 211.1, 298.1, 429.3, 709.1", \ + " 180.1, 201.9, 253.5, 352.0, 495.5, 771.4", \ + " 227.5, 252.3, 310.6, 421.2, 585.0, 869.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 159.7, 193.9, 286.2, 495.4, 868.4, 1661.4", \ + " 161.9, 195.0, 286.1, 495.4, 868.4, 1661.4", \ + " 167.1, 199.4, 288.4, 495.4, 868.4, 1661.4", \ + " 175.7, 206.9, 293.9, 497.3, 868.4, 1661.4", \ + " 192.9, 222.8, 306.5, 505.1, 870.1, 1661.4", \ + " 219.3, 248.0, 328.5, 521.1, 879.1, 1661.6", \ + " 261.3, 288.3, 365.6, 551.1, 900.1, 1669.7", \ + " 313.8, 346.7, 423.8, 602.4, 940.0, 1693.9", \ + " 384.5, 420.4, 509.5, 686.3, 1011.7, 1744.8", \ + " 485.9, 525.1, 622.5, 818.4, 1133.9, 1841.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 57.0, 81.2, 134.0, 226.8, 423.1", \ + " 53.5, 63.1, 87.6, 140.7, 233.7, 430.1", \ + " 57.3, 66.9, 91.5, 144.8, 237.9, 434.4", \ + " 62.2, 72.0, 96.5, 149.9, 243.1, 439.7", \ + " 68.1, 79.2, 105.3, 158.7, 252.1, 448.8", \ + " 73.8, 86.5, 115.8, 171.5, 264.9, 461.7", \ + " 78.9, 93.7, 127.4, 189.5, 284.3, 481.1", \ + " 81.9, 99.4, 138.8, 209.6, 312.9, 510.0", \ + " 81.4, 102.1, 148.7, 231.3, 347.8, 553.8", \ + " 74.7, 99.0, 154.3, 252.1, 387.1, 616.4" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.2, 97.8, 161.5, 305.7, 562.3, 1108.0", \ + " 74.4, 97.9, 161.6, 305.7, 562.3, 1108.0", \ + " 77.3, 100.2, 162.8, 305.8, 562.3, 1108.0", \ + " 82.7, 105.1, 166.5, 307.8, 562.5, 1108.0", \ + " 95.9, 116.8, 176.0, 314.3, 565.9, 1108.0", \ + " 116.9, 139.0, 194.4, 328.3, 575.1, 1111.6", \ + " 146.2, 169.8, 228.8, 355.8, 595.6, 1123.2", \ + " 189.6, 214.6, 277.2, 404.4, 634.7, 1149.7", \ + " 254.6, 281.3, 347.3, 482.7, 705.2, 1202.9", \ + " 350.6, 379.9, 450.9, 593.9, 825.1, 1301.9" ); }} +timing() { /* ring osc delay oai22v0x2, path a2 to z 71.4 */ +related_pin : "a2" ; +when : "(a1'*b1*b2)" ; +sdf_cond : "((a1 == 1'b0) && (b1 == 1'b1) && (b2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.3 ; */ +/* intrinsic_fall : 53.3 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 68.8, 102.6, 177.7, 310.4, 592.1", \ + " 61.3, 74.3, 108.2, 183.5, 316.4, 598.2", \ + " 66.5, 79.3, 113.0, 188.3, 321.2, 603.0", \ + " 74.1, 86.6, 120.0, 195.0, 327.8, 609.6", \ + " 86.9, 100.4, 133.1, 207.4, 339.8, 621.4", \ + " 101.9, 117.2, 152.9, 226.0, 357.6, 638.6", \ + " 121.3, 138.6, 179.3, 255.4, 385.5, 665.2", \ + " 146.5, 165.9, 211.8, 298.1, 428.1, 705.5", \ + " 180.3, 202.2, 253.8, 351.8, 494.5, 768.2", \ + " 226.1, 251.3, 310.0, 420.5, 583.8, 867.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.9, 154.0, 247.5, 457.8, 831.4, 1624.9", \ + " 120.8, 154.9, 247.4, 457.8, 831.4, 1624.9", \ + " 125.8, 159.0, 249.5, 457.8, 831.4, 1624.9", \ + " 133.8, 166.2, 254.7, 459.5, 831.4, 1624.9", \ + " 150.0, 181.1, 266.8, 467.0, 833.0, 1624.9", \ + " 175.5, 205.2, 287.8, 482.6, 841.7, 1625.0", \ + " 211.6, 244.5, 323.4, 511.6, 862.3, 1632.9", \ + " 254.2, 292.1, 379.1, 560.9, 901.1, 1656.7", \ + " 315.3, 356.6, 455.0, 641.4, 970.6, 1706.7", \ + " 403.5, 449.0, 556.8, 765.1, 1088.4, 1801.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.2, 40.5, 59.2, 100.6, 173.7, 329.0", \ + " 39.6, 47.0, 66.1, 107.8, 181.2, 336.6", \ + " 44.1, 51.8, 71.0, 112.9, 186.4, 341.8", \ + " 48.2, 57.5, 77.6, 119.6, 193.2, 348.7", \ + " 52.8, 64.1, 88.3, 131.4, 205.1, 360.8", \ + " 56.3, 69.9, 99.1, 148.4, 222.3, 378.0", \ + " 58.3, 74.5, 109.7, 168.8, 248.2, 404.0", \ + " 57.6, 77.0, 118.9, 189.8, 283.4, 442.4", \ + " 52.7, 75.6, 125.5, 210.2, 322.4, 500.2", \ + " 41.1, 67.8, 126.9, 228.1, 362.5, 574.5" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 50.6, 69.4, 119.6, 233.2, 435.4, 865.1", \ + " 52.5, 70.5, 120.0, 233.3, 435.4, 865.1", \ + " 57.4, 74.6, 122.7, 234.0, 435.4, 865.1", \ + " 66.0, 82.3, 128.7, 237.7, 436.3, 865.1", \ + " 83.3, 99.0, 142.9, 248.1, 442.4, 865.8", \ + " 104.7, 123.3, 167.2, 268.1, 456.9, 872.6", \ + " 135.9, 156.3, 205.8, 303.9, 485.9, 891.3", \ + " 181.4, 203.3, 257.1, 362.1, 536.8, 929.5", \ + " 248.4, 272.1, 330.0, 445.1, 621.9, 1000.4", \ + " 345.7, 371.9, 435.4, 560.6, 754.5, 1123.6" ); }} +timing() { +related_pin : "a2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 68.3 ; */ +/* intrinsic_fall : 53.3 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.37 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.3, 67.4, 101.7, 177.8, 312.0, 596.0", \ + " 59.7, 72.9, 107.3, 183.6, 318.0, 602.0", \ + " 64.8, 77.9, 112.2, 188.4, 322.8, 606.9", \ + " 72.4, 85.2, 119.1, 195.1, 329.5, 613.5", \ + " 85.2, 99.0, 132.1, 207.5, 341.4, 625.3", \ + " 100.3, 115.8, 152.0, 226.0, 359.2, 642.5", \ + " 119.9, 137.3, 178.4, 255.3, 386.9, 669.0", \ + " 145.5, 164.9, 211.1, 298.1, 429.3, 709.1", \ + " 180.1, 201.9, 253.5, 352.0, 495.5, 771.4", \ + " 227.5, 252.3, 310.6, 421.2, 585.0, 869.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 159.7, 193.9, 286.2, 495.4, 868.4, 1661.4", \ + " 161.9, 195.0, 286.1, 495.4, 868.4, 1661.4", \ + " 167.1, 199.4, 288.4, 495.4, 868.4, 1661.4", \ + " 175.7, 206.9, 293.9, 497.3, 868.4, 1661.4", \ + " 192.9, 222.8, 306.5, 505.1, 870.1, 1661.4", \ + " 219.3, 248.0, 328.5, 521.1, 879.1, 1661.6", \ + " 261.3, 288.3, 365.6, 551.1, 900.1, 1669.7", \ + " 313.8, 346.7, 423.8, 602.4, 940.0, 1693.9", \ + " 384.5, 420.4, 509.5, 686.3, 1011.7, 1744.8", \ + " 485.9, 525.1, 622.5, 818.4, 1133.9, 1841.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.5, 57.0, 81.2, 134.0, 226.8, 423.1", \ + " 53.5, 63.1, 87.6, 140.7, 233.7, 430.1", \ + " 57.3, 66.9, 91.5, 144.8, 237.9, 434.4", \ + " 62.2, 72.0, 96.5, 149.9, 243.1, 439.7", \ + " 68.1, 79.2, 105.3, 158.7, 252.1, 448.8", \ + " 73.8, 86.5, 115.8, 171.5, 264.9, 461.7", \ + " 78.9, 93.7, 127.4, 189.5, 284.3, 481.1", \ + " 81.9, 99.4, 138.8, 209.6, 312.9, 510.0", \ + " 81.4, 102.1, 148.7, 231.3, 347.8, 553.8", \ + " 74.7, 99.0, 154.3, 252.1, 387.1, 616.4" ); } +fall_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 74.2, 97.8, 161.5, 305.7, 562.3, 1108.0", \ + " 74.4, 97.9, 161.6, 305.7, 562.3, 1108.0", \ + " 77.3, 100.2, 162.8, 305.8, 562.3, 1108.0", \ + " 82.7, 105.1, 166.5, 307.8, 562.5, 1108.0", \ + " 95.9, 116.8, 176.0, 314.3, 565.9, 1108.0", \ + " 116.9, 139.0, 194.4, 328.3, 575.1, 1111.6", \ + " 146.2, 169.8, 228.8, 355.8, 595.6, 1123.2", \ + " 189.6, 214.6, 277.2, 404.4, 634.7, 1149.7", \ + " 254.6, 281.3, 347.3, 482.7, 705.2, 1202.9", \ + " 350.6, 379.9, 450.9, 593.9, 825.1, 1301.9" ); }} +timing() { /* ring osc delay oai22v0x2, path b1 to z 69.7 */ +related_pin : "b1" ; +when : "(a1'*a2*b2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 52.6 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.5, 59.6, 93.4, 168.3, 301.0, 582.6", \ + " 53.1, 66.2, 100.4, 175.8, 308.8, 590.6", \ + " 57.8, 70.9, 105.0, 180.6, 313.7, 595.6", \ + " 63.7, 77.0, 111.0, 186.6, 319.9, 601.9", \ + " 71.1, 86.5, 121.8, 197.2, 330.4, 612.5", \ + " 80.5, 97.5, 136.7, 212.6, 345.6, 627.7", \ + " 93.4, 112.3, 155.5, 236.7, 369.1, 650.7", \ + " 110.8, 132.3, 180.2, 269.2, 404.7, 685.3", \ + " 134.8, 159.5, 213.6, 311.7, 458.3, 738.7", \ + " 167.2, 196.2, 258.7, 368.7, 529.8, 821.6" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.0, 120.5, 215.6, 430.1, 811.8, 1623.0", \ + " 86.0, 121.0, 215.7, 430.1, 811.8, 1623.0", \ + " 89.8, 123.9, 216.8, 430.2, 811.8, 1623.0", \ + " 95.8, 129.1, 220.7, 431.5, 811.8, 1623.0", \ + " 108.7, 140.4, 229.7, 437.4, 813.6, 1623.0", \ + " 131.6, 160.6, 246.0, 449.2, 820.8, 1623.9", \ + " 161.4, 194.4, 275.7, 472.1, 836.8, 1631.4", \ + " 206.0, 239.5, 326.1, 512.7, 867.8, 1650.5", \ + " 271.4, 305.7, 395.0, 582.5, 924.7, 1690.5", \ + " 364.6, 401.1, 494.3, 692.5, 1024.0, 1767.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.4, 45.8, 69.8, 122.4, 215.1, 411.4", \ + " 41.8, 51.2, 75.3, 128.1, 220.8, 417.1", \ + " 46.4, 55.7, 79.8, 132.6, 225.4, 421.7", \ + " 51.6, 62.0, 86.1, 138.8, 231.5, 427.8", \ + " 57.9, 70.1, 97.3, 149.9, 242.5, 438.7", \ + " 63.8, 78.0, 109.9, 166.2, 258.5, 454.5", \ + " 69.3, 85.8, 123.0, 188.9, 283.1, 478.5", \ + " 73.6, 92.7, 135.7, 212.8, 319.3, 514.4", \ + " 75.8, 98.0, 147.8, 237.2, 362.3, 569.2", \ + " 74.0, 99.8, 157.8, 261.5, 407.5, 648.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 72.3, 95.6, 158.0, 298.8, 549.7, 1082.9", \ + " 75.9, 98.0, 158.7, 298.9, 549.7, 1082.9", \ + " 82.1, 103.4, 162.3, 299.8, 549.7, 1082.9", \ + " 92.0, 112.5, 169.4, 303.8, 550.2, 1082.9", \ + " 111.4, 130.6, 185.2, 314.9, 555.8, 1083.0", \ + " 132.6, 156.5, 210.9, 335.8, 570.0, 1087.4", \ + " 163.3, 189.3, 250.9, 372.6, 598.7, 1103.9", \ + " 206.8, 235.3, 302.1, 430.6, 649.7, 1139.9", \ + " 269.1, 301.0, 374.3, 515.0, 734.2, 1208.4", \ + " 358.7, 395.6, 477.6, 631.2, 866.7, 1329.5" ); }} +timing() { /* ring osc delay oai22v0x2, path b1 to z 82.1 */ +related_pin : "b1" ; +when : "(a1*a2'*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 52.6 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.5, 61.7, 95.9, 171.9, 306.1, 590.0", \ + " 55.1, 68.3, 103.0, 179.3, 313.8, 598.0", \ + " 59.8, 73.0, 107.6, 184.1, 318.7, 603.0", \ + " 65.9, 79.2, 113.6, 190.2, 324.9, 609.3", \ + " 73.8, 89.0, 124.3, 200.7, 335.5, 619.9", \ + " 83.7, 100.5, 139.6, 216.1, 350.7, 635.1", \ + " 97.4, 116.0, 159.0, 240.3, 374.2, 658.1", \ + " 116.2, 137.0, 184.4, 273.4, 409.7, 692.7", \ + " 142.1, 165.8, 218.9, 316.8, 463.8, 746.0", \ + " 177.7, 205.1, 265.9, 375.1, 536.3, 829.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 133.1, 167.8, 261.8, 475.4, 856.5, 1667.3", \ + " 134.0, 168.4, 262.0, 475.4, 856.5, 1667.3", \ + " 137.8, 171.2, 263.1, 475.5, 856.5, 1667.3", \ + " 143.7, 176.4, 266.9, 476.8, 856.5, 1667.3", \ + " 155.7, 187.5, 275.9, 482.6, 858.3, 1667.3", \ + " 176.6, 206.6, 292.1, 494.5, 865.4, 1668.2", \ + " 212.8, 241.1, 321.3, 517.3, 881.5, 1675.7", \ + " 260.5, 291.4, 370.9, 557.8, 912.4, 1694.8", \ + " 329.2, 360.8, 445.2, 627.2, 969.3, 1734.8", \ + " 426.9, 460.1, 548.1, 739.7, 1068.5, 1811.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 56.4, 80.7, 133.8, 226.8, 423.4", \ + " 52.4, 61.9, 86.3, 139.4, 232.5, 429.1", \ + " 56.9, 66.4, 90.8, 144.0, 237.1, 433.7", \ + " 63.4, 72.7, 97.0, 150.2, 243.2, 439.8", \ + " 72.2, 83.1, 108.3, 161.3, 254.2, 450.7", \ + " 80.8, 93.6, 123.0, 177.6, 270.2, 466.5", \ + " 89.3, 104.2, 138.6, 201.4, 294.7, 490.5", \ + " 97.1, 114.2, 154.1, 227.6, 331.3, 526.3", \ + " 103.4, 123.2, 169.3, 254.7, 376.4, 581.1", \ + " 106.5, 129.5, 183.0, 282.0, 424.2, 661.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.5, 113.8, 176.4, 317.4, 568.4, 1101.8", \ + " 92.4, 115.1, 176.6, 317.4, 568.4, 1101.8", \ + " 97.4, 119.4, 179.5, 317.9, 568.4, 1101.8", \ + " 106.0, 127.3, 185.6, 321.3, 568.6, 1101.8", \ + " 123.4, 143.9, 200.1, 331.5, 573.6, 1101.8", \ + " 147.8, 170.3, 224.5, 351.3, 587.0, 1105.8", \ + " 179.1, 204.3, 264.0, 386.9, 614.7, 1121.6", \ + " 223.1, 250.8, 316.6, 443.9, 664.6, 1156.7", \ + " 286.5, 317.2, 389.4, 529.0, 748.2, 1224.2", \ + " 378.0, 413.1, 493.3, 645.9, 880.3, 1344.2" ); }} +timing() { /* ring osc delay oai22v0x2, path b1 to z 63.1 */ +related_pin : "b1" ; +when : "(a1*a2*b2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 52.6 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.2, 59.2, 93.0, 168.0, 300.7, 582.3", \ + " 52.7, 65.8, 99.9, 175.3, 308.3, 590.1", \ + " 57.5, 70.5, 104.5, 180.0, 313.1, 595.1", \ + " 63.4, 76.7, 110.6, 186.1, 319.3, 601.3", \ + " 70.9, 86.2, 121.4, 196.6, 329.8, 611.9", \ + " 80.6, 97.5, 136.5, 212.2, 345.1, 627.1", \ + " 94.4, 113.0, 155.8, 236.6, 368.8, 650.2", \ + " 113.5, 134.5, 181.5, 269.8, 404.8, 685.1", \ + " 140.1, 164.1, 216.9, 313.7, 459.4, 739.0", \ + " 176.6, 204.7, 265.5, 373.4, 532.8, 823.2" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 85.1, 120.5, 215.6, 430.1, 811.8, 1623.0", \ + " 86.2, 121.1, 215.8, 430.1, 811.8, 1623.0", \ + " 90.1, 124.1, 217.0, 430.3, 811.8, 1623.0", \ + " 96.1, 129.5, 221.0, 431.7, 811.9, 1623.0", \ + " 109.0, 140.7, 230.1, 437.6, 813.7, 1623.0", \ + " 131.8, 160.8, 246.3, 449.6, 821.0, 1623.9", \ + " 161.0, 194.1, 275.7, 472.3, 837.1, 1631.5", \ + " 203.9, 237.7, 324.8, 512.2, 867.7, 1650.6", \ + " 265.3, 300.2, 390.9, 579.9, 923.4, 1690.2", \ + " 352.9, 389.7, 484.4, 685.5, 1019.6, 1765.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.7, 35.9, 54.1, 93.9, 164.0, 312.2", \ + " 35.2, 42.3, 60.6, 100.5, 170.6, 318.9", \ + " 40.0, 47.5, 65.7, 105.7, 175.7, 324.0", \ + " 44.7, 53.5, 72.8, 112.6, 182.7, 331.0", \ + " 50.0, 60.8, 84.1, 125.1, 195.1, 343.2", \ + " 54.6, 67.3, 95.3, 143.0, 213.0, 360.9", \ + " 58.2, 73.1, 106.3, 163.7, 240.3, 387.8", \ + " 59.8, 77.3, 116.2, 184.4, 276.3, 427.6", \ + " 58.0, 78.5, 124.2, 204.5, 314.3, 488.0", \ + " 50.2, 74.3, 128.0, 222.5, 352.5, 561.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 51.5, 68.8, 115.0, 219.3, 405.1, 800.3", \ + " 57.0, 72.9, 117.0, 219.5, 405.2, 800.3", \ + " 64.2, 79.4, 121.9, 221.9, 405.3, 800.3", \ + " 75.3, 89.8, 130.4, 227.5, 407.4, 800.3", \ + " 93.4, 109.9, 148.5, 241.2, 415.9, 801.6", \ + " 115.6, 134.3, 176.7, 265.6, 433.8, 810.3", \ + " 146.8, 167.9, 216.2, 306.6, 467.8, 832.7", \ + " 189.8, 213.7, 267.9, 368.5, 525.5, 876.5", \ + " 250.5, 278.2, 339.6, 452.2, 617.9, 956.1", \ + " 337.2, 370.0, 441.0, 567.7, 753.5, 1091.3" ); }} +timing() { +related_pin : "b1" ; +timing_sense : non_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 52.6 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.5, 61.7, 95.9, 171.9, 306.1, 590.0", \ + " 55.1, 68.3, 103.0, 179.3, 313.8, 598.0", \ + " 59.8, 73.0, 107.6, 184.1, 318.7, 603.0", \ + " 65.9, 79.2, 113.6, 190.2, 324.9, 609.3", \ + " 73.8, 89.0, 124.3, 200.7, 335.5, 619.9", \ + " 83.7, 100.5, 139.6, 216.1, 350.7, 635.1", \ + " 97.4, 116.0, 159.0, 240.3, 374.2, 658.1", \ + " 116.2, 137.0, 184.4, 273.4, 409.7, 692.7", \ + " 142.1, 165.8, 218.9, 316.8, 463.8, 746.0", \ + " 177.7, 205.1, 265.9, 375.1, 536.3, 829.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 133.1, 167.8, 261.8, 475.4, 856.5, 1667.3", \ + " 134.0, 168.4, 262.0, 475.4, 856.5, 1667.3", \ + " 137.8, 171.2, 263.1, 475.5, 856.5, 1667.3", \ + " 143.7, 176.4, 266.9, 476.8, 856.5, 1667.3", \ + " 155.7, 187.5, 275.9, 482.6, 858.3, 1667.3", \ + " 176.6, 206.6, 292.1, 494.5, 865.4, 1668.2", \ + " 212.8, 241.1, 321.3, 517.3, 881.5, 1675.7", \ + " 260.5, 291.4, 370.9, 557.8, 912.4, 1694.8", \ + " 329.2, 360.8, 445.2, 627.2, 969.3, 1734.8", \ + " 426.9, 460.1, 548.1, 739.7, 1068.5, 1811.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 56.4, 80.7, 133.8, 226.8, 423.4", \ + " 52.4, 61.9, 86.3, 139.4, 232.5, 429.1", \ + " 56.9, 66.4, 90.8, 144.0, 237.1, 433.7", \ + " 63.4, 72.7, 97.0, 150.2, 243.2, 439.8", \ + " 72.2, 83.1, 108.3, 161.3, 254.2, 450.7", \ + " 80.8, 93.6, 123.0, 177.6, 270.2, 466.5", \ + " 89.3, 104.2, 138.6, 201.4, 294.7, 490.5", \ + " 97.1, 114.2, 154.1, 227.6, 331.3, 526.3", \ + " 103.4, 123.2, 169.3, 254.7, 376.4, 581.1", \ + " 106.5, 129.5, 183.0, 282.0, 424.2, 661.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.5, 113.8, 176.4, 317.4, 568.4, 1101.8", \ + " 92.4, 115.1, 176.6, 317.4, 568.4, 1101.8", \ + " 97.4, 119.4, 179.5, 317.9, 568.4, 1101.8", \ + " 106.0, 127.3, 185.6, 321.3, 568.6, 1101.8", \ + " 123.4, 143.9, 200.1, 331.5, 573.6, 1101.8", \ + " 147.8, 170.3, 224.5, 351.3, 587.0, 1105.8", \ + " 179.1, 204.3, 264.0, 386.9, 614.7, 1121.6", \ + " 223.1, 250.8, 316.6, 443.9, 664.6, 1156.7", \ + " 286.5, 317.2, 389.4, 529.0, 748.2, 1224.2", \ + " 378.0, 413.1, 493.3, 645.9, 880.3, 1344.2" ); }} +timing() { /* ring osc delay oai22v0x2, path b2 to z 58.4 */ +related_pin : "b2" ; +when : "(a1'*a2*b1')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 44.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 50.5, 84.7, 159.9, 292.6, 574.3", \ + " 43.0, 56.0, 90.2, 165.7, 298.8, 580.7", \ + " 48.5, 61.1, 95.0, 170.4, 303.6, 585.5", \ + " 55.0, 68.7, 102.0, 177.1, 310.1, 592.1", \ + " 64.2, 80.2, 115.2, 189.4, 322.0, 603.8", \ + " 75.9, 93.9, 133.9, 208.0, 339.7, 620.9", \ + " 91.6, 111.8, 157.1, 237.5, 367.5, 647.4", \ + " 112.2, 135.2, 186.1, 278.0, 410.2, 687.6", \ + " 139.8, 166.5, 224.4, 328.2, 476.0, 750.3", \ + " 177.3, 208.6, 275.6, 393.3, 562.0, 849.1" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.0, 116.9, 210.1, 419.9, 792.9, 1585.7", \ + " 85.7, 118.8, 210.0, 419.9, 792.9, 1585.7", \ + " 91.8, 123.9, 212.8, 419.8, 792.9, 1585.7", \ + " 101.3, 132.1, 218.8, 421.9, 792.9, 1585.7", \ + " 119.9, 148.8, 232.0, 430.1, 794.7, 1585.7", \ + " 146.6, 175.3, 254.5, 446.5, 803.9, 1585.8", \ + " 174.7, 212.2, 292.1, 476.8, 825.1, 1594.0", \ + " 216.8, 256.4, 350.4, 528.2, 865.0, 1618.2", \ + " 279.5, 321.3, 422.1, 611.4, 936.6, 1669.0", \ + " 371.8, 417.3, 525.1, 735.8, 1057.7, 1765.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.8, 36.9, 60.5, 112.8, 205.1, 401.2", \ + " 33.5, 42.6, 66.4, 118.9, 211.5, 407.7", \ + " 37.3, 47.2, 70.9, 123.5, 216.1, 412.3", \ + " 40.5, 52.2, 77.1, 129.6, 222.3, 418.5", \ + " 43.9, 57.8, 87.5, 140.7, 233.2, 429.4", \ + " 46.6, 62.9, 97.8, 156.8, 249.1, 445.1", \ + " 47.9, 67.0, 108.0, 177.7, 273.5, 469.0", \ + " 46.8, 69.2, 117.1, 198.8, 308.9, 504.6", \ + " 41.4, 67.8, 124.0, 219.6, 349.3, 559.2", \ + " 28.7, 59.9, 126.2, 238.5, 390.7, 636.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 52.6, 76.2, 139.0, 280.1, 531.0, 1064.1", \ + " 56.8, 78.8, 139.5, 280.0, 531.0, 1064.1", \ + " 63.3, 84.4, 143.2, 280.7, 531.0, 1064.1", \ + " 73.4, 93.5, 150.4, 284.6, 531.2, 1064.1", \ + " 89.4, 111.9, 166.1, 295.7, 536.6, 1064.0", \ + " 108.8, 134.4, 191.4, 316.6, 550.7, 1068.2", \ + " 138.6, 165.6, 229.8, 353.1, 579.3, 1084.5", \ + " 181.1, 210.5, 279.0, 410.7, 630.2, 1120.3", \ + " 241.9, 275.2, 349.7, 493.0, 714.2, 1188.9", \ + " 329.8, 368.0, 452.0, 606.8, 845.9, 1309.9" ); }} +timing() { /* ring osc delay oai22v0x2, path b2 to z 71.0 */ +related_pin : "b2" ; +when : "(a1*a2'*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 44.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 52.7, 87.2, 163.4, 297.7, 581.7", \ + " 44.9, 58.1, 92.7, 169.2, 303.8, 588.1", \ + " 50.5, 63.2, 97.5, 174.0, 308.6, 592.9", \ + " 57.5, 70.8, 104.5, 180.6, 315.2, 599.5", \ + " 67.3, 83.0, 117.7, 192.9, 327.1, 611.2", \ + " 79.8, 97.3, 136.9, 211.5, 344.8, 628.3", \ + " 96.6, 116.2, 160.9, 241.1, 372.6, 654.8", \ + " 118.8, 141.0, 191.1, 282.5, 415.2, 695.0", \ + " 149.1, 174.4, 231.0, 334.2, 481.5, 757.7", \ + " 191.0, 219.9, 284.7, 401.2, 569.3, 856.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 128.9, 163.1, 255.3, 464.1, 836.6, 1629.0", \ + " 132.5, 165.0, 255.1, 464.1, 836.6, 1629.0", \ + " 138.6, 170.0, 258.0, 464.1, 836.6, 1629.0", \ + " 147.9, 178.2, 263.8, 466.1, 836.6, 1629.0", \ + " 165.6, 194.7, 277.0, 474.3, 838.3, 1629.0", \ + " 192.7, 220.3, 299.5, 490.6, 847.5, 1629.1", \ + " 233.4, 261.6, 336.8, 520.9, 868.7, 1637.3", \ + " 280.0, 314.2, 395.1, 572.2, 908.6, 1661.5", \ + " 347.8, 384.2, 476.0, 655.6, 980.1, 1712.3", \ + " 447.4, 486.1, 584.4, 784.6, 1101.4, 1808.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 46.9, 71.1, 124.0, 216.8, 413.1", \ + " 43.2, 52.7, 77.1, 130.2, 223.2, 419.6", \ + " 48.0, 57.3, 81.7, 134.8, 227.8, 424.3", \ + " 53.5, 63.8, 87.9, 140.9, 234.0, 430.5", \ + " 59.9, 72.1, 99.1, 151.9, 244.9, 441.4", \ + " 65.7, 80.0, 111.8, 168.1, 260.8, 457.1", \ + " 70.8, 87.4, 124.7, 190.7, 285.1, 480.9", \ + " 74.1, 93.4, 136.8, 214.3, 321.1, 516.6", \ + " 74.0, 96.6, 147.4, 237.9, 363.8, 571.0", \ + " 67.7, 94.3, 154.0, 260.1, 407.8, 649.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.9, 95.3, 157.8, 298.8, 549.7, 1083.0", \ + " 74.0, 96.4, 157.8, 298.7, 549.7, 1083.0", \ + " 79.0, 100.9, 160.6, 299.0, 549.7, 1083.0", \ + " 87.5, 108.8, 166.9, 302.3, 549.7, 1083.0", \ + " 105.5, 125.1, 181.2, 312.4, 554.5, 1082.9", \ + " 125.5, 150.2, 205.2, 332.2, 567.8, 1086.6", \ + " 154.9, 181.4, 244.5, 367.5, 595.4, 1102.2", \ + " 197.7, 226.2, 294.1, 424.0, 645.2, 1137.2", \ + " 259.5, 291.4, 364.9, 507.5, 728.3, 1204.7", \ + " 348.7, 385.5, 467.6, 621.8, 859.8, 1324.7" ); }} +timing() { /* ring osc delay oai22v0x2, path b2 to z 52.9 */ +related_pin : "b2" ; +when : "(a1*a2*b1')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 44.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 50.1, 84.2, 159.5, 292.3, 573.9", \ + " 42.5, 55.4, 89.6, 165.1, 298.2, 580.1", \ + " 48.2, 60.7, 94.4, 169.8, 303.0, 585.0", \ + " 54.8, 68.5, 101.5, 176.5, 309.5, 591.5", \ + " 64.5, 80.3, 115.0, 188.9, 321.5, 603.2", \ + " 76.8, 94.5, 134.1, 207.9, 339.3, 620.4", \ + " 93.5, 113.3, 158.0, 237.9, 367.5, 647.1", \ + " 115.7, 138.2, 188.3, 279.3, 410.8, 687.7", \ + " 145.9, 171.8, 228.6, 331.2, 477.7, 751.3", \ + " 187.5, 217.8, 283.2, 399.0, 566.0, 851.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.0, 116.9, 210.1, 419.9, 792.9, 1585.7", \ + " 86.1, 119.1, 210.1, 419.9, 792.9, 1585.7", \ + " 92.4, 124.4, 213.2, 419.8, 792.9, 1585.7", \ + " 101.6, 132.6, 219.2, 422.1, 792.9, 1585.7", \ + " 119.6, 148.8, 232.3, 430.4, 794.8, 1585.7", \ + " 145.2, 174.2, 254.2, 446.6, 804.1, 1585.9", \ + " 171.4, 209.4, 290.2, 476.2, 825.1, 1594.1", \ + " 210.5, 250.5, 345.8, 525.6, 864.1, 1618.1", \ + " 268.8, 310.9, 413.1, 604.9, 933.2, 1668.0", \ + " 354.8, 400.1, 509.1, 722.9, 1049.0, 1761.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.8, 29.7, 47.5, 86.9, 156.6, 304.6", \ + " 29.3, 36.3, 54.2, 93.8, 163.7, 311.8", \ + " 32.5, 41.1, 59.4, 99.0, 168.9, 317.0", \ + " 35.3, 45.5, 66.4, 106.0, 175.9, 324.0", \ + " 37.9, 50.3, 76.0, 118.4, 188.2, 336.3", \ + " 39.4, 54.1, 85.0, 135.6, 206.0, 353.9", \ + " 39.0, 56.5, 93.2, 154.3, 233.2, 380.7", \ + " 35.3, 56.0, 99.6, 172.3, 267.6, 420.4", \ + " 26.2, 50.7, 102.5, 188.8, 303.0, 480.3", \ + " 8.0, 37.1, 98.7, 201.5, 337.5, 551.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.8, 54.2, 100.7, 205.3, 391.2, 786.3", \ + " 42.8, 58.6, 102.6, 205.3, 391.2, 786.3", \ + " 50.3, 65.2, 107.5, 207.5, 391.2, 786.3", \ + " 61.3, 75.6, 116.1, 213.1, 393.1, 786.3", \ + " 76.0, 94.2, 133.9, 226.7, 401.4, 787.3", \ + " 96.5, 116.5, 162.2, 250.9, 419.2, 795.9", \ + " 126.1, 148.4, 198.9, 291.5, 453.1, 818.1", \ + " 167.4, 192.7, 248.7, 352.5, 510.6, 861.8", \ + " 225.7, 255.3, 318.9, 433.9, 602.5, 941.3", \ + " 309.6, 344.3, 418.4, 547.3, 736.2, 1076.1" ); }} +timing() { +related_pin : "b2" ; +timing_sense : non_unate ; +/* intrinsic_rise : 52.6 ; */ +/* intrinsic_fall : 44.0 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.2, 52.7, 87.2, 163.4, 297.7, 581.7", \ + " 44.9, 58.1, 92.7, 169.2, 303.8, 588.1", \ + " 50.5, 63.2, 97.5, 174.0, 308.6, 592.9", \ + " 57.5, 70.8, 104.5, 180.6, 315.2, 599.5", \ + " 67.3, 83.0, 117.7, 192.9, 327.1, 611.2", \ + " 79.8, 97.3, 136.9, 211.5, 344.8, 628.3", \ + " 96.6, 116.2, 160.9, 241.1, 372.6, 654.8", \ + " 118.8, 141.0, 191.1, 282.5, 415.2, 695.0", \ + " 149.1, 174.4, 231.0, 334.2, 481.5, 757.7", \ + " 191.0, 219.9, 284.7, 401.2, 569.3, 856.5" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 128.9, 163.1, 255.3, 464.1, 836.6, 1629.0", \ + " 132.5, 165.0, 255.1, 464.1, 836.6, 1629.0", \ + " 138.6, 170.0, 258.0, 464.1, 836.6, 1629.0", \ + " 147.9, 178.2, 263.8, 466.1, 836.6, 1629.0", \ + " 165.6, 194.7, 277.0, 474.3, 838.3, 1629.0", \ + " 192.7, 220.3, 299.5, 490.6, 847.5, 1629.1", \ + " 233.4, 261.6, 336.8, 520.9, 868.7, 1637.3", \ + " 280.0, 314.2, 395.1, 572.2, 908.6, 1661.5", \ + " 347.8, 384.2, 476.0, 655.6, 980.1, 1712.3", \ + " 447.4, 486.1, 584.4, 784.6, 1101.4, 1808.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 46.9, 71.1, 124.0, 216.8, 413.1", \ + " 43.2, 52.7, 77.1, 130.2, 223.2, 419.6", \ + " 48.0, 57.3, 81.7, 134.8, 227.8, 424.3", \ + " 53.5, 63.8, 87.9, 140.9, 234.0, 430.5", \ + " 59.9, 72.1, 99.1, 151.9, 244.9, 441.4", \ + " 65.7, 80.0, 111.8, 168.1, 260.8, 457.1", \ + " 70.8, 87.4, 124.7, 190.7, 285.1, 480.9", \ + " 74.1, 93.4, 136.8, 214.3, 321.1, 516.6", \ + " 74.0, 96.6, 147.4, 237.9, 363.8, 571.0", \ + " 67.7, 94.3, 154.0, 260.1, 407.8, 649.7" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 71.9, 95.3, 157.8, 298.8, 549.7, 1083.0", \ + " 74.0, 96.4, 157.8, 298.7, 549.7, 1083.0", \ + " 79.0, 100.9, 160.6, 299.0, 549.7, 1083.0", \ + " 87.5, 108.8, 166.9, 302.3, 549.7, 1083.0", \ + " 105.5, 125.1, 181.2, 312.4, 554.5, 1082.9", \ + " 125.5, 150.2, 205.2, 332.2, 567.8, 1086.6", \ + " 154.9, 181.4, 244.5, 367.5, 595.4, 1102.2", \ + " 197.7, 226.2, 294.1, 424.0, 645.2, 1137.2", \ + " 259.5, 291.4, 364.9, 507.5, 728.3, 1204.7", \ + " 348.7, 385.5, 467.6, 621.8, 859.8, 1324.7" ); }} +} +} +cell(oai23av0x05) { /* 2008-01-06:07h52 characteristic delay 25.1 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 959 ; /* oai23av0x05 */ +cell_footprint : oai23a ; +pin(a3) { /* oai23av0x05 FO4 effort 1.72 logical effort 1.76 */ +direction : input ; +capacitance : 2.68 ; +rise_capacitance : 2.46 ; +fall_capacitance : 2.91 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of oai23av0x05 */ +} +pin(b1) { /* oai23av0x05 FO4 effort 2.66 logical effort 3.68 */ +direction : input ; +capacitance : 5.25 ; +rise_capacitance : 5.34 ; +fall_capacitance : 5.16 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b1 of oai23av0x05 */ +internal_power(b1) { /* oai23av0x05 11.55 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.04, 5.78, 5.74, 5.77, 5.95, 6.36, 7.15, 8.51, 10.77, 14.42" ); }} +} +pin(b2) { /* oai23av0x05 FO4 effort 2.40 logical effort 3.38 */ +direction : input ; +capacitance : 4.85 ; +rise_capacitance : 4.75 ; +fall_capacitance : 4.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b2 of oai23av0x05 */ +internal_power(b2) { /* oai23av0x05 10.17 nW/MHz */ +power(pwr_intran_x10) { +values( " 5.15, 5.00, 5.01, 5.09, 5.32, 5.79, 6.61, 7.98, 10.20, 13.77" ); }} +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 56 ; +max_fanout : 2 ; +function : "((b1'*b2')+(a3'*b1*b2))" ; +internal_power(a3_z_n) { /* oai23av0x05 8.40 nW/MHz */ +related_pin : "a3" ; +power(pwr_x05_81_5x10) { +values( " 4.26, 4.31, 4.35, 4.38, 4.38", \ + " 4.10, 4.17, 4.26, 4.34, 4.37", \ + " 4.06, 4.13, 4.22, 4.31, 4.35", \ + " 4.08, 4.12, 4.20, 4.29, 4.34", \ + " 4.20, 4.21, 4.24, 4.29, 4.33", \ + " 4.50, 4.45, 4.40, 4.38, 4.39", \ + " 5.09, 4.97, 4.79, 4.63, 4.54", \ + " 6.12, 5.91, 5.55, 5.17, 4.90", \ + " 7.85, 7.53, 6.94, 6.24, 5.68", \ + " 10.66, 10.23, 9.37, 8.22, 7.20" ); }} +internal_power(b1_z_n) { /* oai23av0x05 9.05 nW/MHz */ +related_pin : "b1" ; +power(pwr_x05_81_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b1_z_p) { /* oai23av0x05 13.52 nW/MHz */ +related_pin : "b1" ; +power(pwr_x05_81_5x10) { +values( " 0.94, 0.95, 0.94, 0.92, 0.90", \ + " 1.00, 1.02, 1.04, 1.04, 1.02", \ + " 0.99, 1.01, 1.03, 1.04, 1.03", \ + " 0.95, 0.97, 0.99, 1.00, 1.00", \ + " 0.87, 0.87, 0.87, 0.88, 0.87", \ + " 0.74, 0.71, 0.68, 0.65, 0.62", \ + " 0.54, 0.47, 0.36, 0.26, 0.18", \ + " 0.23, 0.11, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b2_z_n) { /* oai23av0x05 7.40 nW/MHz */ +related_pin : "b2" ; +power(pwr_x05_81_5x10) { +values( " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +internal_power(b2_z_p) { /* oai23av0x05 12.19 nW/MHz */ +related_pin : "b2" ; +power(pwr_x05_81_5x10) { +values( " 1.07, 1.07, 1.04, 0.98, 0.93", \ + " 1.08, 1.09, 1.08, 1.05, 1.02", \ + " 1.07, 1.06, 1.06, 1.04, 1.01", \ + " 1.04, 1.02, 1.01, 0.98, 0.96", \ + " 0.99, 0.95, 0.90, 0.85, 0.81", \ + " 0.90, 0.83, 0.73, 0.63, 0.56", \ + " 0.76, 0.65, 0.46, 0.28, 0.13", \ + " 0.55, 0.37, 0.08, 0.00, 0.00", \ + " 0.23, 0.00, 0.00, 0.00, 0.00", \ + " 0.00, 0.00, 0.00, 0.00, 0.00" ); }} +timing() { /* ring osc delay oai23av0x05, path a3 to z 82.5 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.1 ; */ +/* intrinsic_fall : 48.3 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 70.5, 107.5, 189.6, 334.8, 642.9", \ + " 61.7, 75.9, 113.1, 195.4, 340.8, 649.0", \ + " 66.8, 80.9, 117.9, 200.2, 345.7, 653.9", \ + " 74.5, 88.2, 124.8, 206.9, 352.3, 660.5", \ + " 87.4, 102.0, 137.8, 219.2, 364.2, 672.3", \ + " 102.6, 119.3, 157.7, 237.8, 381.9, 689.4", \ + " 122.4, 141.1, 185.0, 267.1, 409.7, 715.9", \ + " 148.2, 169.2, 218.6, 310.9, 452.2, 756.1", \ + " 183.0, 206.7, 262.1, 366.8, 518.5, 818.6", \ + " 230.6, 257.8, 320.6, 438.5, 612.1, 917.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.6, 153.6, 252.3, 473.9, 867.3, 1702.7", \ + " 117.6, 153.7, 252.2, 473.9, 867.3, 1702.7", \ + " 122.1, 157.1, 252.8, 473.8, 867.3, 1702.7", \ + " 129.5, 163.5, 256.9, 473.9, 867.3, 1702.7", \ + " 144.5, 177.1, 267.4, 478.9, 867.2, 1702.7", \ + " 168.4, 199.4, 286.2, 491.7, 871.6, 1702.7", \ + " 200.6, 236.0, 318.7, 517.0, 887.4, 1704.1", \ + " 239.5, 279.2, 370.4, 561.2, 920.4, 1719.2", \ + " 294.8, 338.2, 440.8, 635.2, 981.6, 1759.3", \ + " 374.0, 422.0, 534.6, 750.6, 1088.4, 1840.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.4, 41.2, 61.2, 105.8, 184.6, 351.8", \ + " 39.5, 47.5, 68.0, 112.8, 191.8, 359.2", \ + " 43.8, 52.1, 72.7, 117.7, 196.8, 364.2", \ + " 47.6, 57.4, 78.9, 124.1, 203.2, 370.7", \ + " 51.8, 63.7, 89.2, 135.3, 214.6, 382.2", \ + " 54.8, 69.1, 99.6, 151.4, 230.8, 398.5", \ + " 56.1, 73.3, 110.0, 171.3, 255.4, 423.1", \ + " 54.5, 75.0, 118.8, 192.1, 289.5, 459.5", \ + " 48.1, 72.4, 124.6, 212.3, 328.0, 514.3", \ + " 34.1, 62.6, 124.7, 229.6, 368.2, 587.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.2, 65.3, 116.6, 232.4, 438.3, 876.1", \ + " 47.7, 66.1, 116.8, 232.4, 438.3, 876.1", \ + " 52.4, 69.8, 118.6, 232.5, 438.3, 876.1", \ + " 61.0, 77.1, 123.7, 234.7, 438.4, 876.1", \ + " 77.0, 93.4, 136.7, 242.9, 441.4, 876.1", \ + " 96.9, 115.6, 159.8, 260.2, 451.8, 877.9", \ + " 125.9, 146.2, 195.3, 292.9, 475.7, 889.4", \ + " 167.4, 189.3, 242.5, 347.0, 520.6, 918.5", \ + " 227.2, 251.3, 309.2, 423.0, 598.6, 978.0", \ + " 311.2, 339.0, 404.2, 528.7, 720.7, 1087.6" ); }} +timing() { /* ring osc delay oai23av0x05, path b1 to z 99.7 */ +related_pin : "b1" ; +when : "(a3'*b2')" ; +sdf_cond : "((a3 == 1'b0) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.8 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.0, 63.2, 100.4, 183.3, 329.9, 640.3", \ + " 55.8, 70.1, 107.7, 190.9, 337.8, 648.3", \ + " 60.6, 74.9, 112.4, 195.8, 342.7, 653.3", \ + " 66.7, 81.1, 118.5, 201.9, 349.0, 659.7", \ + " 74.8, 91.2, 129.3, 212.5, 359.6, 670.4", \ + " 84.7, 102.8, 144.7, 227.9, 374.9, 685.6", \ + " 98.0, 118.1, 164.4, 251.8, 398.2, 708.6", \ + " 115.8, 138.5, 189.8, 285.6, 433.5, 743.0", \ + " 140.3, 166.1, 223.7, 329.3, 487.5, 795.8", \ + " 173.6, 203.4, 269.3, 387.3, 561.1, 877.7" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 138.1, 176.1, 278.9, 512.6, 929.5, 1816.4", \ + " 139.2, 176.7, 279.1, 512.6, 929.5, 1816.4", \ + " 142.7, 179.3, 280.1, 512.7, 929.5, 1816.4", \ + " 148.4, 184.3, 283.8, 513.8, 929.5, 1816.4", \ + " 160.2, 195.2, 292.4, 519.2, 930.9, 1816.4", \ + " 180.8, 214.0, 308.2, 530.5, 937.3, 1816.8", \ + " 217.0, 247.8, 336.9, 552.7, 952.5, 1823.1", \ + " 265.5, 299.0, 385.7, 592.3, 982.3, 1840.8", \ + " 335.4, 369.8, 461.4, 660.6, 1037.7, 1878.8", \ + " 434.6, 470.8, 566.4, 773.9, 1135.3, 1953.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.9, 59.6, 87.1, 147.2, 252.7, 475.7", \ + " 55.0, 65.7, 93.2, 153.5, 259.0, 482.0", \ + " 59.8, 70.5, 98.0, 158.3, 263.8, 486.8", \ + " 66.7, 77.2, 104.6, 164.8, 270.3, 493.3", \ + " 76.8, 88.7, 116.4, 176.4, 281.8, 504.7", \ + " 86.9, 100.9, 132.9, 193.3, 298.5, 521.2", \ + " 97.2, 113.5, 151.3, 219.2, 323.9, 546.2", \ + " 107.2, 126.1, 170.0, 250.0, 362.0, 583.3", \ + " 116.6, 138.4, 189.2, 282.7, 414.4, 640.1", \ + " 124.0, 149.3, 208.2, 316.7, 471.7, 727.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 94.3, 121.0, 192.0, 351.8, 635.9, 1239.0", \ + " 96.4, 122.3, 192.3, 351.9, 635.9, 1239.0", \ + " 101.3, 126.4, 194.8, 352.1, 635.8, 1239.0", \ + " 109.7, 134.1, 200.6, 354.9, 635.8, 1239.0", \ + " 127.0, 150.4, 214.4, 364.2, 639.4, 1239.0", \ + " 152.5, 176.4, 238.3, 382.9, 651.2, 1240.9", \ + " 185.0, 212.8, 277.5, 417.3, 677.1, 1253.9", \ + " 229.9, 260.7, 333.2, 473.8, 724.7, 1285.7", \ + " 294.1, 328.3, 408.2, 561.5, 806.9, 1349.2", \ + " 386.3, 425.2, 514.2, 682.9, 939.1, 1465.2" ); }} +timing() { /* ring osc delay oai23av0x05, path b1 to z 79.4 */ +related_pin : "b1" ; +when : "(a3*b2')" ; +sdf_cond : "((a3 == 1'b1) && (b2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.8 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.7, 60.7, 97.4, 179.2, 324.3, 632.2", \ + " 53.5, 67.6, 104.6, 186.7, 332.0, 640.1", \ + " 58.4, 72.4, 109.4, 191.6, 337.0, 645.1", \ + " 64.3, 78.7, 115.5, 197.8, 343.2, 651.4", \ + " 72.0, 88.5, 126.3, 208.4, 353.8, 662.1", \ + " 81.6, 99.8, 141.6, 223.9, 369.1, 677.3", \ + " 94.7, 115.0, 161.2, 248.0, 392.6, 700.4", \ + " 112.7, 135.5, 186.6, 281.8, 428.2, 735.0", \ + " 137.5, 163.7, 221.0, 325.7, 482.7, 788.3", \ + " 171.1, 201.7, 267.7, 384.5, 556.7, 871.1" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 89.1, 127.8, 231.8, 466.4, 883.9, 1771.2", \ + " 90.3, 128.5, 232.0, 466.5, 883.9, 1771.2", \ + " 93.9, 131.3, 233.1, 466.6, 883.9, 1771.2", \ + " 99.8, 136.4, 236.8, 467.7, 884.0, 1771.2", \ + " 112.3, 147.3, 245.6, 473.2, 885.4, 1771.2", \ + " 134.9, 167.0, 261.4, 484.6, 891.9, 1771.6", \ + " 164.8, 200.6, 290.2, 506.7, 907.1, 1778.0", \ + " 208.6, 245.3, 339.3, 545.9, 936.7, 1795.7", \ + " 271.7, 309.6, 407.8, 613.0, 991.3, 1833.5", \ + " 361.1, 401.3, 504.2, 721.1, 1086.7, 1906.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.2, 39.6, 61.1, 108.2, 191.2, 367.0", \ + " 37.8, 46.2, 67.7, 114.9, 198.0, 373.8", \ + " 43.1, 51.5, 73.0, 120.2, 203.2, 379.0", \ + " 48.5, 58.3, 80.2, 127.3, 210.3, 386.1", \ + " 55.1, 67.0, 92.7, 140.0, 222.9, 398.6", \ + " 61.0, 75.2, 106.1, 158.4, 241.1, 416.5", \ + " 66.5, 83.1, 119.9, 183.0, 268.8, 443.8", \ + " 70.6, 90.0, 133.1, 208.4, 308.9, 484.2", \ + " 72.2, 95.0, 145.5, 234.2, 354.9, 545.7", \ + " 69.4, 96.1, 155.3, 259.5, 402.9, 632.1" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.6, 77.0, 131.5, 254.6, 473.6, 939.2", \ + " 61.4, 80.6, 133.1, 254.7, 473.6, 939.2", \ + " 68.4, 86.6, 137.4, 256.3, 473.7, 939.2", \ + " 79.2, 96.6, 145.3, 261.0, 474.7, 939.2", \ + " 98.3, 116.2, 162.4, 273.4, 481.5, 939.4", \ + " 121.5, 142.5, 190.0, 296.1, 497.3, 945.3", \ + " 153.5, 177.3, 231.5, 335.8, 528.6, 964.1", \ + " 197.3, 224.4, 285.5, 397.7, 583.4, 1003.5", \ + " 259.1, 290.2, 359.4, 486.1, 674.1, 1077.4", \ + " 347.0, 383.7, 463.2, 606.0, 814.3, 1207.4" ); }} +timing() { /* ring osc delay oai23av0x05, path b1 to z 140.4 */ +related_pin : "b1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.6 ; */ +/* intrinsic_fall : 120.6 ; */ +/* rise_resistance : 7.22 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.1, 116.6, 152.0, 232.3, 376.1, 683.0", \ + " 107.7, 121.3, 157.2, 237.8, 381.8, 688.9", \ + " 110.0, 123.8, 159.9, 240.7, 384.9, 692.0", \ + " 112.6, 126.5, 162.8, 243.9, 388.2, 695.4", \ + " 115.8, 129.9, 166.5, 247.8, 392.2, 699.6", \ + " 119.0, 132.9, 169.3, 251.0, 395.6, 703.0", \ + " 120.9, 135.3, 171.9, 252.8, 397.6, 705.2", \ + " 119.8, 134.4, 171.8, 253.1, 397.0, 704.7", \ + " 113.4, 128.4, 166.5, 248.9, 393.0, 699.8", \ + " 97.8, 113.4, 152.3, 235.9, 381.4, 687.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.6, 155.9, 253.3, 473.4, 866.2, 1701.4", \ + " 119.9, 156.2, 253.6, 473.9, 866.6, 1701.8", \ + " 120.3, 156.5, 253.8, 474.1, 866.9, 1702.0", \ + " 121.1, 157.1, 254.2, 474.3, 867.0, 1702.1", \ + " 120.6, 156.8, 254.1, 474.7, 867.2, 1702.3", \ + " 120.1, 156.1, 253.1, 473.4, 866.9, 1702.4", \ + " 120.3, 155.5, 252.9, 472.6, 865.4, 1701.8", \ + " 124.1, 158.8, 253.2, 472.6, 864.8, 1700.4", \ + " 130.2, 164.6, 258.2, 473.4, 865.2, 1699.7", \ + " 139.2, 173.3, 266.2, 479.8, 867.4, 1700.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.0, 110.9, 138.4, 198.1, 303.2, 525.8", \ + " 107.4, 118.3, 145.9, 205.6, 310.6, 533.3", \ + " 113.6, 124.6, 152.2, 211.9, 317.0, 539.6", \ + " 122.2, 133.2, 160.8, 220.6, 325.7, 548.3", \ + " 136.5, 147.5, 175.3, 235.1, 340.2, 562.8", \ + " 154.3, 165.6, 193.5, 253.5, 358.7, 581.3", \ + " 177.7, 189.1, 217.2, 277.4, 382.6, 605.2", \ + " 208.1, 219.7, 248.2, 308.5, 413.7, 636.3", \ + " 249.1, 261.1, 290.2, 350.8, 455.9, 678.6", \ + " 305.7, 318.3, 348.2, 409.5, 514.9, 737.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.6, 104.2, 170.7, 322.5, 594.4, 1174.2", \ + " 79.7, 104.2, 170.7, 322.5, 594.4, 1174.2", \ + " 79.7, 104.3, 170.7, 322.4, 594.4, 1174.2", \ + " 80.0, 104.4, 170.8, 322.5, 594.4, 1174.2", \ + " 81.2, 105.4, 171.3, 322.7, 594.4, 1174.2", \ + " 83.6, 107.4, 172.7, 323.5, 594.7, 1174.2", \ + " 86.8, 110.3, 174.8, 324.5, 595.2, 1174.4", \ + " 92.0, 114.7, 177.6, 326.2, 595.8, 1174.5", \ + " 99.5, 121.7, 183.1, 328.7, 597.2, 1174.7", \ + " 110.7, 132.3, 192.0, 334.6, 599.8, 1175.7" ); }} +timing() { /* ring osc delay oai23av0x05, path b2 to z 89.3 */ +related_pin : "b2" ; +when : "(a3'*b1')" ; +sdf_cond : "((a3 == 1'b0) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.7 ; */ +/* intrinsic_fall : 48.6 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.6, 55.2, 92.9, 176.0, 322.9, 633.3", \ + " 46.2, 60.6, 98.4, 181.9, 329.0, 639.6", \ + " 51.7, 65.7, 103.2, 186.6, 333.8, 644.5", \ + " 58.8, 73.1, 110.0, 193.2, 340.3, 651.0", \ + " 68.5, 85.5, 123.0, 205.4, 352.1, 662.7", \ + " 80.7, 99.8, 142.4, 223.8, 369.7, 679.7", \ + " 97.0, 118.4, 166.7, 252.8, 397.1, 706.0", \ + " 118.4, 142.5, 196.9, 294.9, 439.0, 745.7", \ + " 147.2, 174.7, 236.1, 347.3, 504.7, 807.5", \ + " 186.6, 218.1, 288.3, 414.2, 594.7, 904.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.6, 171.1, 271.9, 500.5, 907.9, 1774.6", \ + " 137.1, 172.7, 271.8, 500.5, 907.9, 1774.6", \ + " 143.3, 177.7, 274.2, 500.4, 907.9, 1774.6", \ + " 152.7, 185.9, 279.9, 502.0, 907.9, 1774.6", \ + " 170.6, 202.5, 292.9, 509.6, 909.0, 1774.6", \ + " 198.0, 228.4, 315.2, 525.4, 917.2, 1774.6", \ + " 240.4, 269.9, 352.7, 555.0, 937.3, 1780.8", \ + " 288.0, 325.0, 411.3, 606.0, 976.1, 1802.9", \ + " 357.0, 396.5, 495.6, 689.6, 1046.4, 1851.5", \ + " 458.0, 500.2, 606.8, 822.1, 1167.2, 1945.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.0, 50.8, 78.3, 138.3, 243.6, 466.4", \ + " 46.3, 57.1, 84.8, 145.1, 250.6, 473.5", \ + " 51.2, 61.9, 89.6, 149.9, 255.5, 478.4", \ + " 57.6, 68.6, 96.0, 156.3, 262.0, 484.9", \ + " 65.0, 78.4, 107.6, 167.7, 273.3, 496.3", \ + " 72.1, 87.8, 122.5, 184.4, 289.7, 512.6", \ + " 78.7, 97.0, 138.0, 209.5, 314.9, 537.4", \ + " 84.2, 105.5, 153.2, 237.6, 352.6, 574.3", \ + " 87.2, 112.0, 167.7, 266.6, 402.8, 630.7", \ + " 85.2, 114.5, 179.8, 295.6, 456.3, 716.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.4, 102.0, 173.0, 332.7, 616.5, 1219.3", \ + " 77.3, 103.0, 172.9, 332.8, 616.6, 1219.3", \ + " 82.2, 107.2, 175.4, 332.6, 616.6, 1219.3", \ + " 90.5, 114.8, 181.1, 335.2, 616.4, 1219.4", \ + " 107.9, 130.8, 194.8, 344.3, 619.6, 1219.3", \ + " 130.2, 157.3, 218.4, 362.9, 631.1, 1220.9", \ + " 160.1, 189.6, 257.5, 397.1, 656.8, 1233.6", \ + " 203.3, 235.2, 310.5, 453.1, 704.4, 1265.2", \ + " 265.9, 301.3, 383.0, 540.1, 786.3, 1328.6", \ + " 356.0, 396.6, 487.3, 658.5, 918.1, 1444.7" ); }} +timing() { /* ring osc delay oai23av0x05, path b2 to z 69.3 */ +related_pin : "b2" ; +when : "(a3*b1')" ; +sdf_cond : "((a3 == 1'b1) && (b1 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 53.7 ; */ +/* intrinsic_fall : 48.6 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 52.7, 89.9, 172.0, 317.2, 625.2", \ + " 43.8, 58.0, 95.2, 177.7, 323.2, 631.4", \ + " 49.4, 63.1, 100.0, 182.4, 327.9, 636.2", \ + " 56.2, 70.8, 107.0, 189.0, 334.4, 642.7", \ + " 65.6, 82.8, 120.2, 201.3, 346.3, 654.4", \ + " 77.6, 96.8, 139.5, 219.9, 364.0, 671.5", \ + " 93.6, 115.2, 163.6, 249.3, 391.7, 697.9", \ + " 114.7, 139.2, 193.6, 291.4, 434.1, 738.0", \ + " 143.0, 171.3, 232.9, 343.7, 500.5, 800.4", \ + " 181.6, 214.7, 285.6, 410.9, 590.5, 898.7" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.7, 123.9, 225.9, 455.4, 863.4, 1730.4", \ + " 89.6, 125.9, 225.7, 455.4, 863.4, 1730.4", \ + " 96.0, 131.1, 228.5, 455.3, 863.4, 1730.4", \ + " 105.4, 139.3, 234.3, 457.0, 863.4, 1730.4", \ + " 123.6, 155.7, 247.3, 464.8, 864.5, 1730.4", \ + " 151.0, 181.4, 269.2, 480.5, 872.8, 1730.4", \ + " 178.0, 218.9, 305.6, 509.7, 892.9, 1736.7", \ + " 218.2, 261.6, 362.6, 559.2, 931.0, 1758.8", \ + " 278.1, 323.9, 434.1, 639.5, 999.4, 1806.7", \ + " 366.3, 415.7, 533.7, 763.0, 1116.0, 1898.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.3, 33.4, 54.5, 101.2, 183.9, 359.5", \ + " 32.0, 40.2, 61.4, 108.4, 191.3, 366.9", \ + " 36.0, 45.4, 66.6, 113.7, 196.6, 372.2", \ + " 39.4, 50.7, 73.7, 120.7, 203.6, 379.3", \ + " 43.0, 56.7, 85.1, 133.3, 216.1, 391.8", \ + " 45.7, 62.0, 96.2, 151.6, 234.2, 409.7", \ + " 47.1, 66.4, 107.0, 174.1, 261.6, 436.8", \ + " 45.8, 68.7, 116.7, 196.7, 300.8, 477.0", \ + " 40.0, 67.1, 124.0, 219.0, 344.2, 538.2", \ + " 26.7, 58.8, 126.4, 239.1, 388.6, 622.8" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.6, 61.1, 116.0, 239.2, 458.3, 923.8", \ + " 45.8, 64.7, 117.2, 239.3, 458.3, 923.8", \ + " 53.0, 70.9, 121.5, 240.5, 458.3, 923.8", \ + " 64.5, 80.9, 129.3, 245.1, 459.1, 923.8", \ + " 80.2, 100.7, 146.4, 257.4, 465.5, 923.8", \ + " 101.1, 123.9, 173.9, 280.0, 481.2, 929.4", \ + " 131.4, 156.6, 213.7, 319.3, 512.3, 947.9", \ + " 173.5, 202.0, 265.3, 381.3, 567.0, 987.1", \ + " 233.0, 266.0, 337.3, 467.2, 657.3, 1061.0", \ + " 318.4, 357.1, 439.4, 584.5, 796.7, 1190.9" ); }} +timing() { /* ring osc delay oai23av0x05, path b2 to z 139.0 */ +related_pin : "b2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 106.6 ; */ +/* intrinsic_fall : 115.1 ; */ +/* rise_resistance : 7.16 ; */ +/* fall_resistance : 5.20 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.7, 111.6, 146.2, 225.7, 369.2, 676.1", \ + " 104.0, 117.2, 152.1, 231.8, 375.2, 682.0", \ + " 107.5, 120.9, 156.2, 236.0, 379.5, 686.3", \ + " 111.0, 124.6, 160.3, 240.5, 384.0, 690.8", \ + " 115.0, 129.0, 165.2, 245.7, 389.4, 696.2", \ + " 119.8, 133.3, 169.2, 250.3, 394.2, 701.0", \ + " 124.2, 138.2, 174.0, 253.9, 398.2, 705.0", \ + " 126.1, 140.5, 177.2, 257.3, 400.2, 707.3", \ + " 123.8, 138.6, 176.0, 257.5, 400.3, 706.1", \ + " 114.2, 129.5, 167.7, 250.4, 394.9, 699.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.4, 156.9, 254.4, 474.6, 867.4, 1702.7", \ + " 120.6, 157.0, 254.4, 474.6, 867.4, 1702.7", \ + " 121.1, 157.3, 254.5, 474.7, 867.4, 1702.7", \ + " 122.4, 158.2, 254.9, 474.8, 867.4, 1702.7", \ + " 120.8, 156.9, 254.3, 475.3, 867.5, 1702.7", \ + " 118.9, 155.1, 252.3, 472.8, 866.8, 1702.8", \ + " 118.2, 152.6, 250.8, 471.0, 864.4, 1701.7", \ + " 122.8, 156.8, 249.6, 470.0, 862.8, 1699.2", \ + " 129.4, 163.3, 255.5, 468.5, 862.3, 1697.7", \ + " 139.6, 172.8, 264.3, 476.0, 862.4, 1697.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.5, 105.3, 131.3, 189.6, 293.9, 515.9", \ + " 103.1, 113.0, 138.9, 197.2, 301.4, 523.4", \ + " 109.5, 119.5, 145.5, 203.7, 307.8, 529.7", \ + " 117.9, 128.0, 154.1, 212.4, 316.4, 538.3", \ + " 131.2, 141.5, 167.9, 226.3, 330.2, 551.9", \ + " 147.2, 157.8, 184.7, 243.2, 347.1, 568.7", \ + " 169.1, 179.9, 206.7, 265.2, 369.1, 590.5", \ + " 196.5, 207.8, 235.5, 294.4, 397.6, 618.9", \ + " 233.2, 244.8, 273.4, 333.3, 437.0, 657.3", \ + " 283.0, 295.3, 324.9, 386.0, 490.7, 710.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.8, 124.8, 191.2, 342.4, 614.0, 1193.9", \ + " 99.5, 124.5, 191.0, 342.3, 613.9, 1193.9", \ + " 99.5, 124.4, 191.0, 342.3, 613.9, 1193.9", \ + " 100.0, 124.7, 191.1, 342.3, 614.0, 1193.9", \ + " 100.5, 125.3, 192.1, 342.8, 614.1, 1193.9", \ + " 99.3, 123.8, 190.3, 341.9, 614.4, 1193.9", \ + " 98.5, 123.3, 189.8, 340.6, 612.2, 1193.5", \ + " 100.9, 124.8, 189.6, 340.5, 611.1, 1191.1", \ + " 106.3, 129.7, 193.3, 340.9, 611.1, 1189.6", \ + " 115.9, 138.9, 201.0, 345.9, 612.7, 1189.6" ); }} +} +} +cell(oai31v0x05) { /* 2008-01-06:07h52 characteristic delay 18.8 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 543 ; /* oai31v0x05 */ +cell_footprint : oai31 ; +pin(a1) { /* oai31v0x05 FO4 effort 2.46 logical effort 2.59 */ +direction : input ; +capacitance : 4.12 ; +rise_capacitance : 4.18 ; +fall_capacitance : 4.06 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai31v0x05 */ +} +pin(a2) { /* oai31v0x05 FO4 effort 2.31 logical effort 2.51 */ +direction : input ; +capacitance : 4.03 ; +rise_capacitance : 3.87 ; +fall_capacitance : 4.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai31v0x05 */ +} +pin(a3) { /* oai31v0x05 FO4 effort 2.03 logical effort 2.45 */ +direction : input ; +capacitance : 3.94 ; +rise_capacitance : 3.54 ; +fall_capacitance : 4.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of oai31v0x05 */ +} +pin(b) { /* oai31v0x05 FO4 effort 1.30 logical effort 1.26 */ +direction : input ; +capacitance : 2.38 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.41 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai31v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 64 ; +max_fanout : 2 ; +function : "((a1+a2+a3)*b)'" ; +internal_power(a1_z_n) { /* oai31v0x05 17.54 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 9.04, 9.04, 9.04, 9.04, 9.03", \ + " 8.85, 8.86, 8.88, 8.89, 8.89", \ + " 8.78, 8.79, 8.82, 8.84, 8.84", \ + " 8.72, 8.74, 8.77, 8.80, 8.81", \ + " 8.69, 8.70, 8.73, 8.76, 8.77", \ + " 8.78, 8.77, 8.77, 8.77, 8.78", \ + " 9.13, 9.08, 9.00, 8.92, 8.87", \ + " 10.02, 9.90, 9.68, 9.41, 9.20", \ + " 11.90, 11.67, 11.22, 10.61, 10.08", \ + " 15.31, 14.96, 14.21, 13.10, 12.02" ); }} +internal_power(a2_z_n) { /* oai31v0x05 13.87 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 7.15, 7.16, 7.17, 7.18, 7.17", \ + " 6.97, 7.01, 7.06, 7.10, 7.11", \ + " 6.89, 6.93, 7.00, 7.06, 7.09", \ + " 6.83, 6.86, 6.94, 7.01, 7.06", \ + " 6.82, 6.84, 6.90, 6.97, 7.02", \ + " 6.98, 6.97, 6.97, 7.00, 7.04", \ + " 7.48, 7.41, 7.30, 7.21, 7.16", \ + " 8.55, 8.40, 8.11, 7.79, 7.55", \ + " 10.53, 10.27, 9.75, 9.07, 8.48", \ + " 13.84, 13.48, 12.72, 11.56, 10.42" ); }} +internal_power(a3_z_n) { /* oai31v0x05 9.78 nW/MHz */ +related_pin : "a3" ; +power(pwr_x05_81_5x10) { +values( " 4.94, 5.03, 5.12, 5.18, 5.20", \ + " 4.71, 4.82, 4.99, 5.13, 5.20", \ + " 4.66, 4.76, 4.92, 5.09, 5.18", \ + " 4.70, 4.76, 4.89, 5.05, 5.16", \ + " 4.88, 4.89, 4.95, 5.06, 5.15", \ + " 5.31, 5.25, 5.19, 5.19, 5.22", \ + " 6.13, 5.98, 5.75, 5.55, 5.45", \ + " 7.54, 7.28, 6.83, 6.34, 5.98", \ + " 9.85, 9.49, 8.78, 7.87, 7.11", \ + " 13.57, 13.11, 12.12, 10.68, 9.32" ); }} +internal_power(b_z_n) { /* oai31v0x05 8.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 4.09, 4.14, 4.19, 4.23, 4.24", \ + " 4.00, 4.04, 4.11, 4.17, 4.20", \ + " 4.03, 4.05, 4.09, 4.15, 4.19", \ + " 4.11, 4.10, 4.11, 4.15, 4.18", \ + " 4.33, 4.28, 4.22, 4.20, 4.21", \ + " 4.73, 4.62, 4.48, 4.36, 4.29", \ + " 5.43, 5.25, 4.98, 4.70, 4.51", \ + " 6.58, 6.32, 5.87, 5.37, 4.98", \ + " 8.46, 8.09, 7.42, 6.60, 5.91", \ + " 11.46, 10.99, 10.04, 8.78, 7.64" ); }} +timing() { /* ring osc delay oai31v0x05, path a1 to z 97.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 95.6 ; */ +/* intrinsic_fall : 69.4 ; */ +/* rise_resistance : 6.51 ; */ +/* fall_resistance : 4.28 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.4, 94.1, 127.1, 200.2, 329.4, 603.3", \ + " 88.5, 101.2, 134.4, 207.7, 336.9, 610.9", \ + " 93.1, 105.9, 139.1, 212.5, 341.8, 615.8", \ + " 98.7, 111.5, 144.7, 218.1, 347.5, 621.6", \ + " 107.2, 120.1, 153.3, 226.7, 356.2, 630.3", \ + " 115.9, 130.0, 164.7, 238.1, 367.5, 641.6", \ + " 126.4, 141.5, 178.9, 254.8, 383.8, 657.7", \ + " 140.5, 156.9, 197.0, 277.8, 408.6, 681.6", \ + " 160.9, 179.2, 222.8, 308.7, 446.4, 719.0", \ + " 191.2, 211.8, 260.2, 353.0, 498.4, 779.2" ); } +rise_transition(x05_81_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 149.2, 183.7, 276.9, 488.7, 866.6, 1670.6", \ + " 149.5, 183.9, 277.0, 488.7, 866.7, 1670.6", \ + " 150.1, 184.4, 277.3, 488.8, 866.7, 1670.6", \ + " 152.8, 186.7, 278.7, 489.3, 866.8, 1670.6", \ + " 159.3, 192.7, 283.8, 492.7, 868.0, 1670.6", \ + " 171.6, 204.0, 293.6, 500.3, 873.2, 1672.0", \ + " 197.9, 227.7, 313.3, 516.2, 885.0, 1678.8", \ + " 237.7, 269.3, 352.6, 547.6, 909.4, 1694.9", \ + " 304.7, 335.7, 420.0, 608.2, 957.9, 1729.2", \ + " 415.3, 445.1, 527.0, 715.1, 1049.2, 1798.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 66.1, 89.2, 137.9, 221.4, 396.1", \ + " 62.0, 71.2, 94.4, 143.1, 226.7, 401.5", \ + " 65.3, 74.6, 97.8, 146.6, 230.2, 405.0", \ + " 69.8, 79.0, 102.1, 151.0, 234.7, 409.5", \ + " 76.4, 86.2, 109.7, 158.7, 242.4, 417.2", \ + " 83.7, 94.3, 119.7, 169.8, 253.6, 428.4", \ + " 91.5, 103.3, 131.3, 185.6, 270.7, 445.4", \ + " 98.8, 112.3, 144.1, 204.1, 295.4, 470.8", \ + " 104.9, 120.5, 157.1, 225.2, 325.8, 509.7", \ + " 108.5, 126.5, 168.9, 247.6, 361.3, 562.6" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 104.9, 125.3, 180.9, 307.1, 532.1, 1011.1", \ + " 105.6, 125.8, 181.1, 307.1, 532.1, 1011.1", \ + " 108.0, 127.9, 182.6, 307.7, 532.2, 1011.1", \ + " 112.8, 132.3, 186.1, 310.0, 533.1, 1011.2", \ + " 124.4, 143.2, 195.3, 316.5, 537.0, 1012.1", \ + " 145.1, 163.3, 213.1, 330.4, 546.5, 1016.8", \ + " 175.9, 195.5, 245.6, 357.6, 567.1, 1029.2", \ + " 221.5, 242.1, 294.7, 405.6, 606.2, 1056.2", \ + " 289.7, 311.5, 366.7, 482.2, 676.6, 1109.7", \ + " 390.9, 414.4, 473.3, 594.4, 794.2, 1209.1" ); }} +timing() { /* ring osc delay oai31v0x05, path a2 to z 87.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 87.1 ; */ +/* intrinsic_fall : 62.8 ; */ +/* rise_resistance : 6.51 ; */ +/* fall_resistance : 4.18 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.2, 86.9, 119.9, 193.0, 322.2, 596.1", \ + " 80.0, 92.8, 125.9, 199.2, 328.5, 602.5", \ + " 84.4, 97.2, 130.4, 203.8, 333.2, 607.2", \ + " 90.2, 102.9, 136.2, 209.6, 339.0, 613.1", \ + " 99.5, 112.8, 146.1, 219.5, 348.9, 623.0", \ + " 109.4, 124.4, 160.6, 233.9, 363.2, 637.2", \ + " 123.7, 140.0, 179.4, 256.6, 385.3, 658.9", \ + " 144.4, 162.1, 204.7, 288.3, 419.2, 691.8", \ + " 174.3, 193.9, 240.4, 330.8, 471.1, 742.9", \ + " 216.3, 238.6, 290.7, 389.7, 541.4, 823.3" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 144.2, 177.9, 268.9, 475.2, 843.2, 1625.8", \ + " 144.6, 178.2, 269.0, 475.2, 843.3, 1625.8", \ + " 146.4, 179.4, 269.5, 475.4, 843.3, 1625.8", \ + " 151.3, 183.9, 272.8, 476.3, 843.4, 1625.8", \ + " 161.7, 193.6, 281.0, 482.2, 845.3, 1625.9", \ + " 181.1, 211.3, 296.1, 493.7, 852.8, 1627.1", \ + " 218.6, 245.3, 324.7, 516.2, 869.0, 1635.4", \ + " 263.3, 295.6, 375.2, 557.2, 900.4, 1655.2", \ + " 331.6, 364.4, 449.8, 628.4, 958.8, 1696.6", \ + " 429.7, 464.0, 552.6, 742.7, 1061.1, 1776.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.4, 59.8, 81.2, 128.0, 210.1, 383.4", \ + " 56.6, 65.1, 86.9, 133.9, 216.1, 389.6", \ + " 59.7, 68.3, 90.2, 137.5, 219.8, 393.4", \ + " 63.7, 72.5, 94.5, 141.8, 224.3, 398.0", \ + " 68.7, 78.4, 101.8, 149.4, 232.0, 405.8", \ + " 73.9, 84.7, 110.3, 160.4, 243.1, 416.9", \ + " 78.8, 91.2, 120.1, 175.0, 260.1, 433.8", \ + " 82.2, 96.8, 130.1, 191.7, 284.0, 459.1", \ + " 82.8, 99.8, 139.0, 209.9, 312.5, 497.8", \ + " 78.3, 98.3, 144.4, 227.7, 345.0, 549.0" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 76.8, 97.6, 153.6, 280.3, 505.9, 985.6", \ + " 77.3, 98.0, 153.8, 280.4, 506.0, 985.6", \ + " 80.1, 100.3, 155.3, 280.8, 506.0, 985.6", \ + " 85.2, 104.9, 159.0, 283.2, 506.7, 985.7", \ + " 98.1, 116.5, 168.2, 289.7, 510.7, 986.3", \ + " 118.3, 137.5, 186.6, 303.5, 520.1, 991.0", \ + " 148.0, 168.2, 219.7, 330.9, 540.5, 1003.4", \ + " 191.8, 213.3, 267.1, 379.6, 579.4, 1030.1", \ + " 256.8, 279.9, 337.0, 454.6, 650.0, 1083.2", \ + " 352.1, 377.8, 439.8, 564.0, 766.6, 1182.2" ); }} +timing() { /* ring osc delay oai31v0x05, path a3 to z 67.2 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.8 ; */ +/* intrinsic_fall : 49.2 ; */ +/* rise_resistance : 6.50 ; */ +/* fall_resistance : 4.19 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 66.8, 100.1, 173.5, 302.8, 576.8", \ + " 57.9, 70.9, 104.4, 178.1, 307.7, 581.8", \ + " 62.5, 75.2, 108.5, 182.2, 311.8, 586.0", \ + " 69.7, 81.8, 114.7, 188.0, 317.5, 591.6", \ + " 81.2, 94.6, 126.6, 199.0, 328.0, 601.9", \ + " 95.1, 109.9, 145.1, 216.1, 344.0, 617.2", \ + " 113.7, 130.0, 168.9, 243.4, 369.4, 641.0", \ + " 138.2, 156.2, 199.3, 282.3, 408.9, 677.6", \ + " 171.3, 191.7, 239.5, 331.7, 470.5, 735.4", \ + " 216.7, 240.0, 294.2, 396.7, 551.7, 827.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 137.5, 171.0, 260.9, 463.5, 823.5, 1588.2", \ + " 138.4, 171.0, 259.7, 463.2, 823.5, 1588.2", \ + " 143.4, 175.1, 262.0, 462.5, 823.4, 1588.2", \ + " 151.8, 182.4, 267.2, 464.5, 823.1, 1588.2", \ + " 168.6, 197.9, 279.5, 472.1, 824.7, 1588.1", \ + " 194.9, 222.7, 301.2, 487.8, 833.7, 1588.2", \ + " 234.3, 263.4, 337.6, 517.4, 854.4, 1596.9", \ + " 273.2, 310.2, 394.9, 568.0, 893.9, 1621.2", \ + " 335.1, 373.7, 468.8, 649.6, 965.0, 1671.7", \ + " 428.8, 469.9, 570.4, 772.5, 1084.5, 1767.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 46.7, 67.9, 114.3, 195.9, 369.0", \ + " 43.1, 51.8, 73.6, 120.6, 202.6, 375.9", \ + " 46.4, 55.0, 76.9, 124.1, 206.4, 379.8", \ + " 48.9, 58.7, 81.1, 128.5, 210.9, 384.5", \ + " 51.9, 62.8, 87.8, 136.0, 218.6, 392.4", \ + " 54.2, 66.7, 94.7, 146.9, 229.6, 403.5", \ + " 54.9, 69.7, 102.0, 159.8, 246.5, 420.3", \ + " 52.7, 70.3, 108.4, 174.3, 269.2, 445.4", \ + " 45.7, 66.6, 111.9, 189.1, 295.6, 483.8", \ + " 31.2, 55.8, 109.7, 201.4, 324.7, 532.9" ); } +fall_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 50.4, 71.4, 127.7, 254.5, 480.2, 959.7", \ + " 51.3, 71.8, 127.8, 254.5, 480.2, 959.7", \ + " 55.0, 74.8, 129.4, 254.7, 480.2, 959.7", \ + " 61.7, 80.3, 133.5, 257.2, 480.6, 959.7", \ + " 76.3, 93.9, 143.5, 264.1, 484.7, 960.2", \ + " 94.4, 114.1, 163.0, 278.1, 494.2, 964.9", \ + " 123.0, 143.4, 195.8, 306.0, 514.7, 977.2", \ + " 166.3, 187.7, 241.7, 356.1, 553.7, 1003.9", \ + " 231.0, 254.1, 310.9, 428.8, 624.6, 1056.9", \ + " 326.9, 352.0, 413.8, 537.0, 740.7, 1155.8" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 48.0 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 41.2, 68.6, 129.5, 237.2, 465.6", \ + " 38.3, 48.6, 76.1, 137.2, 245.1, 473.7", \ + " 44.8, 54.9, 82.1, 143.1, 251.0, 479.6", \ + " 52.0, 63.7, 90.5, 151.2, 259.0, 487.6", \ + " 62.5, 76.3, 105.8, 165.8, 273.3, 501.7", \ + " 75.5, 91.5, 126.3, 187.5, 294.2, 522.2", \ + " 92.8, 111.1, 151.5, 221.1, 326.5, 553.5", \ + " 115.4, 136.5, 182.9, 264.4, 375.4, 600.4", \ + " 146.0, 170.6, 224.1, 318.3, 447.9, 672.7", \ + " 188.1, 217.0, 279.4, 387.9, 539.4, 785.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.5, 92.2, 168.8, 341.1, 647.4, 1298.4", \ + " 68.5, 95.4, 169.5, 341.1, 647.4, 1298.4", \ + " 75.1, 101.0, 173.0, 341.5, 647.4, 1298.4", \ + " 85.2, 109.8, 179.7, 344.7, 647.4, 1298.4", \ + " 104.6, 127.5, 194.1, 354.3, 650.9, 1298.4", \ + " 129.7, 155.3, 218.2, 372.6, 662.3, 1299.8", \ + " 161.6, 191.9, 257.8, 405.4, 686.5, 1311.4", \ + " 205.0, 239.0, 316.1, 459.9, 730.4, 1340.2", \ + " 266.9, 304.5, 391.7, 547.4, 806.9, 1397.4", \ + " 356.5, 398.2, 495.4, 675.2, 934.7, 1502.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.5, 32.3, 52.8, 98.8, 180.2, 353.1", \ + " 30.7, 38.4, 59.1, 105.1, 186.6, 359.6", \ + " 34.1, 43.3, 63.9, 109.9, 191.4, 364.4", \ + " 37.0, 47.8, 70.5, 116.4, 197.9, 370.9", \ + " 39.9, 52.9, 80.5, 128.1, 209.4, 382.3", \ + " 41.6, 57.0, 89.9, 144.8, 226.1, 398.8", \ + " 41.4, 59.5, 98.4, 164.1, 251.4, 423.7", \ + " 37.7, 59.1, 104.8, 182.8, 286.1, 460.8", \ + " 28.5, 53.6, 107.6, 199.4, 322.7, 517.0", \ + " 9.9, 39.5, 103.3, 211.7, 357.8, 590.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.6, 69.3, 124.5, 248.6, 469.2, 937.8", \ + " 55.0, 74.0, 126.5, 248.5, 469.1, 937.8", \ + " 62.5, 80.7, 131.4, 250.6, 469.1, 937.8", \ + " 73.7, 90.9, 139.8, 255.9, 470.5, 937.8", \ + " 89.4, 110.6, 157.2, 269.0, 478.0, 938.2", \ + " 109.6, 132.6, 184.3, 292.2, 494.6, 945.1", \ + " 139.0, 163.9, 222.1, 331.2, 526.7, 965.0", \ + " 180.4, 207.8, 271.2, 391.4, 581.4, 1005.5", \ + " 239.4, 270.4, 340.2, 472.1, 669.4, 1080.4", \ + " 324.4, 360.0, 439.0, 583.8, 801.8, 1208.7" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 65.4 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 43.7, 71.7, 133.7, 243.1, 474.4", \ + " 40.7, 51.2, 79.2, 141.4, 251.0, 482.5", \ + " 47.2, 57.5, 85.2, 147.3, 256.9, 488.4", \ + " 55.2, 66.4, 93.6, 155.4, 264.9, 496.4", \ + " 66.8, 80.1, 108.9, 170.0, 279.2, 510.5", \ + " 81.0, 96.3, 130.3, 191.7, 300.1, 531.0", \ + " 100.0, 117.5, 156.9, 225.6, 332.4, 562.3", \ + " 125.4, 145.1, 190.1, 270.5, 381.4, 609.2", \ + " 160.3, 182.7, 233.8, 326.5, 455.1, 681.5", \ + " 209.5, 234.7, 293.0, 399.2, 549.4, 794.0" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 123.5, 151.5, 226.9, 398.1, 703.6, 1354.0", \ + " 128.4, 154.6, 227.6, 398.1, 703.6, 1354.0", \ + " 134.9, 160.1, 231.1, 398.4, 703.6, 1354.0", \ + " 144.7, 168.9, 237.7, 401.6, 703.6, 1354.0", \ + " 163.2, 186.3, 252.1, 411.2, 707.1, 1354.0", \ + " 191.1, 213.0, 276.0, 429.4, 718.5, 1355.5", \ + " 234.0, 255.3, 315.2, 462.2, 742.6, 1367.0", \ + " 287.6, 313.6, 375.9, 516.5, 786.5, 1395.8", \ + " 360.0, 389.4, 462.4, 604.2, 862.9, 1452.9", \ + " 461.4, 494.1, 576.7, 739.0, 990.8, 1557.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 45.8, 67.2, 114.0, 196.1, 369.5", \ + " 43.7, 52.0, 73.5, 120.4, 202.5, 375.9", \ + " 48.7, 56.9, 78.3, 125.2, 207.3, 380.7", \ + " 55.0, 63.8, 84.9, 131.7, 213.8, 387.2", \ + " 62.2, 72.8, 96.7, 143.3, 225.3, 398.6", \ + " 68.6, 81.2, 109.7, 160.2, 241.9, 415.1", \ + " 73.8, 88.6, 122.4, 182.7, 267.2, 440.0", \ + " 76.5, 93.8, 133.6, 205.2, 303.5, 477.0", \ + " 74.9, 95.1, 141.8, 226.3, 343.7, 533.2", \ + " 65.3, 89.1, 144.1, 243.7, 383.0, 609.3" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.2, 94.9, 150.1, 274.1, 494.7, 963.6", \ + " 77.6, 97.2, 150.8, 273.9, 494.7, 963.6", \ + " 83.2, 102.3, 154.5, 275.1, 494.7, 963.6", \ + " 92.3, 110.9, 161.6, 279.5, 495.5, 963.6", \ + " 110.6, 128.1, 177.2, 291.2, 502.0, 963.8", \ + " 132.3, 153.8, 202.5, 312.8, 517.4, 969.9", \ + " 162.2, 186.1, 242.1, 350.3, 548.0, 988.7", \ + " 204.4, 230.6, 292.4, 408.9, 601.2, 1028.0", \ + " 264.8, 294.2, 362.2, 492.2, 688.0, 1101.3", \ + " 351.6, 385.4, 461.9, 605.1, 821.1, 1228.2" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 43.6 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 40.8, 68.3, 129.2, 236.9, 465.4", \ + " 38.0, 48.3, 75.7, 136.8, 244.7, 473.3", \ + " 44.6, 54.6, 81.7, 142.7, 250.5, 479.2", \ + " 51.9, 63.5, 90.2, 150.8, 258.5, 487.2", \ + " 62.7, 76.4, 105.7, 165.5, 272.9, 501.3", \ + " 76.1, 91.9, 126.5, 187.4, 293.9, 521.8", \ + " 94.1, 112.1, 152.2, 221.4, 326.5, 553.2", \ + " 117.9, 138.6, 184.5, 265.3, 375.8, 600.5", \ + " 150.4, 174.5, 227.1, 320.3, 449.1, 673.3", \ + " 195.5, 223.8, 284.8, 391.9, 542.2, 786.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.5, 92.2, 168.8, 341.1, 647.4, 1298.4", \ + " 68.9, 95.7, 169.6, 341.1, 647.4, 1298.4", \ + " 75.5, 101.4, 173.3, 341.6, 647.4, 1298.4", \ + " 85.5, 110.2, 180.0, 344.9, 647.4, 1298.4", \ + " 104.4, 127.5, 194.4, 354.6, 651.1, 1298.4", \ + " 128.5, 154.3, 217.9, 372.7, 662.5, 1299.9", \ + " 158.9, 189.6, 256.2, 404.9, 686.6, 1311.5", \ + " 200.1, 234.4, 312.4, 457.8, 729.7, 1340.1", \ + " 258.6, 296.4, 384.7, 542.3, 804.2, 1396.5", \ + " 343.4, 385.1, 483.1, 665.2, 927.9, 1498.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.7, 26.9, 43.1, 79.3, 143.6, 280.1", \ + " 27.3, 33.8, 50.0, 86.3, 150.6, 287.2", \ + " 30.3, 38.4, 55.3, 91.6, 155.9, 292.5", \ + " 32.8, 42.5, 62.4, 98.8, 163.1, 299.6", \ + " 35.0, 46.8, 71.5, 111.5, 175.6, 312.1", \ + " 35.8, 49.8, 79.6, 128.2, 193.8, 330.0", \ + " 34.1, 50.9, 86.5, 145.5, 221.3, 357.2", \ + " 28.5, 48.4, 90.7, 161.5, 253.5, 397.5", \ + " 16.3, 40.0, 90.3, 174.6, 285.7, 456.7", \ + " -6.5, 21.5, 81.3, 181.9, 315.1, 523.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.7, 51.7, 94.2, 190.0, 360.4, 722.4", \ + " 43.7, 57.9, 97.6, 190.6, 360.4, 722.4", \ + " 52.1, 65.4, 103.4, 193.8, 360.7, 722.4", \ + " 63.1, 76.7, 113.0, 200.4, 363.7, 722.4", \ + " 78.5, 95.4, 132.1, 215.6, 373.5, 724.6", \ + " 99.3, 118.1, 160.7, 241.4, 393.2, 735.0", \ + " 128.5, 149.7, 197.5, 283.6, 429.3, 759.6", \ + " 168.9, 193.0, 246.7, 344.5, 489.2, 806.5", \ + " 225.9, 253.9, 314.9, 425.0, 583.6, 890.1", \ + " 307.9, 340.5, 411.3, 535.7, 715.4, 1029.1" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 79.5 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.0, 43.7, 71.7, 133.7, 243.2, 474.6", \ + " 40.7, 51.2, 79.2, 141.4, 251.0, 482.6", \ + " 47.2, 57.5, 85.2, 147.3, 257.0, 488.6", \ + " 55.2, 66.4, 93.6, 155.4, 264.9, 496.6", \ + " 66.8, 80.1, 108.9, 170.0, 279.2, 510.7", \ + " 81.0, 96.3, 130.3, 191.7, 300.1, 531.2", \ + " 100.1, 117.5, 156.9, 225.6, 332.5, 562.4", \ + " 125.4, 145.1, 190.1, 270.5, 381.4, 609.4", \ + " 160.4, 182.7, 233.9, 326.6, 455.1, 681.7", \ + " 209.7, 234.8, 293.1, 399.2, 549.5, 794.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 190.5, 217.8, 291.4, 459.6, 762.6, 1411.0", \ + " 195.4, 220.9, 292.1, 459.6, 762.6, 1411.0", \ + " 201.9, 226.4, 295.5, 459.9, 762.6, 1411.0", \ + " 211.7, 235.2, 302.2, 463.1, 762.6, 1411.0", \ + " 229.9, 252.5, 316.6, 472.7, 766.1, 1411.0", \ + " 256.8, 278.7, 340.4, 490.9, 777.4, 1412.4", \ + " 298.2, 319.3, 379.0, 523.7, 801.6, 1424.0", \ + " 360.7, 381.1, 438.3, 577.7, 845.5, 1452.7", \ + " 442.8, 467.3, 530.2, 664.6, 921.8, 1509.9", \ + " 554.4, 582.2, 654.4, 802.0, 1049.3, 1614.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.4, 53.7, 76.8, 125.6, 209.2, 384.0", \ + " 50.8, 60.0, 83.1, 132.0, 215.6, 390.4", \ + " 56.0, 65.0, 88.0, 136.8, 220.5, 395.2", \ + " 63.7, 72.1, 94.6, 143.3, 227.0, 401.7", \ + " 73.9, 84.0, 106.8, 154.9, 238.4, 413.1", \ + " 83.9, 95.6, 122.6, 171.9, 255.0, 429.6", \ + " 93.2, 107.0, 138.8, 196.5, 280.3, 454.4", \ + " 100.6, 116.6, 154.0, 222.3, 317.7, 491.4", \ + " 104.6, 123.2, 166.8, 247.4, 361.1, 547.6", \ + " 101.9, 123.6, 174.7, 269.4, 404.4, 626.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 99.3, 120.0, 175.4, 299.7, 520.1, 988.7", \ + " 101.7, 121.4, 175.4, 299.2, 520.1, 988.7", \ + " 106.4, 125.7, 178.4, 299.9, 519.9, 988.7", \ + " 114.1, 133.1, 184.6, 303.5, 520.3, 988.6", \ + " 129.4, 148.3, 198.6, 314.1, 525.9, 988.6", \ + " 154.2, 173.1, 222.0, 334.2, 540.3, 993.9", \ + " 184.4, 207.2, 260.7, 369.9, 569.4, 1011.8", \ + " 226.7, 252.1, 312.5, 426.8, 621.0, 1049.8", \ + " 287.8, 316.0, 383.0, 511.2, 706.3, 1121.6", \ + " 376.4, 408.4, 483.1, 625.1, 839.2, 1247.0" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 43.6 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 40.8, 68.3, 129.2, 236.9, 465.4", \ + " 38.0, 48.3, 75.7, 136.8, 244.7, 473.3", \ + " 44.6, 54.6, 81.7, 142.7, 250.5, 479.2", \ + " 51.9, 63.5, 90.2, 150.8, 258.5, 487.2", \ + " 62.7, 76.4, 105.7, 165.5, 272.9, 501.3", \ + " 76.1, 91.9, 126.5, 187.4, 293.9, 521.8", \ + " 94.1, 112.1, 152.2, 221.4, 326.5, 553.2", \ + " 117.9, 138.6, 184.5, 265.3, 375.8, 600.5", \ + " 150.4, 174.5, 227.1, 320.3, 449.1, 673.3", \ + " 195.5, 223.8, 284.8, 391.9, 542.2, 786.6" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.5, 92.2, 168.8, 341.1, 647.4, 1298.4", \ + " 68.9, 95.7, 169.6, 341.1, 647.4, 1298.4", \ + " 75.5, 101.4, 173.3, 341.6, 647.4, 1298.4", \ + " 85.5, 110.2, 180.0, 344.9, 647.4, 1298.4", \ + " 104.4, 127.5, 194.4, 354.6, 651.1, 1298.4", \ + " 128.5, 154.3, 217.9, 372.7, 662.5, 1299.9", \ + " 158.9, 189.6, 256.2, 404.9, 686.6, 1311.5", \ + " 200.1, 234.4, 312.4, 457.8, 729.7, 1340.1", \ + " 258.6, 296.4, 384.7, 542.3, 804.2, 1396.5", \ + " 343.4, 385.1, 483.1, 665.2, 927.9, 1498.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.7, 26.9, 43.1, 79.3, 143.6, 280.1", \ + " 27.3, 33.8, 50.0, 86.3, 150.6, 287.2", \ + " 30.3, 38.4, 55.3, 91.6, 155.9, 292.5", \ + " 32.8, 42.5, 62.4, 98.8, 163.1, 299.6", \ + " 35.0, 46.8, 71.5, 111.5, 175.6, 312.1", \ + " 35.8, 49.8, 79.6, 128.2, 193.8, 330.0", \ + " 34.1, 50.9, 86.5, 145.5, 221.3, 357.2", \ + " 28.5, 48.4, 90.7, 161.5, 253.5, 397.5", \ + " 16.3, 40.0, 90.3, 174.6, 285.7, 456.7", \ + " -6.5, 21.5, 81.3, 181.9, 315.1, 523.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 35.7, 51.7, 94.2, 190.0, 360.4, 722.4", \ + " 43.7, 57.9, 97.6, 190.6, 360.4, 722.4", \ + " 52.1, 65.4, 103.4, 193.8, 360.7, 722.4", \ + " 63.1, 76.7, 113.0, 200.4, 363.7, 722.4", \ + " 78.5, 95.4, 132.1, 215.6, 373.5, 724.6", \ + " 99.3, 118.1, 160.7, 241.4, 393.2, 735.0", \ + " 128.5, 149.7, 197.5, 283.6, 429.3, 759.6", \ + " 168.9, 193.0, 246.7, 344.5, 489.2, 806.5", \ + " 225.9, 253.9, 314.9, 425.0, 583.6, 890.1", \ + " 307.9, 340.5, 411.3, 535.7, 715.4, 1029.1" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 59.1 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.8, 43.5, 71.6, 133.7, 243.3, 475.0", \ + " 40.5, 51.0, 79.0, 141.3, 251.1, 482.9", \ + " 47.1, 57.3, 85.0, 147.1, 257.0, 488.9", \ + " 55.3, 66.4, 93.4, 155.2, 264.9, 496.8", \ + " 67.2, 80.3, 109.0, 170.0, 279.3, 510.9", \ + " 81.9, 97.0, 130.7, 191.9, 300.3, 531.5", \ + " 101.7, 118.9, 157.9, 226.1, 332.9, 562.9", \ + " 128.3, 147.7, 192.1, 271.8, 382.3, 610.1", \ + " 165.3, 187.1, 237.4, 329.2, 456.8, 682.9", \ + " 217.9, 242.2, 299.3, 403.9, 552.9, 796.4" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.8, 153.8, 229.1, 400.2, 705.7, 1356.0", \ + " 131.1, 157.2, 229.9, 400.2, 705.7, 1356.0", \ + " 137.7, 162.8, 233.6, 400.6, 705.7, 1356.0", \ + " 147.3, 171.6, 240.3, 403.9, 705.7, 1356.0", \ + " 165.2, 188.5, 254.6, 413.6, 709.3, 1356.0", \ + " 192.1, 214.4, 277.9, 431.7, 720.7, 1357.5", \ + " 233.6, 255.1, 315.8, 463.8, 744.7, 1369.1", \ + " 285.2, 311.4, 374.3, 516.5, 787.8, 1397.7", \ + " 354.2, 384.0, 457.7, 601.2, 862.2, 1454.1", \ + " 450.4, 483.5, 567.0, 731.1, 986.0, 1556.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 37.0, 54.1, 91.1, 155.9, 292.9", \ + " 37.4, 44.0, 61.0, 98.1, 163.0, 299.9", \ + " 43.0, 49.5, 66.3, 103.4, 168.3, 305.2", \ + " 48.5, 56.3, 73.6, 110.6, 175.4, 312.3", \ + " 54.9, 64.4, 85.5, 123.2, 188.0, 324.8", \ + " 60.2, 71.6, 97.1, 141.3, 206.1, 342.8", \ + " 63.8, 77.4, 108.0, 161.8, 233.6, 369.9", \ + " 64.4, 80.4, 116.8, 181.5, 268.7, 410.2", \ + " 59.6, 78.5, 121.7, 198.9, 304.3, 470.2", \ + " 45.5, 67.9, 119.2, 211.1, 337.7, 539.7" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 55.2, 71.3, 114.0, 209.9, 380.3, 742.4", \ + " 60.2, 75.2, 115.9, 210.0, 380.3, 742.4", \ + " 66.7, 81.2, 120.6, 212.4, 380.3, 742.4", \ + " 76.8, 90.8, 128.9, 218.1, 382.7, 742.4", \ + " 95.1, 110.0, 146.3, 232.0, 391.6, 744.1", \ + " 116.9, 134.4, 173.9, 256.3, 410.1, 753.8", \ + " 147.2, 167.2, 213.0, 297.1, 444.9, 777.4", \ + " 188.9, 211.6, 263.4, 358.8, 503.4, 823.1", \ + " 247.9, 274.0, 332.8, 440.8, 596.7, 905.3", \ + " 331.8, 362.5, 430.5, 552.8, 730.4, 1043.1" ); }} +timing() { /* ring osc delay oai31v0x05, path b to z 42.3 */ +related_pin : "b" ; +when : "(a1*a2*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 40.7, 68.2, 129.1, 236.7, 465.2", \ + " 37.9, 48.1, 75.5, 136.6, 244.5, 473.1", \ + " 44.5, 54.5, 81.6, 142.5, 250.4, 479.0", \ + " 51.9, 63.4, 90.1, 150.6, 258.3, 487.0", \ + " 62.7, 76.4, 105.7, 165.4, 272.7, 501.1", \ + " 76.3, 92.1, 126.5, 187.4, 293.8, 521.6", \ + " 94.5, 112.5, 152.5, 221.5, 326.5, 553.1", \ + " 118.8, 139.4, 185.0, 265.6, 376.0, 600.5", \ + " 151.9, 175.8, 228.1, 321.0, 449.5, 673.5", \ + " 198.1, 226.1, 286.7, 393.3, 543.2, 787.2" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.5, 92.2, 168.8, 341.1, 647.4, 1298.4", \ + " 69.1, 95.8, 169.7, 341.1, 647.4, 1298.4", \ + " 75.7, 101.5, 173.4, 341.6, 647.4, 1298.4", \ + " 85.5, 110.3, 180.2, 345.0, 647.4, 1298.4", \ + " 104.2, 127.4, 194.5, 354.7, 651.2, 1298.4", \ + " 128.0, 153.9, 217.7, 372.8, 662.6, 1299.9", \ + " 157.8, 188.7, 255.6, 404.7, 686.6, 1311.6", \ + " 198.2, 232.7, 311.0, 457.0, 729.4, 1340.1", \ + " 255.5, 293.4, 382.0, 540.4, 803.1, 1396.2", \ + " 338.6, 380.2, 478.5, 661.5, 925.2, 1497.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.5, 25.2, 40.2, 73.6, 132.8, 258.7", \ + " 26.1, 32.3, 47.3, 80.7, 140.0, 265.9", \ + " 29.0, 36.7, 52.7, 86.2, 145.5, 271.4", \ + " 31.4, 40.7, 59.8, 93.5, 152.8, 278.7", \ + " 33.3, 44.7, 68.4, 106.5, 165.6, 291.4", \ + " 33.7, 47.4, 76.1, 122.8, 184.2, 309.8", \ + " 31.6, 47.9, 82.4, 139.3, 211.9, 337.6", \ + " 25.3, 44.7, 85.9, 154.3, 242.9, 378.8", \ + " 12.1, 35.2, 84.3, 166.2, 273.4, 437.5", \ + " -12.2, 15.2, 73.7, 171.7, 300.7, 501.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.3, 46.5, 85.3, 172.5, 327.5, 657.1", \ + " 40.6, 53.3, 89.1, 173.3, 327.5, 657.1", \ + " 49.2, 61.1, 95.2, 176.9, 328.1, 657.1", \ + " 59.8, 72.8, 105.1, 183.9, 331.6, 657.1", \ + " 75.5, 91.0, 124.8, 199.6, 342.2, 660.1", \ + " 96.1, 113.8, 153.2, 226.3, 362.8, 671.7", \ + " 125.2, 145.3, 190.0, 269.5, 400.2, 697.8", \ + " 165.2, 188.3, 239.0, 330.1, 461.6, 746.7", \ + " 221.5, 248.5, 306.8, 410.3, 557.3, 832.9", \ + " 302.3, 334.0, 402.2, 520.3, 688.4, 975.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 51.1 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 5.42 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.6, 41.2, 68.6, 129.5, 237.2, 465.6", \ + " 38.3, 48.6, 76.1, 137.2, 245.1, 473.7", \ + " 44.8, 54.9, 82.1, 143.1, 251.0, 479.6", \ + " 52.0, 63.7, 90.5, 151.2, 259.0, 487.6", \ + " 62.5, 76.3, 105.8, 165.8, 273.3, 501.7", \ + " 75.5, 91.5, 126.3, 187.5, 294.2, 522.2", \ + " 92.8, 111.1, 151.5, 221.1, 326.5, 553.5", \ + " 115.4, 136.5, 182.9, 264.4, 375.4, 600.4", \ + " 146.0, 170.6, 224.1, 318.3, 447.9, 672.7", \ + " 188.1, 217.0, 279.4, 387.9, 539.4, 785.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.5, 92.2, 168.8, 341.1, 647.4, 1298.4", \ + " 68.5, 95.4, 169.5, 341.1, 647.4, 1298.4", \ + " 75.1, 101.0, 173.0, 341.5, 647.4, 1298.4", \ + " 85.2, 109.8, 179.7, 344.7, 647.4, 1298.4", \ + " 104.6, 127.5, 194.1, 354.3, 650.9, 1298.4", \ + " 129.7, 155.3, 218.2, 372.6, 662.3, 1299.8", \ + " 161.6, 191.9, 257.8, 405.4, 686.5, 1311.4", \ + " 205.0, 239.0, 316.1, 459.9, 730.4, 1340.2", \ + " 266.9, 304.5, 391.7, 547.4, 806.9, 1397.4", \ + " 356.5, 398.2, 495.4, 675.2, 934.7, 1502.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.5, 32.3, 52.8, 98.8, 180.2, 353.1", \ + " 30.7, 38.4, 59.1, 105.1, 186.6, 359.6", \ + " 34.1, 43.3, 63.9, 109.9, 191.4, 364.4", \ + " 37.0, 47.8, 70.5, 116.4, 197.9, 370.9", \ + " 39.9, 52.9, 80.5, 128.1, 209.4, 382.3", \ + " 41.6, 57.0, 89.9, 144.8, 226.1, 398.8", \ + " 41.4, 59.5, 98.4, 164.1, 251.4, 423.7", \ + " 37.7, 59.1, 104.8, 182.8, 286.1, 460.8", \ + " 28.5, 53.6, 107.6, 199.4, 322.7, 517.0", \ + " 9.9, 39.5, 103.3, 211.7, 357.8, 590.4" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.6, 69.3, 124.5, 248.6, 469.2, 937.8", \ + " 55.0, 74.0, 126.5, 248.5, 469.1, 937.8", \ + " 62.5, 80.7, 131.4, 250.6, 469.1, 937.8", \ + " 73.7, 90.9, 139.8, 255.9, 470.5, 937.8", \ + " 89.4, 110.6, 157.2, 269.0, 478.0, 938.2", \ + " 109.6, 132.6, 184.3, 292.2, 494.6, 945.1", \ + " 139.0, 163.9, 222.1, 331.2, 526.7, 965.0", \ + " 180.4, 207.8, 271.2, 391.4, 581.4, 1005.5", \ + " 239.4, 270.4, 340.2, 472.1, 669.4, 1080.4", \ + " 324.4, 360.0, 439.0, 583.8, 801.8, 1208.7" ); }} +} +} +cell(oai31v0x1) { /* 2008-01-06:07h53 characteristic delay 18.6 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1005 ; /* oai31v0x1 */ +cell_footprint : oai31 ; +pin(a1) { /* oai31v0x1 FO4 effort 2.49 logical effort 2.66 */ +direction : input ; +capacitance : 7.91 ; +rise_capacitance : 8.04 ; +fall_capacitance : 7.78 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai31v0x1 */ +} +pin(a2) { /* oai31v0x1 FO4 effort 2.29 logical effort 2.50 */ +direction : input ; +capacitance : 7.50 ; +rise_capacitance : 7.21 ; +fall_capacitance : 7.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai31v0x1 */ +} +pin(a3) { /* oai31v0x1 FO4 effort 1.95 logical effort 2.35 */ +direction : input ; +capacitance : 7.05 ; +rise_capacitance : 6.31 ; +fall_capacitance : 7.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of oai31v0x1 */ +} +pin(b) { /* oai31v0x1 FO4 effort 1.30 logical effort 1.22 */ +direction : input ; +capacitance : 4.03 ; +rise_capacitance : 3.98 ; +fall_capacitance : 4.09 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai31v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 123 ; +max_fanout : 4 ; +function : "((a1+a2+a3)*b)'" ; +internal_power(a1_z_n) { /* oai31v0x1 32.34 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_162_5x10) { +values( " 16.69, 16.70, 16.71, 16.70, 16.68", \ + " 16.32, 16.35, 16.39, 16.42, 16.41", \ + " 16.18, 16.21, 16.27, 16.32, 16.33", \ + " 16.06, 16.10, 16.17, 16.24, 16.26", \ + " 16.01, 16.03, 16.09, 16.15, 16.19", \ + " 16.16, 16.15, 16.15, 16.17, 16.19", \ + " 16.82, 16.72, 16.58, 16.44, 16.34", \ + " 18.49, 18.24, 17.81, 17.32, 16.93", \ + " 21.97, 21.52, 20.62, 19.48, 18.50", \ + " 28.29, 27.59, 26.12, 23.99, 21.97" ); }} +internal_power(a2_z_n) { /* oai31v0x1 25.21 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_162_5x10) { +values( " 12.99, 13.02, 13.05, 13.05, 13.04", \ + " 12.66, 12.73, 12.84, 12.91, 12.94", \ + " 12.50, 12.58, 12.72, 12.83, 12.89", \ + " 12.39, 12.46, 12.61, 12.75, 12.83", \ + " 12.37, 12.42, 12.53, 12.68, 12.77", \ + " 12.69, 12.67, 12.67, 12.73, 12.80", \ + " 13.63, 13.49, 13.28, 13.11, 13.02", \ + " 15.65, 15.33, 14.76, 14.15, 13.71", \ + " 19.34, 18.82, 17.78, 16.47, 15.37", \ + " 25.52, 24.80, 23.26, 21.01, 18.87" ); }} +internal_power(a3_z_n) { /* oai31v0x1 17.31 nW/MHz */ +related_pin : "a3" ; +power(pwr_x1_162_5x10) { +values( " 8.71, 8.88, 9.07, 9.18, 9.21", \ + " 8.28, 8.50, 8.83, 9.09, 9.22", \ + " 8.21, 8.39, 8.71, 9.02, 9.19", \ + " 8.28, 8.40, 8.66, 8.96, 9.15", \ + " 8.65, 8.66, 8.76, 8.97, 9.14", \ + " 9.46, 9.33, 9.21, 9.20, 9.26", \ + " 11.02, 10.70, 10.24, 9.86, 9.66", \ + " 13.66, 13.14, 12.23, 11.28, 10.62", \ + " 18.01, 17.27, 15.83, 14.07, 12.66", \ + " 24.97, 24.02, 22.02, 19.21, 16.65" ); }} +internal_power(b_z_n) { /* oai31v0x1 15.10 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 7.52, 7.60, 7.70, 7.76, 7.77", \ + " 7.36, 7.43, 7.55, 7.66, 7.71", \ + " 7.39, 7.43, 7.52, 7.63, 7.69", \ + " 7.53, 7.52, 7.55, 7.62, 7.68", \ + " 7.90, 7.81, 7.73, 7.70, 7.71", \ + " 8.58, 8.40, 8.14, 7.94, 7.84", \ + " 9.79, 9.47, 8.97, 8.50, 8.19", \ + " 11.78, 11.29, 10.48, 9.60, 8.95", \ + " 15.03, 14.34, 13.11, 11.66, 10.47", \ + " 20.26, 19.35, 17.59, 15.33, 13.34" ); }} +timing() { /* ring osc delay oai31v0x1, path a1 to z 95.4 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 92.2 ; */ +/* intrinsic_fall : 72.1 ; */ +/* rise_resistance : 3.38 ; */ +/* fall_resistance : 2.40 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.1, 91.3, 125.5, 201.3, 335.4, 619.8", \ + " 85.1, 98.4, 132.8, 208.8, 343.0, 627.5", \ + " 89.8, 103.0, 137.5, 213.6, 347.8, 632.4", \ + " 95.3, 108.6, 143.1, 219.2, 353.5, 638.1", \ + " 103.7, 117.2, 151.7, 227.9, 362.3, 646.9", \ + " 111.9, 126.8, 163.1, 239.2, 373.5, 658.2", \ + " 121.7, 137.7, 176.8, 255.8, 389.8, 674.2", \ + " 134.6, 152.1, 194.2, 278.5, 414.3, 697.9", \ + " 152.8, 172.5, 218.6, 308.6, 451.7, 734.8", \ + " 179.6, 201.8, 253.4, 351.0, 502.6, 794.0" ); } +rise_transition(x1_162_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 141.0, 176.8, 273.6, 493.6, 886.1, 1720.9", \ + " 141.4, 177.1, 273.8, 493.6, 886.1, 1720.9", \ + " 142.1, 177.6, 274.0, 493.7, 886.1, 1720.9", \ + " 144.9, 179.9, 275.5, 494.2, 886.2, 1720.9", \ + " 151.6, 186.2, 280.7, 497.6, 887.3, 1720.9", \ + " 164.4, 197.7, 290.5, 505.2, 892.4, 1722.1", \ + " 191.2, 222.0, 310.5, 521.1, 904.2, 1728.7", \ + " 230.4, 263.3, 350.0, 552.3, 928.3, 1744.5", \ + " 297.2, 329.3, 417.0, 612.7, 976.3, 1778.3", \ + " 408.0, 438.7, 523.8, 719.6, 1067.0, 1846.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.1, 70.5, 96.3, 150.8, 244.6, 441.0", \ + " 64.9, 75.3, 101.2, 155.9, 249.7, 446.1", \ + " 68.1, 78.6, 104.5, 159.2, 253.1, 449.5", \ + " 72.5, 82.8, 108.8, 163.6, 257.5, 454.0", \ + " 79.2, 90.1, 116.3, 171.2, 265.2, 461.7", \ + " 86.8, 98.6, 126.6, 182.3, 276.3, 472.8", \ + " 95.4, 108.4, 139.1, 198.8, 293.5, 489.8", \ + " 104.1, 118.8, 153.3, 218.7, 319.0, 515.2", \ + " 112.3, 129.2, 168.6, 242.1, 351.7, 554.3", \ + " 119.2, 138.6, 184.1, 268.3, 390.9, 610.7" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 110.2, 133.1, 195.8, 337.8, 590.9, 1129.9", \ + " 110.7, 133.5, 195.8, 337.8, 590.9, 1129.9", \ + " 113.0, 135.6, 197.3, 338.3, 591.0, 1129.9", \ + " 117.8, 139.8, 200.6, 340.4, 591.7, 1130.0", \ + " 129.3, 150.5, 209.5, 346.5, 595.2, 1130.6", \ + " 150.2, 170.4, 226.8, 359.6, 603.9, 1134.5", \ + " 181.1, 203.0, 258.8, 385.8, 623.1, 1145.6", \ + " 226.8, 249.8, 308.4, 432.5, 660.3, 1170.4", \ + " 295.1, 319.4, 380.8, 509.2, 728.2, 1220.5", \ + " 395.9, 422.3, 487.6, 622.1, 844.3, 1315.1" ); }} +timing() { /* ring osc delay oai31v0x1, path a2 to z 84.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 83.3 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 3.37 ; */ +/* fall_resistance : 2.35 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.4, 83.5, 117.7, 193.6, 327.7, 612.0", \ + " 76.2, 89.5, 123.8, 199.8, 334.0, 618.5", \ + " 80.7, 93.9, 128.4, 204.4, 338.7, 623.2", \ + " 86.4, 99.7, 134.1, 210.2, 344.5, 629.1", \ + " 95.2, 109.5, 144.0, 220.1, 354.4, 639.0", \ + " 104.6, 120.5, 158.5, 234.5, 368.7, 653.2", \ + " 118.0, 135.3, 176.7, 257.0, 390.7, 674.9", \ + " 137.2, 156.2, 201.1, 288.4, 424.4, 707.5", \ + " 164.8, 185.9, 235.2, 330.0, 475.8, 758.2", \ + " 203.3, 227.4, 282.9, 387.0, 545.2, 837.6" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 136.4, 171.3, 265.7, 479.9, 862.1, 1674.7", \ + " 136.7, 171.5, 265.8, 479.9, 862.1, 1674.7", \ + " 138.8, 172.9, 266.4, 480.1, 862.1, 1674.7", \ + " 143.8, 177.6, 269.7, 481.0, 862.2, 1674.7", \ + " 154.5, 187.4, 278.0, 486.9, 864.0, 1674.7", \ + " 174.6, 205.5, 293.3, 498.4, 871.3, 1675.7", \ + " 211.6, 240.4, 322.2, 521.0, 887.4, 1683.6", \ + " 256.3, 290.0, 373.4, 562.0, 918.7, 1703.1", \ + " 325.8, 359.7, 448.3, 633.8, 977.1, 1744.0", \ + " 426.1, 461.6, 553.0, 749.6, 1079.9, 1823.6" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 62.7, 86.8, 139.4, 231.6, 426.6", \ + " 58.2, 67.9, 92.3, 145.1, 237.5, 432.7", \ + " 61.3, 71.0, 95.5, 148.6, 241.1, 436.3", \ + " 65.2, 75.1, 99.7, 152.9, 245.6, 440.9", \ + " 70.4, 81.2, 107.1, 160.4, 253.2, 448.7", \ + " 75.9, 87.8, 116.1, 171.5, 264.3, 459.8", \ + " 81.6, 95.2, 126.7, 187.0, 281.3, 476.7", \ + " 86.3, 102.0, 138.2, 205.1, 306.3, 502.0", \ + " 88.8, 107.3, 149.4, 225.8, 337.3, 540.9", \ + " 87.4, 108.9, 158.4, 247.5, 373.6, 595.9" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 79.8, 103.3, 166.4, 309.0, 562.9, 1102.6", \ + " 80.3, 103.6, 166.5, 309.0, 562.9, 1102.6", \ + " 83.0, 105.9, 167.9, 309.3, 562.9, 1102.6", \ + " 88.1, 110.4, 171.4, 311.5, 563.3, 1102.6", \ + " 100.8, 121.7, 180.4, 317.7, 566.9, 1102.8", \ + " 121.2, 142.9, 198.2, 330.7, 575.6, 1106.8", \ + " 151.1, 173.8, 231.2, 357.0, 594.6, 1117.8", \ + " 195.2, 219.1, 279.1, 404.3, 631.5, 1142.3", \ + " 260.3, 286.1, 349.4, 480.0, 699.5, 1192.1", \ + " 355.3, 384.0, 452.7, 590.2, 815.3, 1286.4" ); }} +timing() { /* ring osc delay oai31v0x1, path a3 to z 63.0 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.7 ; */ +/* intrinsic_fall : 49.1 ; */ +/* rise_resistance : 3.37 ; */ +/* fall_resistance : 2.35 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.6, 63.0, 97.5, 173.6, 307.7, 592.2", \ + " 53.9, 67.2, 101.9, 178.3, 312.7, 597.3", \ + " 58.5, 71.6, 106.1, 182.4, 316.8, 601.5", \ + " 66.0, 78.3, 112.2, 188.3, 322.6, 607.2", \ + " 76.5, 90.7, 124.1, 199.3, 333.1, 617.5", \ + " 89.7, 105.3, 142.3, 216.2, 349.0, 632.7", \ + " 107.2, 124.5, 165.5, 243.3, 374.2, 656.4", \ + " 130.1, 149.3, 194.8, 281.6, 413.3, 692.6", \ + " 160.8, 182.6, 233.4, 330.0, 474.1, 749.7", \ + " 202.5, 227.7, 285.4, 393.0, 554.5, 840.6" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 129.2, 164.1, 257.6, 468.0, 841.8, 1635.8", \ + " 130.7, 164.4, 256.5, 467.6, 841.8, 1635.8", \ + " 136.0, 168.7, 258.8, 467.0, 841.7, 1635.8", \ + " 144.8, 176.3, 264.1, 468.9, 841.4, 1635.8", \ + " 162.3, 192.4, 276.8, 476.5, 842.9, 1635.7", \ + " 189.8, 218.0, 299.0, 492.3, 851.7, 1635.7", \ + " 226.8, 260.3, 336.1, 522.4, 872.3, 1643.8", \ + " 266.9, 305.5, 394.8, 573.6, 911.9, 1667.6", \ + " 330.2, 370.6, 469.3, 656.7, 983.6, 1717.8", \ + " 425.9, 469.0, 573.4, 782.2, 1104.8, 1814.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 48.1, 72.0, 124.1, 215.9, 410.6", \ + " 43.3, 53.0, 77.5, 130.3, 222.5, 417.5", \ + " 46.5, 56.2, 80.7, 133.8, 226.2, 421.3", \ + " 49.0, 59.9, 84.9, 138.1, 230.7, 426.0", \ + " 52.1, 64.2, 91.9, 145.5, 238.4, 433.8", \ + " 54.7, 68.5, 99.2, 156.6, 249.3, 444.9", \ + " 56.0, 72.3, 107.4, 170.6, 266.3, 461.7", \ + " 54.9, 74.1, 115.3, 186.6, 290.4, 486.9", \ + " 49.7, 72.4, 121.2, 203.9, 319.3, 525.5", \ + " 37.9, 64.6, 122.5, 220.3, 352.4, 578.8" ); } +fall_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 51.3, 75.1, 138.4, 281.2, 535.0, 1074.5", \ + " 52.3, 75.4, 138.5, 281.2, 535.0, 1074.5", \ + " 56.0, 78.4, 140.0, 281.3, 535.0, 1074.5", \ + " 62.6, 83.8, 143.9, 283.5, 535.1, 1074.5", \ + " 77.3, 97.1, 153.6, 290.0, 538.8, 1074.6", \ + " 95.5, 117.7, 172.5, 303.4, 547.6, 1078.5", \ + " 124.3, 147.2, 205.8, 330.0, 566.8, 1089.5", \ + " 167.9, 191.8, 252.0, 378.3, 603.9, 1114.1", \ + " 233.0, 258.7, 321.5, 452.8, 672.0, 1163.9", \ + " 329.2, 357.0, 425.2, 561.5, 788.1, 1258.1" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 48.2 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 44.3, 76.1, 146.5, 271.1, 535.6", \ + " 39.7, 51.7, 83.5, 154.2, 279.0, 543.6", \ + " 46.2, 57.9, 89.4, 160.0, 284.9, 549.6", \ + " 53.7, 66.7, 97.7, 168.1, 292.9, 557.6", \ + " 64.6, 80.1, 113.0, 182.6, 307.1, 571.6", \ + " 78.0, 95.9, 134.5, 204.2, 327.9, 592.0", \ + " 95.8, 116.4, 161.3, 237.6, 360.0, 623.2", \ + " 119.2, 142.8, 194.4, 284.0, 408.6, 669.9", \ + " 150.8, 178.3, 237.6, 341.5, 483.1, 741.6", \ + " 194.3, 226.6, 295.5, 415.2, 581.5, 853.3" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 100.6, 189.3, 388.8, 743.5, 1497.2", \ + " 72.1, 103.4, 189.6, 388.8, 743.5, 1497.2", \ + " 78.6, 108.7, 192.7, 388.8, 743.5, 1497.2", \ + " 88.4, 117.3, 198.9, 391.3, 743.5, 1497.2", \ + " 107.4, 134.5, 212.6, 399.8, 745.5, 1497.2", \ + " 133.2, 161.5, 235.8, 416.8, 755.0, 1497.5", \ + " 165.5, 199.7, 274.3, 447.8, 777.0, 1505.8", \ + " 209.1, 247.6, 333.6, 500.3, 818.1, 1530.8", \ + " 271.0, 313.6, 411.5, 585.7, 890.8, 1583.2", \ + " 360.6, 407.8, 517.2, 717.0, 1014.5, 1681.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 33.9, 57.0, 108.6, 200.2, 394.8", \ + " 31.3, 40.0, 63.2, 115.0, 206.6, 401.2", \ + " 34.9, 45.0, 68.1, 119.8, 211.5, 406.0", \ + " 37.9, 49.8, 74.6, 126.3, 217.9, 412.5", \ + " 40.9, 55.3, 85.3, 137.9, 229.4, 423.9", \ + " 42.7, 59.7, 95.6, 154.7, 246.0, 440.4", \ + " 42.4, 62.5, 105.0, 176.0, 271.3, 465.2", \ + " 38.8, 62.4, 112.5, 196.8, 307.8, 502.1", \ + " 29.3, 57.1, 116.2, 215.9, 348.4, 558.2", \ + " 10.2, 43.1, 112.9, 230.7, 388.3, 637.5" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.7, 72.9, 135.1, 274.7, 522.8, 1050.0", \ + " 56.0, 77.4, 136.7, 274.5, 522.8, 1050.0", \ + " 63.4, 84.0, 141.3, 276.1, 522.7, 1050.0", \ + " 74.6, 94.1, 149.4, 280.9, 523.5, 1050.0", \ + " 90.7, 113.7, 166.5, 293.2, 529.9, 1050.0", \ + " 111.1, 136.6, 193.3, 315.6, 545.2, 1055.1", \ + " 140.7, 168.4, 232.5, 353.9, 575.6, 1072.6", \ + " 182.2, 212.7, 282.7, 413.5, 628.8, 1110.2", \ + " 241.4, 275.9, 352.9, 497.7, 715.9, 1181.6", \ + " 326.7, 366.3, 453.1, 612.2, 850.9, 1307.0" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 67.2 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.8, 47.3, 79.7, 151.5, 278.3, 546.1", \ + " 42.4, 54.7, 87.1, 159.2, 286.2, 554.1", \ + " 48.9, 60.9, 93.0, 165.0, 292.1, 560.1", \ + " 57.3, 69.7, 101.4, 173.1, 300.0, 568.1", \ + " 69.3, 84.2, 116.6, 187.6, 314.3, 582.2", \ + " 84.0, 101.2, 138.9, 209.2, 335.1, 602.5", \ + " 103.8, 123.3, 167.1, 242.7, 367.2, 633.7", \ + " 130.1, 152.2, 202.2, 290.6, 415.8, 680.4", \ + " 166.5, 191.4, 248.1, 350.5, 490.9, 752.1", \ + " 217.9, 245.7, 310.3, 427.5, 592.3, 863.8" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.6, 166.1, 253.6, 451.9, 805.8, 1559.0", \ + " 138.2, 168.8, 253.9, 451.9, 805.8, 1559.0", \ + " 144.6, 174.0, 256.9, 451.9, 805.8, 1559.0", \ + " 154.2, 182.6, 263.1, 454.3, 805.8, 1559.0", \ + " 172.4, 199.5, 276.8, 462.8, 807.8, 1559.0", \ + " 200.0, 225.8, 299.7, 479.7, 817.3, 1559.3", \ + " 243.5, 267.5, 337.9, 510.8, 839.3, 1567.6", \ + " 298.3, 327.4, 397.6, 563.0, 880.3, 1592.5", \ + " 371.6, 404.9, 486.5, 648.6, 952.9, 1644.9", \ + " 473.8, 510.9, 603.8, 784.2, 1076.6, 1743.1" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.3, 48.6, 72.7, 125.2, 217.5, 412.5", \ + " 45.5, 54.9, 79.0, 131.6, 223.9, 418.9", \ + " 50.5, 59.8, 83.8, 136.4, 228.7, 423.8", \ + " 57.0, 66.6, 90.4, 142.9, 235.2, 430.2", \ + " 64.7, 76.3, 102.2, 154.5, 246.7, 441.6", \ + " 71.5, 85.3, 116.3, 171.3, 263.2, 458.0", \ + " 77.1, 93.3, 130.2, 195.3, 288.5, 482.9", \ + " 80.2, 99.2, 142.6, 220.1, 325.8, 519.7", \ + " 78.9, 101.2, 152.2, 243.8, 370.1, 575.8", \ + " 69.4, 95.7, 155.8, 264.0, 414.4, 657.0" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.2, 100.6, 162.6, 302.2, 550.4, 1077.9", \ + " 80.3, 102.6, 163.1, 302.1, 550.4, 1077.9", \ + " 85.9, 107.5, 166.4, 302.8, 550.4, 1077.9", \ + " 94.8, 115.9, 173.2, 306.6, 550.7, 1077.9", \ + " 112.8, 132.8, 188.3, 317.5, 556.1, 1077.9", \ + " 135.4, 159.2, 213.2, 338.2, 570.1, 1082.1", \ + " 165.7, 192.0, 253.7, 374.8, 599.0, 1098.5", \ + " 208.1, 237.2, 305.3, 433.0, 650.5, 1134.9", \ + " 268.9, 301.4, 376.5, 519.0, 736.3, 1204.7", \ + " 356.2, 393.5, 477.6, 635.0, 871.2, 1328.5" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 43.9 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.8, 44.0, 75.7, 146.2, 270.8, 535.3", \ + " 39.4, 51.3, 83.1, 153.7, 278.6, 543.2", \ + " 46.0, 57.6, 89.0, 159.6, 284.5, 549.1", \ + " 53.6, 66.6, 97.4, 167.6, 292.4, 557.1", \ + " 64.8, 80.2, 112.9, 182.3, 306.7, 571.2", \ + " 78.6, 96.3, 134.7, 204.0, 327.6, 591.6", \ + " 97.1, 117.4, 161.9, 237.8, 360.0, 622.9", \ + " 121.6, 144.8, 195.8, 284.8, 408.9, 669.9", \ + " 155.1, 182.0, 240.4, 343.4, 484.1, 742.1", \ + " 201.6, 233.1, 300.7, 419.0, 584.0, 854.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 100.6, 189.3, 388.8, 743.5, 1497.3", \ + " 72.5, 103.6, 189.8, 388.8, 743.5, 1497.3", \ + " 79.0, 109.1, 192.9, 388.9, 743.5, 1497.3", \ + " 88.6, 117.6, 199.2, 391.4, 743.5, 1497.3", \ + " 107.2, 134.5, 212.9, 400.0, 745.6, 1497.3", \ + " 132.1, 160.6, 235.5, 416.9, 755.2, 1497.5", \ + " 162.8, 197.4, 272.9, 447.5, 777.1, 1505.9", \ + " 204.1, 243.1, 330.1, 498.4, 817.5, 1530.8", \ + " 262.6, 305.6, 404.7, 581.0, 888.5, 1582.6", \ + " 347.4, 394.6, 505.1, 707.4, 1008.3, 1678.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.2, 28.1, 46.3, 87.1, 159.4, 312.9", \ + " 27.9, 35.0, 53.3, 94.1, 166.4, 320.0", \ + " 31.0, 39.9, 58.6, 99.4, 171.7, 325.3", \ + " 33.6, 44.2, 65.8, 106.5, 178.8, 332.4", \ + " 35.9, 48.9, 75.6, 119.2, 191.4, 344.9", \ + " 36.7, 52.3, 84.7, 136.8, 209.5, 362.8", \ + " 35.1, 53.6, 92.5, 156.0, 237.0, 389.9", \ + " 29.4, 51.5, 97.7, 174.1, 272.4, 430.1", \ + " 17.1, 43.2, 98.3, 189.5, 308.6, 490.7", \ + " -6.2, 24.8, 90.3, 199.3, 342.5, 564.5" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.7, 54.7, 102.5, 210.3, 402.0, 809.2", \ + " 44.6, 60.6, 105.5, 210.6, 402.0, 809.2", \ + " 52.8, 68.0, 111.0, 213.4, 402.0, 809.2", \ + " 64.1, 79.1, 120.3, 219.5, 404.3, 809.2", \ + " 79.7, 98.4, 139.1, 233.9, 413.1, 810.4", \ + " 100.6, 121.5, 168.1, 258.9, 431.5, 819.0", \ + " 130.0, 153.5, 206.1, 300.6, 466.1, 841.4", \ + " 170.6, 197.3, 256.3, 363.0, 524.7, 885.6", \ + " 227.9, 258.9, 325.8, 446.1, 618.3, 965.9", \ + " 310.0, 346.3, 423.8, 559.6, 755.2, 1102.7" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 82.5 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.8, 47.3, 79.7, 151.5, 278.3, 546.4", \ + " 42.4, 54.7, 87.1, 159.2, 286.2, 554.5", \ + " 48.9, 60.9, 93.1, 165.1, 292.1, 560.4", \ + " 57.3, 69.7, 101.4, 173.1, 300.1, 568.4", \ + " 69.3, 84.2, 116.6, 187.6, 314.3, 582.5", \ + " 84.1, 101.3, 138.9, 209.2, 335.1, 602.9", \ + " 103.8, 123.4, 167.1, 242.7, 367.3, 634.0", \ + " 130.2, 152.2, 202.2, 290.6, 415.8, 680.7", \ + " 166.6, 191.4, 248.2, 350.6, 490.9, 752.5", \ + " 218.1, 245.9, 310.4, 427.6, 592.4, 864.2" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 206.9, 238.7, 324.2, 519.4, 870.8, 1622.1", \ + " 211.5, 241.3, 324.5, 519.4, 870.8, 1622.1", \ + " 217.9, 246.6, 327.5, 519.4, 870.8, 1622.1", \ + " 227.5, 255.1, 333.6, 521.9, 870.8, 1622.1", \ + " 245.6, 272.0, 347.3, 530.4, 872.8, 1622.1", \ + " 272.4, 297.9, 370.3, 547.3, 882.3, 1622.3", \ + " 313.6, 338.2, 408.1, 578.3, 904.3, 1630.6", \ + " 376.5, 399.2, 466.6, 630.4, 945.3, 1655.6", \ + " 460.6, 488.1, 557.6, 715.3, 1017.9, 1708.0", \ + " 574.0, 605.4, 686.4, 850.4, 1141.2, 1806.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.2, 57.6, 83.5, 138.1, 232.0, 428.3", \ + " 53.6, 63.9, 89.8, 144.5, 238.4, 434.8", \ + " 58.7, 68.9, 94.7, 149.3, 243.2, 439.6", \ + " 66.3, 75.9, 101.3, 155.9, 249.7, 446.1", \ + " 77.2, 88.1, 113.3, 167.4, 261.2, 457.5", \ + " 87.8, 100.6, 129.9, 184.3, 277.8, 473.9", \ + " 97.8, 112.9, 147.5, 209.7, 302.9, 498.8", \ + " 106.0, 123.5, 164.2, 238.1, 340.5, 535.6", \ + " 110.7, 131.1, 178.7, 266.0, 388.2, 591.6", \ + " 108.6, 132.5, 188.2, 291.1, 436.7, 674.3" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 104.3, 127.7, 190.0, 329.8, 577.8, 1105.0", \ + " 106.4, 128.7, 189.7, 329.4, 577.8, 1105.0", \ + " 110.9, 132.7, 192.4, 329.6, 577.6, 1105.0", \ + " 118.4, 139.9, 198.1, 332.7, 577.6, 1105.0", \ + " 133.5, 154.8, 211.7, 342.4, 582.1, 1104.8", \ + " 158.8, 179.1, 234.7, 361.6, 595.1, 1108.3", \ + " 189.4, 214.6, 272.7, 396.4, 622.5, 1123.7", \ + " 232.1, 260.3, 326.7, 452.7, 672.3, 1158.9", \ + " 293.6, 324.9, 398.7, 539.2, 756.4, 1227.1", \ + " 382.7, 418.2, 500.4, 656.3, 890.0, 1349.1" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 43.9 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.8, 44.0, 75.7, 146.2, 270.8, 535.3", \ + " 39.4, 51.3, 83.1, 153.7, 278.6, 543.2", \ + " 46.0, 57.6, 89.0, 159.6, 284.5, 549.1", \ + " 53.6, 66.6, 97.4, 167.6, 292.4, 557.1", \ + " 64.8, 80.2, 112.9, 182.3, 306.7, 571.2", \ + " 78.6, 96.3, 134.7, 204.0, 327.6, 591.6", \ + " 97.1, 117.4, 161.9, 237.8, 360.0, 622.9", \ + " 121.6, 144.8, 195.8, 284.8, 408.9, 669.9", \ + " 155.1, 182.0, 240.4, 343.4, 484.1, 742.1", \ + " 201.6, 233.1, 300.7, 419.0, 584.0, 854.7" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 100.6, 189.3, 388.8, 743.5, 1497.3", \ + " 72.5, 103.6, 189.8, 388.8, 743.5, 1497.3", \ + " 79.0, 109.1, 192.9, 388.9, 743.5, 1497.2", \ + " 88.6, 117.6, 199.2, 391.4, 743.5, 1497.2", \ + " 107.2, 134.5, 212.9, 400.0, 745.6, 1497.3", \ + " 132.1, 160.6, 235.5, 416.9, 755.2, 1497.5", \ + " 162.8, 197.4, 272.9, 447.5, 777.1, 1505.9", \ + " 204.1, 243.1, 330.1, 498.4, 817.5, 1530.8", \ + " 262.6, 305.6, 404.7, 581.0, 888.5, 1582.6", \ + " 347.4, 394.6, 505.1, 707.4, 1008.3, 1678.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.2, 28.1, 46.3, 87.1, 159.4, 312.9", \ + " 27.9, 35.0, 53.3, 94.1, 166.4, 320.0", \ + " 31.0, 39.9, 58.6, 99.4, 171.7, 325.3", \ + " 33.6, 44.2, 65.8, 106.5, 178.8, 332.4", \ + " 35.9, 48.9, 75.6, 119.2, 191.4, 344.9", \ + " 36.7, 52.3, 84.7, 136.8, 209.5, 362.8", \ + " 35.1, 53.6, 92.5, 156.0, 237.0, 389.9", \ + " 29.4, 51.5, 97.7, 174.1, 272.4, 430.1", \ + " 17.1, 43.2, 98.3, 189.5, 308.6, 490.7", \ + " -6.2, 24.8, 90.3, 199.3, 342.5, 564.5" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 36.7, 54.7, 102.5, 210.3, 402.0, 809.2", \ + " 44.6, 60.6, 105.5, 210.6, 402.0, 809.2", \ + " 52.8, 68.0, 111.0, 213.4, 402.0, 809.2", \ + " 64.1, 79.1, 120.3, 219.5, 404.3, 809.2", \ + " 79.7, 98.4, 139.1, 233.9, 413.1, 810.4", \ + " 100.6, 121.5, 168.1, 258.9, 431.5, 819.0", \ + " 130.0, 153.5, 206.1, 300.6, 466.1, 841.4", \ + " 170.6, 197.3, 256.3, 363.0, 524.7, 885.6", \ + " 227.9, 258.9, 325.8, 446.1, 618.3, 965.9", \ + " 310.0, 346.3, 423.8, 559.6, 755.2, 1102.7" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 60.9 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 34.6, 47.1, 79.6, 151.6, 278.6, 546.9", \ + " 42.2, 54.5, 86.9, 159.1, 286.4, 554.8", \ + " 48.9, 60.8, 92.9, 165.0, 292.2, 560.8", \ + " 57.4, 69.7, 101.3, 173.0, 300.2, 568.7", \ + " 69.7, 84.5, 116.7, 187.7, 314.5, 582.8", \ + " 84.9, 102.0, 139.3, 209.4, 335.4, 603.3", \ + " 105.5, 124.8, 168.1, 243.3, 367.8, 634.5", \ + " 133.0, 154.7, 204.2, 291.9, 416.7, 681.5", \ + " 171.5, 195.7, 251.6, 353.1, 492.6, 753.8", \ + " 226.3, 253.2, 316.4, 432.2, 595.7, 866.3" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 136.1, 168.6, 256.0, 454.2, 808.1, 1561.2", \ + " 141.1, 171.5, 256.4, 454.2, 808.1, 1561.2", \ + " 147.6, 176.9, 259.6, 454.3, 808.1, 1561.2", \ + " 157.0, 185.4, 265.8, 456.8, 808.1, 1561.2", \ + " 174.7, 202.0, 279.4, 465.4, 810.1, 1561.2", \ + " 201.3, 227.4, 301.9, 482.2, 819.7, 1561.5", \ + " 243.0, 267.5, 338.9, 512.7, 841.6, 1569.9", \ + " 296.0, 325.4, 396.4, 563.5, 882.0, 1594.7", \ + " 366.1, 399.6, 482.1, 646.2, 952.8, 1646.4", \ + " 463.1, 500.5, 594.5, 776.8, 1072.6, 1742.6" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.7, 39.2, 58.3, 99.9, 172.8, 326.8", \ + " 38.7, 46.2, 65.3, 106.9, 179.8, 333.8", \ + " 44.4, 51.6, 70.6, 112.2, 185.1, 339.1", \ + " 50.3, 58.7, 77.8, 119.4, 192.3, 346.3", \ + " 57.1, 67.4, 90.2, 132.0, 204.8, 358.7", \ + " 62.7, 75.2, 102.9, 150.4, 222.9, 376.7", \ + " 66.8, 81.6, 115.0, 172.8, 250.3, 403.8", \ + " 67.8, 85.3, 125.0, 194.8, 288.0, 443.9", \ + " 63.3, 84.1, 131.2, 214.7, 327.8, 504.6", \ + " 49.4, 74.0, 130.1, 229.7, 365.9, 581.6" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.7, 75.8, 123.9, 231.8, 423.5, 830.9", \ + " 62.4, 79.4, 125.4, 231.7, 423.5, 830.9", \ + " 68.8, 85.2, 129.8, 233.7, 423.4, 830.9", \ + " 78.7, 94.6, 137.8, 238.9, 425.1, 830.9", \ + " 97.3, 113.4, 154.8, 251.9, 432.9, 831.6", \ + " 119.5, 138.9, 182.0, 275.4, 450.1, 839.5", \ + " 150.1, 172.2, 222.4, 315.6, 483.3, 860.9", \ + " 192.2, 217.2, 274.1, 378.0, 540.4, 903.9", \ + " 251.5, 280.3, 344.8, 462.8, 632.8, 982.7", \ + " 335.9, 369.8, 444.2, 577.8, 770.9, 1118.1" ); }} +timing() { /* ring osc delay oai31v0x1, path b to z 42.5 */ +related_pin : "b" ; +when : "(a1*a2*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 43.8, 75.6, 146.0, 270.6, 535.1", \ + " 39.3, 51.2, 82.9, 153.5, 278.4, 543.0", \ + " 46.0, 57.5, 88.9, 159.4, 284.3, 549.0", \ + " 53.6, 66.5, 97.3, 167.5, 292.2, 556.9", \ + " 64.8, 80.2, 112.8, 182.2, 306.5, 571.0", \ + " 78.8, 96.5, 134.7, 204.0, 327.5, 591.5", \ + " 97.5, 117.7, 162.1, 237.9, 360.0, 622.8", \ + " 122.4, 145.5, 196.3, 285.1, 409.1, 669.9", \ + " 156.6, 183.3, 241.4, 344.1, 484.5, 742.4", \ + " 204.1, 235.4, 302.5, 420.3, 584.9, 855.3" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 100.6, 189.3, 388.8, 743.5, 1497.3", \ + " 72.6, 103.8, 189.8, 388.8, 743.5, 1497.3", \ + " 79.1, 109.2, 193.1, 388.9, 743.5, 1497.2", \ + " 88.7, 117.7, 199.4, 391.5, 743.5, 1497.2", \ + " 107.0, 134.4, 212.9, 400.2, 745.6, 1497.3", \ + " 131.6, 160.3, 235.4, 417.0, 755.3, 1497.5", \ + " 161.7, 196.5, 272.3, 447.3, 777.1, 1506.0", \ + " 202.2, 241.4, 328.7, 497.7, 817.3, 1530.8", \ + " 259.6, 302.6, 402.1, 579.2, 887.6, 1582.3", \ + " 342.6, 389.8, 500.6, 703.8, 1006.0, 1677.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.0, 26.3, 43.1, 80.7, 147.4, 289.0", \ + " 26.6, 33.4, 50.3, 87.9, 154.6, 296.2", \ + " 29.7, 38.1, 55.7, 93.3, 160.0, 301.6", \ + " 32.1, 42.3, 63.0, 100.6, 167.3, 308.9", \ + " 34.2, 46.7, 72.4, 113.6, 180.2, 321.7", \ + " 34.6, 49.7, 81.0, 131.1, 198.7, 340.1", \ + " 32.5, 50.6, 88.2, 149.4, 226.8, 367.8", \ + " 26.2, 47.7, 92.6, 166.5, 261.0, 408.9", \ + " 12.8, 38.4, 92.1, 180.6, 295.5, 470.1", \ + " -11.9, 18.4, 82.5, 188.6, 327.2, 540.9" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 33.2, 49.3, 92.9, 191.0, 365.4, 736.1", \ + " 41.4, 55.8, 96.3, 191.5, 365.4, 736.1", \ + " 50.0, 63.4, 102.1, 194.6, 365.7, 736.1", \ + " 60.8, 74.9, 111.7, 201.2, 368.5, 736.1", \ + " 76.5, 93.8, 131.0, 216.2, 378.0, 737.9", \ + " 97.4, 117.0, 160.1, 242.1, 397.4, 747.8", \ + " 126.6, 148.9, 198.0, 284.8, 433.3, 771.7", \ + " 166.8, 192.4, 248.1, 347.3, 493.5, 817.9", \ + " 223.4, 253.3, 317.1, 430.0, 589.2, 901.0", \ + " 304.3, 339.5, 414.1, 542.8, 725.3, 1041.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 52.1 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.04 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 44.3, 76.1, 146.5, 271.1, 535.6", \ + " 39.7, 51.7, 83.5, 154.2, 279.0, 543.6", \ + " 46.2, 57.9, 89.4, 160.0, 284.9, 549.6", \ + " 53.7, 66.7, 97.7, 168.1, 292.9, 557.6", \ + " 64.6, 80.1, 113.0, 182.6, 307.1, 571.6", \ + " 78.0, 95.9, 134.5, 204.2, 327.9, 592.0", \ + " 95.8, 116.4, 161.3, 237.6, 360.0, 623.2", \ + " 119.2, 142.8, 194.4, 284.0, 408.6, 669.9", \ + " 150.8, 178.3, 237.6, 341.5, 483.1, 741.6", \ + " 194.3, 226.6, 295.5, 415.2, 581.5, 853.3" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.4, 100.6, 189.3, 388.8, 743.5, 1497.2", \ + " 72.1, 103.4, 189.6, 388.8, 743.5, 1497.2", \ + " 78.6, 108.7, 192.7, 388.8, 743.5, 1497.2", \ + " 88.4, 117.3, 198.9, 391.3, 743.5, 1497.2", \ + " 107.4, 134.5, 212.6, 399.8, 745.5, 1497.2", \ + " 133.2, 161.5, 235.8, 416.8, 755.0, 1497.5", \ + " 165.5, 199.7, 274.3, 447.8, 777.0, 1505.8", \ + " 209.1, 247.6, 333.6, 500.3, 818.1, 1530.8", \ + " 271.0, 313.6, 411.5, 585.7, 890.8, 1583.2", \ + " 360.6, 407.8, 517.2, 717.0, 1014.5, 1681.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.1, 33.9, 57.0, 108.6, 200.2, 394.8", \ + " 31.3, 40.0, 63.2, 115.0, 206.6, 401.2", \ + " 34.9, 45.0, 68.1, 119.8, 211.5, 406.0", \ + " 37.9, 49.8, 74.6, 126.3, 217.9, 412.5", \ + " 40.9, 55.3, 85.3, 137.9, 229.4, 423.9", \ + " 42.7, 59.7, 95.6, 154.7, 246.0, 440.4", \ + " 42.4, 62.5, 105.0, 176.0, 271.3, 465.2", \ + " 38.8, 62.4, 112.5, 196.8, 307.8, 502.1", \ + " 29.3, 57.1, 116.2, 215.9, 348.4, 558.2", \ + " 10.2, 43.1, 112.9, 230.7, 388.3, 637.5" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 49.7, 72.9, 135.1, 274.7, 522.8, 1050.0", \ + " 56.0, 77.4, 136.7, 274.5, 522.8, 1050.0", \ + " 63.4, 84.0, 141.3, 276.1, 522.7, 1050.0", \ + " 74.6, 94.1, 149.4, 280.9, 523.5, 1050.0", \ + " 90.7, 113.7, 166.5, 293.2, 529.9, 1050.0", \ + " 111.1, 136.6, 193.3, 315.6, 545.2, 1055.1", \ + " 140.7, 168.4, 232.5, 353.9, 575.6, 1072.6", \ + " 182.2, 212.7, 282.7, 413.5, 628.8, 1110.2", \ + " 241.4, 275.9, 352.9, 497.7, 715.9, 1181.6", \ + " 326.7, 366.3, 453.1, 612.2, 850.9, 1307.0" ); }} +} +} +cell(oai31v0x2) { /* 2008-01-06:07h53 characteristic delay 18.5 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 2264 ; /* oai31v0x2 */ +cell_footprint : oai31 ; +pin(a1) { /* oai31v0x2 FO4 effort 2.49 logical effort 2.66 */ +direction : input ; +capacitance : 16.89 ; +rise_capacitance : 17.19 ; +fall_capacitance : 16.60 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oai31v0x2 */ +} +pin(a2) { /* oai31v0x2 FO4 effort 2.29 logical effort 2.50 */ +direction : input ; +capacitance : 16.07 ; +rise_capacitance : 15.51 ; +fall_capacitance : 16.64 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oai31v0x2 */ +} +pin(a3) { /* oai31v0x2 FO4 effort 1.95 logical effort 2.35 */ +direction : input ; +capacitance : 15.11 ; +rise_capacitance : 13.55 ; +fall_capacitance : 16.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a3 of oai31v0x2 */ +} +pin(b) { /* oai31v0x2 FO4 effort 1.24 logical effort 1.17 */ +direction : input ; +capacitance : 8.21 ; +rise_capacitance : 8.11 ; +fall_capacitance : 8.31 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of oai31v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 260 ; +max_fanout : 6 ; +function : "((a1+a2+a3)*b)'" ; +internal_power(a1_z_n) { /* oai31v0x2 68.86 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_325_5x10) { +values( " 35.60, 35.63, 35.66, 35.65, 35.59", \ + " 34.76, 34.84, 34.95, 35.02, 35.02", \ + " 34.43, 34.53, 34.68, 34.80, 34.83", \ + " 34.17, 34.26, 34.43, 34.60, 34.67", \ + " 34.01, 34.07, 34.21, 34.39, 34.50", \ + " 34.28, 34.27, 34.30, 34.39, 34.46", \ + " 35.56, 35.39, 35.13, 34.89, 34.74", \ + " 38.93, 38.46, 37.62, 36.66, 35.93", \ + " 46.07, 45.17, 43.41, 41.13, 39.19", \ + " 59.11, 57.73, 54.80, 50.53, 46.43" ); }} +internal_power(a2_z_n) { /* oai31v0x2 52.93 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_325_5x10) { +values( " 27.33, 27.38, 27.44, 27.47, 27.44", \ + " 26.59, 26.75, 26.98, 27.16, 27.22", \ + " 26.25, 26.42, 26.72, 26.98, 27.11", \ + " 25.98, 26.15, 26.46, 26.79, 26.98", \ + " 25.93, 26.04, 26.28, 26.61, 26.84", \ + " 26.54, 26.51, 26.54, 26.70, 26.86", \ + " 28.46, 28.18, 27.77, 27.45, 27.30", \ + " 32.61, 31.97, 30.85, 29.61, 28.73", \ + " 40.29, 39.24, 37.15, 34.47, 32.23", \ + " 53.19, 51.73, 48.62, 44.02, 39.61" ); }} +internal_power(a3_z_n) { /* oai31v0x2 35.57 nW/MHz */ +related_pin : "a3" ; +power(pwr_x2_325_5x10) { +values( " 17.90, 18.32, 18.76, 19.02, 19.11", \ + " 16.94, 17.44, 18.19, 18.81, 19.11", \ + " 16.79, 17.20, 17.92, 18.63, 19.03", \ + " 16.94, 17.20, 17.78, 18.48, 18.94", \ + " 17.70, 17.73, 17.98, 18.47, 18.89", \ + " 19.41, 19.15, 18.91, 18.94, 19.13", \ + " 22.67, 22.02, 21.07, 20.31, 19.95", \ + " 28.21, 27.13, 25.26, 23.31, 21.97", \ + " 37.33, 35.80, 32.85, 29.20, 26.28", \ + " 51.93, 49.97, 45.87, 40.05, 34.73" ); }} +internal_power(b_z_n) { /* oai31v0x2 30.07 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_325_5x10) { +values( " 14.88, 15.07, 15.29, 15.43, 15.46", \ + " 14.59, 14.74, 14.99, 15.23, 15.35", \ + " 14.71, 14.77, 14.95, 15.17, 15.30", \ + " 15.05, 15.00, 15.03, 15.17, 15.28", \ + " 15.90, 15.69, 15.45, 15.36, 15.36", \ + " 17.44, 17.01, 16.41, 15.93, 15.68", \ + " 20.13, 19.41, 18.29, 17.22, 16.50", \ + " 24.51, 23.44, 21.64, 19.70, 18.22", \ + " 31.63, 30.15, 27.47, 24.29, 21.66", \ + " 42.98, 41.06, 37.33, 32.43, 28.06" ); }} +timing() { /* ring osc delay oai31v0x2, path a1 to z 94.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.5 ; */ +/* intrinsic_fall : 73.5 ; */ +/* rise_resistance : 1.57 ; */ +/* fall_resistance : 1.14 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.1, 89.4, 121.3, 191.9, 316.5, 580.7", \ + " 84.0, 96.5, 128.6, 199.4, 324.1, 588.3", \ + " 88.7, 101.1, 133.3, 204.2, 329.0, 593.3", \ + " 94.2, 106.7, 138.9, 209.8, 334.7, 599.0", \ + " 102.6, 115.4, 147.6, 218.5, 343.5, 607.9", \ + " 110.6, 124.6, 158.9, 229.8, 354.7, 619.2", \ + " 120.0, 135.2, 172.1, 246.4, 371.0, 635.2", \ + " 132.0, 148.7, 188.6, 268.1, 395.4, 658.8", \ + " 148.5, 167.3, 211.4, 296.6, 431.6, 695.6", \ + " 172.4, 193.8, 243.4, 336.6, 480.1, 754.4" ); } +rise_transition(x2_325_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 135.4, 168.5, 258.2, 462.3, 826.7, 1601.8", \ + " 135.8, 168.8, 258.4, 462.3, 826.7, 1601.8", \ + " 136.4, 169.3, 258.7, 462.4, 826.7, 1601.8", \ + " 139.2, 171.6, 260.1, 462.9, 826.8, 1601.8", \ + " 146.1, 177.9, 265.4, 466.5, 828.0, 1601.9", \ + " 159.0, 189.6, 275.4, 474.3, 833.5, 1603.4", \ + " 185.7, 214.3, 295.5, 490.5, 845.7, 1610.6", \ + " 224.1, 254.6, 335.7, 522.2, 870.4, 1627.2", \ + " 289.6, 319.5, 401.3, 583.4, 919.5, 1662.4", \ + " 398.8, 427.5, 506.9, 689.7, 1011.9, 1733.1" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.1, 70.1, 94.6, 146.4, 234.9, 419.8", \ + " 65.3, 75.3, 99.9, 151.7, 240.3, 425.2", \ + " 68.8, 78.8, 103.5, 155.4, 244.0, 429.0", \ + " 73.6, 83.4, 108.2, 160.2, 248.8, 433.9", \ + " 81.2, 91.6, 116.5, 168.5, 257.2, 442.3", \ + " 89.7, 101.0, 127.8, 180.6, 269.4, 454.5", \ + " 99.2, 111.8, 141.5, 198.5, 288.1, 473.0", \ + " 109.1, 123.4, 156.9, 220.1, 315.7, 500.8", \ + " 119.1, 135.5, 173.9, 245.4, 351.0, 543.3", \ + " 128.6, 147.4, 191.6, 273.8, 393.1, 604.0" ); } +fall_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 108.9, 130.2, 189.0, 322.8, 561.3, 1069.3", \ + " 109.1, 130.3, 189.0, 322.7, 561.3, 1069.3", \ + " 111.4, 132.4, 190.3, 323.1, 561.4, 1069.4", \ + " 116.2, 136.7, 193.8, 325.2, 562.0, 1069.4", \ + " 127.8, 147.6, 202.9, 331.7, 565.7, 1070.0", \ + " 149.3, 167.9, 220.7, 345.4, 575.0, 1074.2", \ + " 180.5, 201.2, 253.7, 372.8, 595.5, 1086.3", \ + " 226.5, 248.5, 304.3, 421.2, 634.7, 1113.1", \ + " 295.2, 318.6, 377.4, 499.7, 705.5, 1166.6", \ + " 396.4, 421.8, 484.9, 613.9, 825.0, 1266.1" ); }} +timing() { /* ring osc delay oai31v0x2, path a2 to z 83.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.9 ; */ +/* intrinsic_fall : 64.9 ; */ +/* rise_resistance : 1.57 ; */ +/* fall_resistance : 1.11 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.5, 80.8, 112.7, 183.3, 307.9, 572.0", \ + " 74.4, 86.8, 118.9, 189.6, 314.3, 578.4", \ + " 78.9, 91.3, 123.4, 194.2, 318.9, 583.2", \ + " 84.6, 97.1, 129.2, 200.0, 324.8, 589.1", \ + " 93.2, 106.8, 139.1, 209.9, 334.7, 599.0", \ + " 102.3, 117.3, 153.3, 224.3, 349.0, 613.2", \ + " 115.1, 131.5, 170.7, 246.7, 371.0, 634.9", \ + " 133.1, 151.2, 194.0, 276.8, 404.5, 667.5", \ + " 158.9, 179.1, 226.4, 316.6, 454.5, 718.0", \ + " 194.8, 217.9, 271.3, 370.9, 521.1, 797.0" ); } +rise_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 131.1, 163.3, 250.7, 449.5, 804.3, 1558.9", \ + " 131.4, 163.6, 250.9, 449.5, 804.3, 1558.9", \ + " 133.5, 165.0, 251.5, 449.7, 804.3, 1558.9", \ + " 138.4, 169.6, 255.0, 450.8, 804.5, 1558.9", \ + " 149.1, 179.5, 263.3, 456.9, 806.7, 1558.9", \ + " 169.3, 197.8, 278.8, 468.7, 814.5, 1560.5", \ + " 205.4, 233.2, 308.2, 492.0, 831.3, 1569.3", \ + " 249.7, 281.2, 360.5, 534.0, 863.8, 1590.1", \ + " 319.2, 350.9, 433.8, 607.4, 924.0, 1633.1", \ + " 419.8, 453.0, 538.5, 722.3, 1029.1, 1715.8" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.9, 61.9, 84.7, 134.3, 221.1, 404.6", \ + " 58.1, 67.3, 90.5, 140.4, 227.4, 411.0", \ + " 61.5, 70.7, 94.0, 144.1, 231.3, 415.0", \ + " 65.8, 75.2, 98.6, 148.9, 236.2, 420.0", \ + " 71.6, 82.0, 106.7, 157.1, 244.5, 428.5", \ + " 77.8, 89.4, 116.5, 169.1, 256.6, 440.6", \ + " 84.2, 97.4, 128.1, 185.9, 275.2, 459.1", \ + " 89.8, 105.2, 140.5, 205.5, 302.2, 486.7", \ + " 93.9, 111.8, 153.1, 227.7, 335.5, 529.1", \ + " 94.8, 115.8, 164.1, 251.3, 374.4, 588.2" ); } +fall_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.1, 99.2, 158.5, 292.9, 532.2, 1040.9", \ + " 77.5, 99.4, 158.6, 292.9, 532.2, 1040.9", \ + " 80.2, 101.6, 159.9, 293.2, 532.2, 1040.9", \ + " 85.4, 106.3, 163.6, 295.3, 532.5, 1040.9", \ + " 98.4, 118.0, 172.9, 301.9, 536.3, 1041.2", \ + " 119.3, 139.6, 191.5, 315.7, 545.7, 1045.5", \ + " 149.4, 171.0, 225.4, 343.3, 566.1, 1057.5", \ + " 193.7, 216.7, 274.0, 392.5, 605.2, 1084.2", \ + " 259.2, 284.0, 344.9, 469.5, 676.2, 1137.4", \ + " 354.6, 382.3, 448.6, 580.8, 795.0, 1236.7" ); }} +timing() { /* ring osc delay oai31v0x2, path a3 to z 61.3 */ +related_pin : "a3" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 60.0 ; */ +/* intrinsic_fall : 49.6 ; */ +/* rise_resistance : 1.57 ; */ +/* fall_resistance : 1.11 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.6, 60.1, 92.3, 163.1, 287.8, 552.0", \ + " 51.8, 64.3, 96.7, 167.9, 292.8, 557.1", \ + " 56.5, 68.7, 100.9, 171.9, 296.9, 561.3", \ + " 64.0, 75.5, 107.0, 177.8, 302.6, 567.0", \ + " 74.0, 87.5, 119.0, 188.8, 313.2, 577.3", \ + " 86.6, 101.6, 136.7, 205.7, 329.1, 592.5", \ + " 103.4, 119.9, 159.0, 232.8, 354.3, 616.2", \ + " 125.1, 143.5, 186.9, 269.6, 393.4, 652.5", \ + " 154.0, 175.0, 223.6, 315.7, 453.0, 709.7", \ + " 192.9, 217.2, 272.7, 375.7, 529.6, 800.6" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 123.7, 156.0, 242.7, 438.2, 785.4, 1522.7", \ + " 125.3, 156.5, 241.7, 437.7, 785.3, 1522.7", \ + " 130.8, 161.0, 244.3, 437.2, 785.2, 1522.7", \ + " 139.8, 168.9, 249.9, 439.5, 784.7, 1522.7", \ + " 157.5, 185.4, 263.1, 447.7, 787.0, 1522.6", \ + " 185.8, 211.4, 285.9, 464.4, 796.7, 1523.0", \ + " 220.7, 255.1, 323.9, 495.5, 818.6, 1532.7", \ + " 260.5, 297.0, 384.0, 548.1, 860.1, 1558.5", \ + " 323.5, 361.8, 455.1, 632.6, 934.1, 1611.6", \ + " 418.5, 459.7, 558.6, 755.6, 1057.6, 1712.1" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.9, 47.0, 69.6, 118.7, 205.1, 388.2", \ + " 42.9, 52.1, 75.4, 125.3, 212.2, 395.5", \ + " 46.3, 55.6, 78.9, 129.1, 216.2, 399.7", \ + " 49.1, 59.7, 83.5, 133.8, 221.1, 404.8", \ + " 52.5, 64.3, 91.1, 141.9, 229.4, 413.4", \ + " 55.2, 68.9, 99.0, 153.9, 241.4, 425.5", \ + " 56.8, 72.9, 107.7, 169.0, 259.9, 443.9", \ + " 56.2, 75.3, 116.1, 186.0, 285.9, 471.3", \ + " 52.0, 74.5, 122.8, 204.4, 316.7, 513.4", \ + " 42.1, 68.5, 125.7, 222.2, 351.9, 570.4" ); } +fall_transition(x2_325_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 47.6, 69.9, 129.5, 264.0, 503.3, 1011.9", \ + " 48.4, 70.2, 129.6, 264.1, 503.3, 1011.9", \ + " 52.3, 73.2, 131.0, 264.2, 503.3, 1011.9", \ + " 59.4, 78.9, 135.1, 266.4, 503.5, 1011.9", \ + " 74.3, 92.8, 145.4, 273.3, 507.3, 1012.0", \ + " 92.5, 113.6, 165.2, 287.5, 516.8, 1016.3", \ + " 121.2, 143.1, 199.0, 315.6, 537.4, 1028.3", \ + " 164.7, 187.7, 245.5, 365.8, 576.7, 1054.9", \ + " 230.1, 254.7, 315.3, 441.0, 647.9, 1108.2", \ + " 327.0, 353.6, 419.3, 550.6, 766.7, 1207.6" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 44.1 */ +related_pin : "b" ; +when : "(a1'*a2'*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.4, 41.1, 71.3, 138.3, 256.7, 508.0", \ + " 37.2, 48.5, 78.8, 146.0, 264.6, 516.0", \ + " 43.6, 54.8, 84.8, 151.9, 270.5, 522.0", \ + " 50.7, 63.6, 93.1, 159.9, 278.5, 530.0", \ + " 61.2, 76.5, 108.5, 174.5, 292.8, 544.1", \ + " 74.3, 91.8, 129.6, 196.2, 313.7, 564.5", \ + " 91.8, 111.9, 155.7, 230.0, 346.0, 595.8", \ + " 115.0, 138.2, 188.3, 275.3, 394.8, 642.7", \ + " 146.6, 173.6, 231.2, 331.7, 469.1, 714.9", \ + " 190.3, 222.0, 289.1, 404.7, 565.5, 827.3" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.6, 92.2, 176.4, 366.0, 702.9, 1419.0", \ + " 65.8, 95.3, 177.0, 366.0, 702.9, 1419.0", \ + " 72.4, 100.8, 180.3, 366.1, 702.9, 1419.0", \ + " 82.4, 109.5, 186.7, 368.9, 702.9, 1419.0", \ + " 101.8, 127.0, 200.7, 377.8, 705.4, 1419.0", \ + " 125.8, 154.3, 224.1, 395.3, 715.7, 1419.6", \ + " 156.9, 190.5, 262.9, 426.9, 738.4, 1429.1", \ + " 199.5, 237.0, 321.0, 479.7, 780.3, 1455.3", \ + " 260.3, 301.7, 396.7, 565.3, 853.9, 1509.2", \ + " 348.5, 394.3, 500.1, 694.1, 978.2, 1609.1" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 30.8, 52.5, 101.1, 187.2, 370.2", \ + " 28.6, 36.8, 58.6, 107.3, 193.5, 376.4", \ + " 31.5, 41.4, 63.3, 112.0, 198.2, 381.2", \ + " 34.0, 45.6, 69.8, 118.4, 204.5, 387.5", \ + " 36.2, 50.2, 79.5, 129.7, 215.8, 398.7", \ + " 37.0, 53.6, 88.5, 146.1, 232.0, 414.7", \ + " 35.5, 55.2, 96.5, 165.3, 256.8, 439.0", \ + " 30.3, 53.4, 102.1, 183.6, 291.1, 475.1", \ + " 18.8, 46.1, 103.5, 199.6, 327.7, 530.0", \ + " -3.0, 29.2, 97.1, 210.7, 362.5, 603.5" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.6, 67.6, 126.2, 257.9, 491.8, 988.8", \ + " 52.6, 72.7, 128.3, 257.7, 491.8, 988.8", \ + " 60.1, 79.4, 133.1, 259.7, 491.7, 988.8", \ + " 71.7, 89.6, 141.6, 264.9, 492.9, 988.8", \ + " 85.6, 108.7, 158.8, 277.8, 500.0, 989.0", \ + " 105.4, 130.2, 185.7, 300.7, 516.1, 995.2", \ + " 134.4, 161.1, 223.1, 339.2, 547.5, 1014.1", \ + " 175.1, 204.7, 271.8, 398.8, 601.4, 1053.4", \ + " 233.2, 266.8, 340.7, 479.6, 688.5, 1126.6", \ + " 317.3, 355.7, 439.5, 591.5, 820.7, 1253.0" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 63.4 */ +related_pin : "b" ; +when : "(a1'*a2*a3')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 44.0, 74.9, 143.3, 263.8, 518.6", \ + " 39.9, 51.5, 82.4, 151.0, 271.8, 526.6", \ + " 46.5, 57.8, 88.4, 156.8, 277.7, 532.6", \ + " 54.5, 66.7, 96.7, 164.9, 285.6, 540.6", \ + " 66.1, 80.7, 112.1, 179.5, 299.9, 554.7", \ + " 80.7, 97.4, 134.1, 201.2, 320.8, 575.1", \ + " 100.3, 119.2, 161.8, 235.0, 353.1, 606.4", \ + " 126.7, 148.0, 196.4, 282.1, 402.0, 653.3", \ + " 163.4, 187.4, 242.2, 341.0, 477.2, 725.5", \ + " 215.8, 242.3, 304.5, 417.4, 576.7, 837.9" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 130.0, 160.8, 243.8, 432.0, 768.2, 1483.6", \ + " 135.1, 163.9, 244.3, 432.0, 768.2, 1483.6", \ + " 141.7, 169.4, 247.6, 432.2, 768.2, 1483.6", \ + " 151.4, 178.0, 254.0, 434.9, 768.2, 1483.6", \ + " 169.6, 195.1, 267.9, 443.9, 770.7, 1483.6", \ + " 197.2, 221.5, 291.2, 461.2, 780.9, 1484.2", \ + " 240.2, 263.1, 329.6, 492.8, 803.6, 1493.7", \ + " 294.3, 322.1, 389.2, 545.4, 845.4, 1519.9", \ + " 367.0, 398.5, 476.7, 631.2, 918.9, 1573.7", \ + " 468.4, 503.5, 592.2, 765.7, 1043.2, 1673.6" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.9, 45.8, 68.6, 118.1, 205.0, 388.4", \ + " 43.0, 51.9, 74.7, 124.4, 211.2, 394.7", \ + " 48.1, 56.7, 79.5, 129.1, 215.9, 399.4", \ + " 54.1, 63.5, 85.9, 135.5, 222.3, 405.8", \ + " 61.2, 72.4, 97.5, 146.8, 233.5, 416.9", \ + " 67.3, 80.6, 110.4, 163.2, 249.7, 432.9", \ + " 72.0, 87.6, 123.0, 185.7, 274.4, 457.2", \ + " 74.0, 92.2, 133.8, 208.2, 310.3, 493.3", \ + " 71.1, 92.5, 141.3, 229.1, 350.7, 548.1", \ + " 59.6, 84.7, 142.2, 245.8, 390.1, 624.2" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 74.2, 96.2, 154.8, 286.4, 520.4, 1017.6", \ + " 77.7, 98.6, 155.4, 286.1, 520.3, 1017.6", \ + " 83.3, 103.6, 159.0, 287.2, 520.3, 1017.6", \ + " 92.2, 112.0, 166.0, 291.4, 520.9, 1017.6", \ + " 110.4, 129.0, 181.3, 302.7, 526.9, 1017.6", \ + " 131.2, 154.3, 206.2, 323.9, 541.8, 1023.0", \ + " 160.6, 186.0, 245.5, 360.8, 571.5, 1040.8", \ + " 202.2, 230.1, 295.5, 418.7, 623.8, 1078.7", \ + " 262.0, 293.3, 365.2, 502.0, 709.5, 1150.3", \ + " 348.0, 384.1, 464.9, 615.2, 842.2, 1275.2" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 39.8 */ +related_pin : "b" ; +when : "(a1'*a2*a3)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 40.7, 71.0, 138.0, 256.4, 507.7", \ + " 36.9, 48.2, 78.3, 145.5, 264.2, 515.6", \ + " 43.4, 54.6, 84.3, 151.4, 270.0, 521.5", \ + " 50.6, 63.5, 92.8, 159.5, 278.0, 529.5", \ + " 61.4, 76.5, 108.4, 174.2, 292.3, 543.6", \ + " 75.0, 92.3, 129.8, 196.1, 313.4, 564.1", \ + " 93.3, 113.1, 156.4, 230.2, 345.9, 595.5", \ + " 117.8, 140.5, 189.9, 276.3, 395.3, 642.7", \ + " 151.4, 177.8, 234.4, 333.9, 470.4, 715.5", \ + " 198.5, 229.3, 294.9, 409.0, 568.4, 828.9" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.6, 92.2, 176.4, 366.0, 702.9, 1419.0", \ + " 66.3, 95.7, 177.1, 366.0, 702.9, 1419.0", \ + " 72.9, 101.2, 180.6, 366.2, 702.9, 1419.0", \ + " 82.7, 109.9, 187.1, 369.1, 702.9, 1419.0", \ + " 101.5, 126.9, 201.0, 378.1, 705.6, 1419.0", \ + " 124.4, 153.3, 223.8, 395.4, 715.9, 1419.6", \ + " 153.9, 187.9, 261.2, 426.3, 738.4, 1429.2", \ + " 193.9, 231.9, 317.0, 477.5, 779.5, 1455.3", \ + " 251.1, 292.7, 389.0, 559.9, 851.1, 1508.3", \ + " 334.1, 379.8, 486.6, 683.1, 970.9, 1605.8" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.0, 25.3, 42.0, 79.3, 145.6, 286.2", \ + " 25.1, 32.1, 48.9, 86.2, 152.5, 293.2", \ + " 27.7, 36.4, 54.2, 91.5, 157.8, 298.5", \ + " 29.7, 40.1, 61.1, 98.6, 164.8, 305.5", \ + " 31.2, 43.9, 69.8, 111.2, 177.3, 317.9", \ + " 31.0, 46.1, 77.4, 127.7, 195.3, 335.7", \ + " 28.0, 46.1, 83.6, 144.7, 222.5, 362.6", \ + " 20.7, 42.2, 86.8, 160.1, 254.6, 402.5", \ + " 6.1, 31.6, 84.8, 172.4, 286.5, 461.3", \ + " -20.2, 10.0, 73.3, 178.0, 315.1, 527.8" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.7, 48.9, 93.0, 192.2, 368.5, 743.1", \ + " 41.2, 55.7, 96.6, 192.8, 368.5, 743.1", \ + " 49.8, 63.4, 102.5, 196.0, 368.7, 743.1", \ + " 59.8, 74.8, 112.2, 202.7, 371.7, 743.1", \ + " 74.7, 92.8, 131.4, 217.8, 381.5, 745.2", \ + " 95.0, 115.0, 159.7, 243.7, 401.0, 755.3", \ + " 123.6, 146.2, 196.3, 285.8, 437.1, 779.6", \ + " 163.2, 189.0, 245.1, 346.6, 496.8, 826.1", \ + " 219.1, 249.1, 313.1, 427.1, 590.8, 909.2", \ + " 299.5, 334.7, 409.2, 537.9, 723.1, 1047.7" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 79.0 */ +related_pin : "b" ; +when : "(a1*a2'*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.1, 44.0, 74.9, 143.3, 263.9, 518.9", \ + " 39.9, 51.5, 82.4, 151.0, 271.8, 526.9", \ + " 46.5, 57.8, 88.4, 156.9, 277.7, 532.9", \ + " 54.5, 66.8, 96.7, 164.9, 285.7, 540.9", \ + " 66.1, 80.7, 112.1, 179.5, 300.0, 555.0", \ + " 80.7, 97.4, 134.1, 201.2, 320.9, 575.4", \ + " 100.3, 119.3, 161.8, 235.0, 353.2, 606.7", \ + " 126.7, 148.0, 196.4, 282.2, 402.0, 653.5", \ + " 163.5, 187.4, 242.2, 341.1, 477.3, 725.7", \ + " 216.0, 242.5, 304.6, 417.5, 576.8, 838.2" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 206.7, 236.9, 317.9, 503.1, 836.5, 1549.8", \ + " 211.8, 239.9, 318.5, 503.1, 836.5, 1549.8", \ + " 218.4, 245.4, 321.7, 503.2, 836.5, 1549.8", \ + " 228.1, 254.1, 328.1, 506.0, 836.5, 1549.8", \ + " 246.2, 271.1, 342.1, 514.9, 839.0, 1549.8", \ + " 272.9, 297.0, 365.3, 532.3, 849.2, 1550.4", \ + " 313.9, 337.2, 403.2, 563.8, 871.9, 1559.8", \ + " 376.5, 397.8, 461.5, 616.3, 913.7, 1586.1", \ + " 460.2, 486.1, 552.2, 701.3, 987.2, 1639.9", \ + " 573.2, 602.7, 679.5, 836.0, 1111.1, 1739.7" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 54.8, 79.5, 131.2, 219.8, 404.7", \ + " 51.1, 61.0, 85.7, 137.5, 226.1, 411.0", \ + " 56.2, 65.9, 90.4, 142.2, 230.8, 415.7", \ + " 63.8, 72.8, 96.9, 148.6, 237.1, 422.1", \ + " 74.1, 84.7, 108.7, 159.9, 248.3, 433.2", \ + " 84.1, 96.4, 124.6, 176.4, 264.5, 449.2", \ + " 93.4, 107.8, 141.0, 200.8, 289.2, 473.5", \ + " 100.6, 117.3, 156.2, 227.0, 325.8, 509.5", \ + " 104.0, 123.4, 168.8, 252.3, 369.7, 564.3", \ + " 100.1, 122.7, 175.8, 274.0, 413.5, 642.6" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.4, 124.3, 183.2, 315.0, 548.8, 1045.7", \ + " 104.9, 125.7, 183.0, 314.5, 548.7, 1045.7", \ + " 109.5, 129.8, 185.9, 314.9, 548.6, 1045.7", \ + " 116.9, 137.0, 191.8, 318.3, 548.7, 1045.7", \ + " 131.8, 151.9, 205.5, 328.5, 553.8, 1045.5", \ + " 156.3, 176.1, 228.4, 348.0, 567.5, 1050.0", \ + " 185.7, 209.9, 266.3, 383.1, 595.7, 1066.8", \ + " 227.4, 254.3, 318.2, 439.1, 646.2, 1103.4", \ + " 288.0, 317.8, 388.4, 523.4, 730.2, 1173.3", \ + " 376.0, 409.9, 488.5, 637.5, 862.5, 1296.5" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 39.8 */ +related_pin : "b" ; +when : "(a1*a2'*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 40.7, 71.0, 138.0, 256.4, 507.7", \ + " 36.9, 48.2, 78.3, 145.5, 264.2, 515.6", \ + " 43.4, 54.6, 84.3, 151.4, 270.0, 521.5", \ + " 50.6, 63.5, 92.8, 159.5, 278.0, 529.5", \ + " 61.4, 76.5, 108.4, 174.2, 292.3, 543.6", \ + " 75.0, 92.3, 129.8, 196.1, 313.4, 564.1", \ + " 93.3, 113.1, 156.4, 230.2, 345.9, 595.5", \ + " 117.8, 140.5, 189.9, 276.3, 395.3, 642.7", \ + " 151.4, 177.8, 234.4, 333.9, 470.4, 715.5", \ + " 198.5, 229.3, 294.9, 409.0, 568.4, 828.9" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.6, 92.2, 176.4, 366.0, 702.9, 1419.0", \ + " 66.3, 95.7, 177.1, 366.0, 702.9, 1419.0", \ + " 72.9, 101.2, 180.6, 366.2, 702.9, 1419.0", \ + " 82.7, 109.9, 187.1, 369.1, 702.9, 1419.0", \ + " 101.5, 126.9, 201.0, 378.1, 705.6, 1419.0", \ + " 124.4, 153.3, 223.8, 395.4, 715.9, 1419.6", \ + " 153.9, 187.9, 261.2, 426.3, 738.4, 1429.2", \ + " 193.9, 231.9, 317.0, 477.5, 779.5, 1455.3", \ + " 251.1, 292.7, 389.0, 559.9, 851.1, 1508.3", \ + " 334.1, 379.8, 486.6, 683.1, 970.9, 1605.8" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.0, 25.3, 42.0, 79.3, 145.6, 286.2", \ + " 25.1, 32.1, 48.9, 86.2, 152.5, 293.2", \ + " 27.7, 36.4, 54.2, 91.5, 157.8, 298.5", \ + " 29.7, 40.1, 61.1, 98.6, 164.8, 305.5", \ + " 31.2, 43.9, 69.8, 111.2, 177.3, 317.9", \ + " 31.0, 46.1, 77.4, 127.7, 195.3, 335.7", \ + " 28.0, 46.1, 83.6, 144.7, 222.5, 362.6", \ + " 20.7, 42.2, 86.8, 160.1, 254.6, 402.5", \ + " 6.1, 31.6, 84.8, 172.4, 286.5, 461.3", \ + " -20.2, 10.0, 73.3, 178.0, 315.1, 527.8" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 32.7, 48.9, 93.0, 192.2, 368.5, 743.1", \ + " 41.2, 55.7, 96.6, 192.8, 368.5, 743.1", \ + " 49.8, 63.4, 102.5, 196.0, 368.7, 743.1", \ + " 59.8, 74.8, 112.2, 202.7, 371.7, 743.1", \ + " 74.7, 92.8, 131.4, 217.8, 381.5, 745.2", \ + " 95.0, 115.0, 159.7, 243.7, 401.0, 755.3", \ + " 123.6, 146.2, 196.3, 285.8, 437.1, 779.6", \ + " 163.2, 189.0, 245.1, 346.6, 496.8, 826.1", \ + " 219.1, 249.1, 313.1, 427.1, 590.8, 909.2", \ + " 299.5, 334.7, 409.2, 537.9, 723.1, 1047.7" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 56.9 */ +related_pin : "b" ; +when : "(a1*a2*a3')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.9, 43.8, 74.8, 143.3, 264.1, 519.4", \ + " 39.7, 51.3, 82.1, 150.9, 271.9, 527.3", \ + " 46.5, 57.7, 88.2, 156.7, 277.8, 533.2", \ + " 54.6, 66.8, 96.6, 164.8, 285.8, 541.2", \ + " 66.6, 81.0, 112.2, 179.5, 300.1, 555.3", \ + " 81.7, 98.2, 134.6, 201.5, 321.1, 575.8", \ + " 102.2, 120.8, 162.9, 235.7, 353.7, 607.2", \ + " 130.0, 150.8, 198.6, 283.6, 403.0, 654.4", \ + " 169.0, 192.2, 246.0, 343.9, 479.1, 727.2", \ + " 225.0, 250.6, 311.2, 422.6, 580.5, 840.6" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 132.7, 163.5, 246.4, 434.5, 770.5, 1485.9", \ + " 138.2, 166.9, 247.0, 434.5, 770.5, 1485.9", \ + " 144.7, 172.4, 250.4, 434.7, 770.5, 1485.9", \ + " 154.3, 181.0, 256.9, 437.6, 770.5, 1485.9", \ + " 171.9, 197.7, 270.8, 446.6, 773.2, 1485.9", \ + " 198.4, 223.1, 293.4, 463.9, 783.5, 1486.5", \ + " 239.8, 262.9, 330.4, 494.7, 806.0, 1496.1", \ + " 291.6, 319.7, 387.6, 545.7, 847.0, 1522.2", \ + " 360.6, 392.6, 471.6, 628.2, 918.4, 1575.2", \ + " 456.4, 491.9, 581.5, 757.2, 1038.3, 1672.6" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.2, 36.2, 53.9, 92.1, 159.0, 300.1", \ + " 36.3, 43.1, 60.8, 99.1, 166.0, 307.1", \ + " 41.8, 48.6, 66.1, 104.3, 171.2, 312.4", \ + " 47.2, 55.3, 73.3, 111.4, 178.3, 319.4", \ + " 53.3, 63.2, 85.1, 124.0, 190.7, 331.8", \ + " 58.2, 70.1, 96.5, 141.9, 208.7, 349.6", \ + " 61.2, 75.4, 107.1, 162.4, 235.9, 376.5", \ + " 60.9, 77.6, 115.4, 181.8, 271.0, 416.3", \ + " 54.6, 74.4, 119.3, 198.7, 306.6, 475.9", \ + " 38.1, 61.6, 114.9, 209.8, 339.6, 545.7" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.6, 70.3, 114.6, 213.8, 390.2, 764.9", \ + " 58.9, 74.4, 116.5, 213.9, 390.1, 764.9", \ + " 65.4, 80.4, 121.3, 216.3, 390.2, 764.9", \ + " 75.5, 90.0, 129.6, 222.0, 392.5, 764.9", \ + " 93.2, 109.2, 146.9, 235.7, 401.2, 766.4", \ + " 114.6, 133.1, 174.5, 260.0, 419.6, 775.8", \ + " 144.4, 165.4, 213.2, 300.7, 454.1, 799.1", \ + " 185.5, 209.4, 263.4, 362.2, 512.4, 844.3", \ + " 243.6, 271.2, 332.6, 444.3, 605.2, 925.9", \ + " 326.4, 359.0, 430.1, 556.5, 739.4, 1063.1" ); }} +timing() { /* ring osc delay oai31v0x2, path b to z 38.5 */ +related_pin : "b" ; +when : "(a1*a2*a3)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1) && (a3 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 40.5, 70.8, 137.8, 256.2, 507.5", \ + " 36.7, 48.0, 78.1, 145.3, 263.9, 515.4", \ + " 43.3, 54.5, 84.2, 151.2, 269.8, 521.3", \ + " 50.6, 63.4, 92.7, 159.3, 277.8, 529.3", \ + " 61.5, 76.6, 108.4, 174.1, 292.1, 543.4", \ + " 75.2, 92.5, 129.8, 196.1, 313.2, 563.9", \ + " 93.8, 113.5, 156.7, 230.3, 345.9, 595.4", \ + " 118.7, 141.3, 190.5, 276.6, 395.5, 642.7", \ + " 153.1, 179.2, 235.5, 334.7, 470.9, 715.8", \ + " 201.3, 231.8, 297.0, 410.5, 569.5, 829.5" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.6, 92.2, 176.4, 366.0, 702.9, 1419.0", \ + " 66.4, 95.8, 177.2, 365.9, 702.9, 1419.0", \ + " 73.0, 101.4, 180.7, 366.2, 702.9, 1419.0", \ + " 82.7, 110.0, 187.2, 369.2, 702.9, 1419.0", \ + " 101.3, 126.9, 201.0, 378.3, 705.6, 1419.0", \ + " 123.9, 152.8, 223.6, 395.5, 716.0, 1419.6", \ + " 152.7, 186.8, 260.5, 426.1, 738.4, 1429.2", \ + " 191.8, 230.0, 315.4, 476.6, 779.2, 1455.3", \ + " 247.7, 289.4, 386.1, 557.8, 849.9, 1508.0", \ + " 328.9, 374.5, 481.6, 679.0, 968.2, 1604.6" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 17.8, 23.6, 38.8, 72.9, 133.4, 261.8", \ + " 24.0, 30.7, 45.9, 80.0, 140.5, 269.0", \ + " 26.4, 34.7, 51.4, 85.5, 146.0, 274.4", \ + " 28.2, 38.2, 58.2, 92.8, 153.2, 281.7", \ + " 29.4, 41.6, 66.5, 105.7, 166.0, 294.4", \ + " 28.9, 43.5, 73.7, 121.8, 184.5, 312.7", \ + " 25.4, 43.0, 79.2, 137.9, 212.0, 340.3", \ + " 17.3, 38.2, 81.6, 152.3, 242.8, 381.2", \ + " 1.6, 26.5, 78.3, 163.1, 272.8, 439.8", \ + " -26.3, 3.3, 65.1, 166.7, 299.1, 503.1" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 29.2, 43.6, 83.2, 172.5, 331.3, 668.8", \ + " 38.0, 50.9, 87.3, 173.5, 331.3, 668.8", \ + " 47.0, 58.8, 93.6, 177.1, 331.9, 668.8", \ + " 56.5, 70.7, 103.7, 184.2, 335.5, 668.8", \ + " 71.6, 88.1, 123.5, 200.1, 346.1, 671.8", \ + " 91.8, 110.5, 151.5, 226.8, 366.7, 683.3", \ + " 120.2, 141.5, 188.1, 270.1, 404.1, 709.3", \ + " 159.2, 183.9, 236.8, 330.6, 465.6, 758.0", \ + " 214.3, 243.3, 304.2, 410.7, 561.3, 844.1", \ + " 293.5, 327.6, 399.1, 520.7, 692.7, 986.3" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 42.8 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 0.95 ; */ +cell_rise(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.4, 41.1, 71.3, 138.3, 256.7, 508.0", \ + " 37.2, 48.5, 78.8, 146.0, 264.6, 516.0", \ + " 43.6, 54.8, 84.8, 151.9, 270.5, 522.0", \ + " 50.7, 63.6, 93.1, 159.9, 278.5, 530.0", \ + " 61.2, 76.5, 108.5, 174.5, 292.8, 544.1", \ + " 74.3, 91.8, 129.6, 196.2, 313.7, 564.5", \ + " 91.8, 111.9, 155.7, 230.0, 346.0, 595.8", \ + " 115.0, 138.2, 188.3, 275.3, 394.8, 642.7", \ + " 146.6, 173.6, 231.2, 331.7, 469.1, 714.9", \ + " 190.3, 222.0, 289.1, 404.7, 565.5, 827.3" ); } +rise_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 60.6, 92.2, 176.4, 366.0, 702.9, 1419.0", \ + " 65.8, 95.3, 177.0, 366.0, 702.9, 1419.0", \ + " 72.4, 100.8, 180.3, 366.1, 702.9, 1419.0", \ + " 82.4, 109.5, 186.7, 368.9, 702.9, 1419.0", \ + " 101.8, 127.0, 200.7, 377.8, 705.4, 1419.0", \ + " 125.8, 154.3, 224.1, 395.3, 715.7, 1419.6", \ + " 156.9, 190.5, 262.9, 426.9, 738.4, 1429.1", \ + " 199.5, 237.0, 321.0, 479.7, 780.3, 1455.3", \ + " 260.3, 301.7, 396.7, 565.3, 853.9, 1509.2", \ + " 348.5, 394.3, 500.1, 694.1, 978.2, 1609.1" ); } +cell_fall(x2_325_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 30.8, 52.5, 101.1, 187.2, 370.2", \ + " 28.6, 36.8, 58.6, 107.3, 193.5, 376.4", \ + " 31.5, 41.4, 63.3, 112.0, 198.2, 381.2", \ + " 34.0, 45.6, 69.8, 118.4, 204.5, 387.5", \ + " 36.2, 50.2, 79.5, 129.7, 215.8, 398.7", \ + " 37.0, 53.6, 88.5, 146.1, 232.0, 414.7", \ + " 35.5, 55.2, 96.5, 165.3, 256.8, 439.0", \ + " 30.3, 53.4, 102.1, 183.6, 291.1, 475.1", \ + " 18.8, 46.1, 103.5, 199.6, 327.7, 530.0", \ + " -3.0, 29.2, 97.1, 210.7, 362.5, 603.5" ); } +fall_transition(x2_325_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.6, 67.6, 126.2, 257.9, 491.8, 988.8", \ + " 52.6, 72.7, 128.3, 257.7, 491.8, 988.8", \ + " 60.1, 79.4, 133.1, 259.7, 491.7, 988.8", \ + " 71.7, 89.6, 141.6, 264.9, 492.9, 988.8", \ + " 85.6, 108.7, 158.8, 277.8, 500.0, 989.0", \ + " 105.4, 130.2, 185.7, 300.7, 516.1, 995.2", \ + " 134.4, 161.1, 223.1, 339.2, 547.5, 1014.1", \ + " 175.1, 204.7, 271.8, 398.8, 601.4, 1053.4", \ + " 233.2, 266.8, 340.7, 479.6, 688.5, 1126.6", \ + " 317.3, 355.7, 439.5, 591.5, 820.7, 1253.0" ); }} +} +} +cell(oan21v0x05) { /* 2008-01-06:07h53 */ +area : 8 ; /* tracks */ +cell_leakage_power : 589 ; /* oan21v0x05 */ +cell_footprint : oan21 ; +pin(a1) { /* oan21v0x05 FO4 effort 2.20 */ +direction : input ; +capacitance : 2.59 ; +rise_capacitance : 2.60 ; +fall_capacitance : 2.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oan21v0x05 */ +} +pin(a2) { /* oan21v0x05 FO4 effort 2.08 */ +direction : input ; +capacitance : 2.69 ; +rise_capacitance : 2.88 ; +fall_capacitance : 2.51 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oan21v0x05 */ +} +pin(b) { /* oan21v0x05 FO4 effort 1.73 */ +direction : input ; +capacitance : 1.95 ; +rise_capacitance : 1.99 ; +fall_capacitance : 1.92 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oan21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "((a1+a2)*b)" ; +internal_power(a1_z_p) { /* oan21v0x05 15.29 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_113_5x10) { +values( " 7.77, 7.78, 7.84, 7.89, 7.90", \ + " 7.65, 7.67, 7.72, 7.77, 7.79", \ + " 7.61, 7.62, 7.68, 7.72, 7.74", \ + " 7.59, 7.59, 7.64, 7.69, 7.71", \ + " 7.64, 7.63, 7.66, 7.71, 7.73", \ + " 7.84, 7.80, 7.81, 7.85, 7.88", \ + " 8.31, 8.24, 8.22, 8.24, 8.26", \ + " 9.24, 9.13, 9.05, 9.05, 9.06", \ + " 10.90, 10.72, 10.59, 10.53, 10.52", \ + " 13.63, 13.38, 13.16, 13.04, 12.99" ); }} +internal_power(a2_z_p) { /* oan21v0x05 13.08 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_113_5x10) { +values( " 6.63, 6.67, 6.74, 6.78, 6.79", \ + " 6.49, 6.52, 6.59, 6.64, 6.65", \ + " 6.45, 6.48, 6.54, 6.59, 6.61", \ + " 6.47, 6.48, 6.54, 6.60, 6.61", \ + " 6.61, 6.60, 6.64, 6.69, 6.71", \ + " 6.94, 6.90, 6.91, 6.95, 6.98", \ + " 7.57, 7.49, 7.47, 7.49, 7.52", \ + " 8.68, 8.55, 8.47, 8.47, 8.48", \ + " 10.52, 10.33, 10.19, 10.13, 10.12", \ + " 13.50, 13.23, 12.99, 12.86, 12.81" ); }} +internal_power(b_z_p) { /* oan21v0x05 11.34 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 5.62, 5.67, 5.74, 5.78, 5.79", \ + " 5.53, 5.58, 5.65, 5.69, 5.70", \ + " 5.54, 5.57, 5.64, 5.69, 5.70", \ + " 5.59, 5.61, 5.67, 5.72, 5.74", \ + " 5.78, 5.77, 5.80, 5.85, 5.88", \ + " 6.12, 6.08, 6.08, 6.12, 6.15", \ + " 6.72, 6.63, 6.60, 6.61, 6.63", \ + " 7.69, 7.56, 7.47, 7.45, 7.46", \ + " 9.28, 9.08, 8.92, 8.85, 8.84", \ + " 11.82, 11.53, 11.27, 11.13, 11.07" ); }} +timing() { /* ring osc delay oan21v0x05, path a1 to z 102.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.8 ; */ +/* intrinsic_fall : 121.5 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 3.96 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.9, 96.1, 133.1, 211.7, 350.0, 643.4", \ + " 85.3, 101.4, 138.4, 217.0, 355.4, 648.7", \ + " 88.7, 104.8, 141.8, 220.4, 358.8, 652.1", \ + " 93.0, 109.1, 146.0, 224.6, 363.0, 656.4", \ + " 99.5, 115.8, 152.8, 231.4, 369.8, 663.2", \ + " 106.4, 123.0, 160.4, 239.1, 377.5, 670.8", \ + " 113.5, 130.5, 168.2, 247.2, 385.6, 679.0", \ + " 119.7, 137.5, 175.9, 254.8, 393.5, 686.8", \ + " 124.2, 142.8, 182.5, 262.0, 400.6, 694.2", \ + " 124.7, 144.7, 186.2, 267.2, 406.4, 700.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.7, 88.9, 179.1, 388.3, 765.4, 1570.3", \ + " 56.1, 89.2, 179.3, 388.3, 765.4, 1570.3", \ + " 58.1, 90.9, 180.3, 388.7, 765.5, 1570.3", \ + " 61.0, 93.9, 182.5, 389.9, 766.0, 1570.4", \ + " 65.3, 97.9, 185.7, 391.7, 766.9, 1570.8", \ + " 71.5, 103.9, 190.3, 394.6, 768.3, 1571.5", \ + " 80.1, 112.7, 197.9, 399.6, 771.3, 1572.9", \ + " 91.6, 124.9, 209.7, 408.9, 777.5, 1576.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.6, 114.0, 147.0, 209.9, 316.8, 542.7", \ + " 104.8, 121.1, 154.2, 217.0, 324.0, 549.8", \ + " 109.6, 126.0, 159.0, 221.9, 328.9, 554.7", \ + " 115.9, 132.2, 165.2, 228.1, 335.1, 560.9", \ + " 126.4, 142.8, 175.8, 238.8, 345.8, 571.6", \ + " 139.9, 156.8, 190.3, 253.4, 360.4, 586.2", \ + " 157.6, 175.3, 210.0, 273.8, 380.8, 606.7", \ + " 181.5, 200.1, 236.1, 300.9, 408.5, 634.3", \ + " 214.7, 234.4, 272.3, 338.4, 446.4, 672.6", \ + " 260.2, 281.5, 322.1, 390.5, 499.4, 726.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.1, 75.7, 136.3, 274.7, 528.2, 1075.5", \ + " 51.1, 75.7, 136.3, 274.7, 528.2, 1075.5", \ + " 51.1, 75.8, 136.4, 274.8, 528.2, 1075.5", \ + " 51.2, 75.9, 136.4, 274.8, 528.2, 1075.5", \ + " 52.4, 76.8, 137.0, 275.0, 528.3, 1075.5", \ + " 55.9, 80.2, 139.4, 276.1, 528.7, 1075.6", \ + " 60.3, 85.0, 144.1, 279.2, 530.3, 1076.0", \ + " 66.1, 91.2, 150.0, 283.7, 532.9, 1077.2", \ + " 74.1, 99.8, 158.3, 289.9, 536.9, 1079.0", \ + " 85.0, 111.6, 170.7, 300.1, 543.7, 1082.6" ); }} +timing() { /* ring osc delay oan21v0x05, path a2 to z 92.0 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.5 ; */ +/* intrinsic_fall : 113.1 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.96 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 85.6, 122.0, 200.1, 338.1, 631.2", \ + " 75.1, 90.8, 127.1, 205.3, 343.3, 636.4", \ + " 78.3, 94.0, 130.3, 208.5, 346.6, 639.7", \ + " 82.3, 97.9, 134.3, 212.4, 350.5, 643.6", \ + " 87.5, 103.5, 140.1, 218.2, 356.4, 649.5", \ + " 92.4, 108.9, 146.0, 224.3, 362.4, 655.5", \ + " 96.8, 113.9, 151.5, 230.2, 368.3, 661.4", \ + " 99.4, 117.4, 156.0, 234.9, 373.4, 666.4", \ + " 98.3, 117.5, 158.0, 238.1, 376.7, 670.0", \ + " 90.6, 111.3, 154.3, 237.1, 377.4, 671.0" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.2, 85.3, 176.1, 386.1, 763.9, 1569.2", \ + " 52.3, 85.4, 176.2, 386.1, 763.9, 1569.2", \ + " 52.4, 85.6, 176.2, 386.2, 763.9, 1569.2", \ + " 53.4, 86.3, 176.5, 386.2, 763.9, 1569.2", \ + " 56.3, 88.9, 178.2, 386.8, 764.0, 1569.2", \ + " 59.7, 92.3, 180.8, 388.3, 764.6, 1569.2", \ + " 64.8, 97.2, 184.8, 390.5, 765.7, 1569.7", \ + " 72.2, 104.7, 190.7, 394.4, 767.6, 1570.5", \ + " 82.2, 115.4, 200.9, 401.7, 772.1, 1572.4", \ + " 95.6, 129.9, 215.9, 415.0, 781.9, 1578.1" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.6, 106.0, 139.1, 201.9, 308.9, 534.7", \ + " 94.9, 111.3, 144.3, 207.2, 314.2, 540.0", \ + " 100.0, 116.4, 149.4, 212.3, 319.2, 545.1", \ + " 107.4, 123.7, 156.7, 219.7, 326.6, 552.5", \ + " 120.8, 137.1, 170.1, 233.1, 340.1, 566.0", \ + " 137.6, 154.7, 188.3, 251.4, 358.4, 584.3", \ + " 159.3, 176.9, 211.6, 275.7, 382.8, 608.6", \ + " 187.4, 205.9, 241.5, 306.3, 414.2, 640.0", \ + " 225.2, 245.0, 282.1, 347.5, 455.8, 682.4", \ + " 276.9, 298.2, 337.9, 404.8, 513.4, 740.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.0, 75.7, 136.3, 274.7, 528.2, 1075.5", \ + " 51.1, 75.7, 136.3, 274.7, 528.2, 1075.5", \ + " 51.1, 75.8, 136.4, 274.8, 528.2, 1075.5", \ + " 51.3, 76.0, 136.5, 274.8, 528.2, 1075.5", \ + " 53.1, 77.3, 137.3, 275.1, 528.4, 1075.6", \ + " 57.5, 81.7, 140.5, 276.7, 528.9, 1075.7", \ + " 62.6, 86.8, 145.6, 280.3, 531.0, 1076.1", \ + " 69.2, 93.3, 151.0, 284.7, 534.0, 1078.1", \ + " 78.4, 102.7, 159.0, 290.0, 537.7, 1080.4", \ + " 91.0, 116.0, 171.5, 298.8, 543.3, 1083.7" ); }} +timing() { /* ring osc delay oan21v0x05, path b to z 75.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 97.0 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 73.9, 110.2, 188.3, 326.4, 619.5", \ + " 64.3, 79.9, 116.3, 194.4, 332.5, 625.6", \ + " 68.9, 84.5, 120.7, 198.9, 337.0, 630.2", \ + " 74.0, 89.6, 125.8, 204.0, 342.1, 635.3", \ + " 79.8, 95.7, 132.3, 210.4, 348.5, 641.7", \ + " 85.0, 101.1, 137.9, 216.3, 354.4, 647.5", \ + " 89.4, 105.9, 142.8, 221.5, 359.6, 652.7", \ + " 91.5, 108.8, 146.3, 224.8, 363.5, 656.4", \ + " 89.4, 107.8, 146.7, 225.7, 364.2, 657.7", \ + " 79.5, 99.5, 140.4, 221.2, 360.6, 654.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.2, 85.4, 176.2, 386.1, 763.9, 1569.2", \ + " 52.3, 85.5, 176.2, 386.2, 763.9, 1569.2", \ + " 52.6, 85.8, 176.4, 386.2, 763.9, 1569.2", \ + " 54.2, 87.1, 176.9, 386.3, 763.9, 1569.2", \ + " 57.1, 89.7, 178.8, 387.2, 764.1, 1569.2", \ + " 60.3, 92.6, 181.2, 388.7, 764.9, 1569.2", \ + " 65.3, 96.9, 184.5, 390.6, 766.0, 1570.0", \ + " 72.5, 103.8, 189.3, 393.7, 767.6, 1570.9", \ + " 82.5, 114.0, 198.1, 399.3, 771.2, 1572.6", \ + " 96.2, 128.4, 211.8, 410.3, 778.8, 1577.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.1, 82.4, 112.8, 173.7, 280.0, 505.5", \ + " 75.5, 89.8, 120.1, 181.1, 287.5, 512.9", \ + " 81.7, 96.0, 126.4, 187.4, 293.7, 519.2", \ + " 90.3, 104.6, 134.9, 196.0, 302.3, 527.8", \ + " 104.4, 119.0, 149.5, 210.6, 316.9, 542.4", \ + " 121.2, 136.7, 168.2, 229.5, 335.8, 561.2", \ + " 142.8, 159.3, 192.0, 254.2, 360.4, 585.8", \ + " 170.7, 188.4, 222.6, 285.6, 392.3, 617.6", \ + " 208.2, 227.2, 263.7, 328.1, 435.0, 660.5", \ + " 259.0, 279.8, 319.7, 386.8, 494.5, 720.4" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.6, 66.3, 127.3, 268.0, 524.0, 1073.2", \ + " 42.7, 66.4, 127.4, 268.0, 524.0, 1073.2", \ + " 42.9, 66.6, 127.4, 268.1, 524.0, 1073.2", \ + " 43.5, 67.1, 127.7, 268.2, 524.0, 1073.2", \ + " 47.0, 69.8, 129.2, 268.7, 524.1, 1073.2", \ + " 52.0, 75.0, 133.1, 270.7, 524.7, 1073.3", \ + " 57.8, 81.1, 138.8, 274.3, 526.6, 1073.6", \ + " 65.2, 88.9, 145.8, 279.2, 529.2, 1074.8", \ + " 75.3, 99.6, 156.0, 286.5, 533.5, 1076.5", \ + " 89.0, 114.4, 171.4, 298.8, 541.5, 1080.1" ); }} +timing() { /* ring osc delay oan21v0x05, path b to z 87.3 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 97.0 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 86.0, 123.0, 201.6, 340.0, 633.3", \ + " 76.1, 92.2, 129.2, 207.8, 346.2, 639.5", \ + " 81.0, 97.1, 134.0, 212.6, 351.0, 644.4", \ + " 87.4, 103.4, 140.2, 218.8, 357.2, 650.6", \ + " 96.2, 112.4, 149.1, 227.7, 366.1, 659.5", \ + " 104.5, 120.8, 158.0, 236.5, 374.9, 668.2", \ + " 112.3, 128.9, 166.1, 245.1, 383.3, 676.6", \ + " 118.6, 135.7, 173.2, 251.9, 390.6, 683.8", \ + " 121.5, 139.5, 177.9, 256.8, 395.3, 688.9", \ + " 117.6, 136.9, 177.1, 257.1, 396.1, 689.9" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.6, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.9, 89.1, 179.2, 388.3, 765.4, 1570.3", \ + " 58.4, 91.1, 180.3, 388.6, 765.4, 1570.2", \ + " 61.3, 94.1, 182.5, 389.9, 765.9, 1570.3", \ + " 65.6, 97.6, 185.5, 391.6, 767.0, 1570.7", \ + " 72.1, 103.4, 189.4, 394.1, 768.3, 1571.7", \ + " 81.3, 112.4, 196.5, 398.6, 771.0, 1572.9", \ + " 94.3, 125.7, 208.6, 407.4, 777.0, 1576.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 92.8, 128.2, 192.3, 299.3, 524.7", \ + " 81.9, 100.1, 135.5, 199.8, 306.7, 532.1", \ + " 88.1, 106.3, 141.8, 206.0, 312.9, 538.4", \ + " 96.6, 114.8, 150.3, 214.6, 321.6, 547.1", \ + " 111.2, 129.5, 165.2, 229.6, 336.6, 562.1", \ + " 129.2, 148.8, 185.3, 250.0, 357.0, 582.4", \ + " 152.3, 173.3, 212.0, 277.8, 384.8, 610.2", \ + " 181.9, 204.5, 245.6, 313.4, 421.1, 646.3", \ + " 221.6, 246.1, 290.2, 360.5, 469.1, 694.4", \ + " 275.7, 302.5, 350.4, 424.5, 534.5, 760.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.1, 80.4, 142.6, 279.1, 529.8, 1074.9", \ + " 53.2, 80.5, 142.7, 279.1, 529.8, 1074.9", \ + " 53.3, 80.7, 142.8, 279.2, 529.8, 1074.9", \ + " 54.0, 81.3, 143.2, 279.4, 529.9, 1074.9", \ + " 57.6, 84.1, 144.8, 280.1, 530.2, 1074.9", \ + " 64.6, 90.7, 149.8, 282.4, 531.0, 1075.1", \ + " 73.0, 99.9, 158.5, 288.1, 533.7, 1075.7", \ + " 83.4, 111.0, 169.6, 296.5, 538.6, 1077.5", \ + " 97.2, 125.5, 184.2, 308.1, 545.8, 1080.6", \ + " 116.2, 145.2, 204.6, 325.0, 557.1, 1085.8" ); }} +timing() { /* ring osc delay oan21v0x05, path b to z 71.7 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 97.0 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.8, 65.5, 101.1, 179.0, 317.0, 610.1", \ + " 57.5, 72.2, 107.7, 185.7, 323.8, 616.9", \ + " 62.3, 76.9, 112.4, 190.4, 328.5, 621.6", \ + " 67.1, 81.8, 117.3, 195.3, 333.4, 626.6", \ + " 72.5, 87.5, 123.2, 201.2, 339.3, 632.4", \ + " 76.9, 92.2, 128.1, 206.3, 344.3, 637.4", \ + " 80.0, 95.9, 131.9, 210.1, 348.2, 641.3", \ + " 80.2, 96.9, 133.6, 211.7, 350.1, 643.1", \ + " 75.1, 93.1, 131.1, 209.7, 348.0, 641.3", \ + " 60.9, 80.5, 120.6, 200.7, 340.0, 633.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.5, 80.8, 172.7, 384.2, 762.8, 1568.4", \ + " 47.6, 80.9, 172.8, 384.2, 762.8, 1568.4", \ + " 48.4, 81.4, 173.0, 384.3, 762.8, 1568.4", \ + " 50.4, 82.8, 173.7, 384.4, 762.9, 1568.4", \ + " 53.3, 85.6, 175.3, 385.2, 762.9, 1568.4", \ + " 57.0, 88.6, 177.5, 386.2, 763.6, 1568.4", \ + " 62.4, 93.3, 180.7, 387.9, 764.4, 1569.0", \ + " 69.8, 100.3, 185.7, 390.8, 765.8, 1569.7", \ + " 79.8, 110.5, 194.4, 396.2, 769.0, 1571.2", \ + " 93.4, 124.9, 207.9, 406.9, 776.1, 1575.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.7, 82.1, 112.4, 173.4, 279.7, 505.1", \ + " 75.1, 89.5, 119.8, 180.8, 287.1, 512.5", \ + " 81.4, 95.7, 126.1, 187.1, 293.4, 518.9", \ + " 90.1, 104.4, 134.8, 195.8, 302.2, 527.7", \ + " 104.5, 119.0, 149.6, 210.7, 317.0, 542.5", \ + " 121.6, 137.1, 168.6, 229.9, 336.2, 561.6", \ + " 143.8, 160.3, 193.0, 255.1, 361.3, 586.7", \ + " 172.7, 190.3, 224.4, 287.4, 394.1, 619.3", \ + " 211.8, 230.7, 267.0, 331.2, 438.2, 663.7", \ + " 265.4, 286.0, 325.5, 392.4, 500.0, 725.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.6, 66.3, 127.3, 268.0, 524.0, 1073.2", \ + " 42.6, 66.4, 127.3, 268.0, 524.0, 1073.2", \ + " 42.9, 66.6, 127.5, 268.1, 524.0, 1073.2", \ + " 43.5, 67.1, 127.7, 268.2, 524.0, 1073.2", \ + " 46.9, 69.8, 129.2, 268.7, 524.1, 1073.2", \ + " 51.9, 74.8, 133.0, 270.6, 524.7, 1073.3", \ + " 57.6, 80.8, 138.6, 274.1, 526.5, 1073.6", \ + " 64.6, 88.3, 145.3, 278.9, 529.1, 1074.8", \ + " 74.3, 98.5, 155.0, 285.8, 533.2, 1076.4", \ + " 87.4, 112.7, 169.8, 297.5, 540.7, 1079.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 97.0 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.93 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 86.0, 123.0, 201.6, 340.0, 633.3", \ + " 76.1, 92.2, 129.2, 207.8, 346.2, 639.5", \ + " 81.0, 97.1, 134.0, 212.6, 351.0, 644.4", \ + " 87.4, 103.4, 140.2, 218.8, 357.2, 650.6", \ + " 96.2, 112.4, 149.1, 227.7, 366.1, 659.5", \ + " 104.5, 120.8, 158.0, 236.5, 374.9, 668.2", \ + " 112.3, 128.9, 166.1, 245.1, 383.3, 676.6", \ + " 118.6, 135.7, 173.2, 251.9, 390.6, 683.8", \ + " 121.5, 139.5, 177.9, 256.8, 395.3, 688.9", \ + " 117.6, 136.9, 177.1, 257.1, 396.1, 689.9" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.7, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.6, 88.9, 179.1, 388.2, 765.4, 1570.3", \ + " 55.9, 89.1, 179.2, 388.3, 765.4, 1570.3", \ + " 58.4, 91.1, 180.3, 388.6, 765.4, 1570.2", \ + " 61.3, 94.1, 182.5, 389.9, 765.9, 1570.3", \ + " 65.6, 97.6, 185.5, 391.6, 767.0, 1570.7", \ + " 72.1, 103.4, 189.4, 394.1, 768.3, 1571.7", \ + " 81.3, 112.4, 196.5, 398.6, 771.0, 1572.9", \ + " 94.3, 125.7, 208.6, 407.4, 777.0, 1576.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 92.8, 128.2, 192.3, 299.3, 524.7", \ + " 81.9, 100.1, 135.5, 199.8, 306.7, 532.1", \ + " 88.1, 106.3, 141.8, 206.0, 312.9, 538.4", \ + " 96.6, 114.8, 150.3, 214.6, 321.6, 547.1", \ + " 111.2, 129.5, 165.2, 229.6, 336.6, 562.1", \ + " 129.2, 148.8, 185.3, 250.0, 357.0, 582.4", \ + " 152.3, 173.3, 212.0, 277.8, 384.8, 610.2", \ + " 181.9, 204.5, 245.6, 313.4, 421.1, 646.3", \ + " 221.6, 246.1, 290.2, 360.5, 469.1, 694.4", \ + " 275.7, 302.5, 350.4, 424.5, 534.5, 760.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.1, 80.4, 142.6, 279.1, 529.8, 1074.9", \ + " 53.2, 80.5, 142.7, 279.1, 529.8, 1074.9", \ + " 53.3, 80.7, 142.8, 279.2, 529.8, 1074.9", \ + " 54.0, 81.3, 143.2, 279.4, 529.9, 1074.9", \ + " 57.6, 84.1, 144.8, 280.1, 530.2, 1074.9", \ + " 64.6, 90.7, 149.8, 282.4, 531.0, 1075.1", \ + " 73.0, 99.9, 158.5, 288.1, 533.7, 1075.7", \ + " 83.4, 111.0, 169.6, 296.5, 538.6, 1077.5", \ + " 97.2, 125.5, 184.2, 308.1, 545.8, 1080.6", \ + " 116.2, 145.2, 204.6, 325.0, 557.1, 1085.8" ); }} +} +} +cell(oan21bv0x05) { /* 2008-01-06:07h54 characteristic delay 14.0 ps */ +area : 7 ; /* tracks */ +cell_leakage_power : 739 ; /* oan21bv0x05 */ +cell_footprint : oan21b ; +pin(a1) { /* oan21bv0x05 FO4 effort 2.51 */ +direction : input ; +capacitance : 3.28 ; +rise_capacitance : 3.26 ; +fall_capacitance : 3.30 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of oan21bv0x05 */ +} +pin(a2) { /* oan21bv0x05 FO4 effort 2.31 */ +direction : input ; +capacitance : 3.11 ; +rise_capacitance : 3.27 ; +fall_capacitance : 2.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of oan21bv0x05 */ +} +pin(b) { /* oan21bv0x05 FO4 effort 1.43 logical effort 1.65 */ +direction : input ; +capacitance : 2.93 ; +rise_capacitance : 2.74 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of oan21bv0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 75 ; +max_fanout : 2 ; +function : "((a1+a2)*b')" ; +internal_power(a1_z_p) { /* oan21bv0x05 20.52 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 10.42, 10.45, 10.50, 10.52, 10.53", \ + " 10.26, 10.29, 10.33, 10.36, 10.37", \ + " 10.21, 10.24, 10.28, 10.31, 10.32", \ + " 10.19, 10.22, 10.26, 10.29, 10.31", \ + " 10.26, 10.28, 10.32, 10.36, 10.37", \ + " 10.51, 10.52, 10.55, 10.59, 10.61", \ + " 11.05, 11.06, 11.08, 11.11, 11.13", \ + " 12.08, 12.07, 12.07, 12.09, 12.11", \ + " 13.89, 13.86, 13.83, 13.82, 13.83", \ + " 16.89, 16.82, 16.74, 16.69, 16.68" ); }} +internal_power(a2_z_p) { /* oan21bv0x05 17.59 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 8.83, 8.86, 8.91, 8.93, 8.93", \ + " 8.69, 8.72, 8.77, 8.79, 8.80", \ + " 8.68, 8.71, 8.75, 8.78, 8.79", \ + " 8.72, 8.75, 8.79, 8.83, 8.84", \ + " 8.92, 8.94, 8.98, 9.01, 9.03", \ + " 9.31, 9.33, 9.36, 9.39, 9.41", \ + " 10.05, 10.05, 10.07, 10.09, 10.11", \ + " 11.28, 11.27, 11.26, 11.28, 11.29", \ + " 13.33, 13.29, 13.25, 13.24, 13.24", \ + " 16.63, 16.55, 16.46, 16.40, 16.38" ); }} +internal_power(b_z_n) { /* oan21bv0x05 5.16 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 2.51, 2.61, 2.70, 2.75, 2.77", \ + " 2.39, 2.47, 2.61, 2.71, 2.75", \ + " 2.41, 2.47, 2.58, 2.68, 2.74", \ + " 2.50, 2.51, 2.58, 2.67, 2.73", \ + " 2.75, 2.69, 2.67, 2.70, 2.74", \ + " 3.21, 3.07, 2.91, 2.83, 2.80", \ + " 4.02, 3.76, 3.42, 3.14, 2.99", \ + " 5.31, 4.92, 4.34, 3.79, 3.42", \ + " 7.37, 6.85, 5.97, 5.02, 4.31", \ + " 10.61, 9.94, 8.72, 7.23, 6.00" ); }} +timing() { /* ring osc delay oan21bv0x05, path a1 to z 129.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.6 ; */ +/* intrinsic_fall : 118.5 ; */ +/* rise_resistance : 5.83 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.2, 101.3, 137.0, 215.8, 355.1, 650.9", \ + " 93.9, 108.0, 143.7, 222.5, 361.8, 657.6", \ + " 99.3, 113.4, 149.1, 227.9, 367.3, 663.0", \ + " 106.6, 120.7, 156.3, 235.2, 374.6, 670.4", \ + " 117.8, 131.9, 167.5, 246.4, 385.9, 681.7", \ + " 129.4, 143.8, 179.6, 258.5, 398.0, 693.9", \ + " 142.1, 156.7, 192.8, 271.8, 411.3, 707.2", \ + " 155.4, 170.5, 206.9, 286.0, 425.6, 721.5", \ + " 169.4, 185.2, 222.3, 301.4, 441.1, 737.1", \ + " 183.7, 200.4, 238.5, 318.1, 457.8, 753.9" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.7, 105.3, 197.4, 407.9, 784.4, 1585.5", \ + " 71.7, 105.2, 197.4, 407.9, 784.4, 1585.5", \ + " 71.7, 105.3, 197.4, 407.9, 784.4, 1585.5", \ + " 71.9, 105.4, 197.4, 408.0, 784.4, 1585.5", \ + " 73.0, 106.2, 197.8, 408.1, 784.5, 1585.5", \ + " 75.3, 108.0, 199.0, 408.6, 784.6, 1585.5", \ + " 78.4, 110.6, 200.7, 409.3, 784.9, 1585.7", \ + " 82.8, 114.3, 203.2, 410.6, 785.4, 1585.9", \ + " 89.3, 119.9, 207.1, 412.8, 786.4, 1586.3", \ + " 98.8, 128.5, 213.8, 416.8, 788.5, 1587.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 99.4, 110.6, 136.8, 190.2, 281.9, 475.5", \ + " 106.5, 117.7, 143.8, 197.2, 289.0, 482.6", \ + " 111.3, 122.5, 148.7, 202.1, 293.8, 487.5", \ + " 117.4, 128.6, 154.8, 208.2, 300.0, 493.6", \ + " 127.1, 138.4, 164.7, 218.2, 309.9, 503.6", \ + " 138.7, 150.4, 177.2, 230.9, 322.7, 516.4", \ + " 153.5, 165.5, 193.1, 247.6, 339.6, 533.2", \ + " 172.8, 185.3, 213.6, 268.8, 361.5, 555.1", \ + " 198.4, 211.7, 241.3, 297.7, 390.6, 584.9", \ + " 231.8, 246.1, 277.8, 336.5, 430.7, 625.2" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.4, 86.0, 139.2, 259.9, 478.3, 948.0", \ + " 65.4, 86.0, 139.3, 259.9, 478.3, 948.0", \ + " 65.5, 86.1, 139.3, 259.9, 478.3, 948.0", \ + " 65.8, 86.3, 139.5, 260.0, 478.3, 948.0", \ + " 67.8, 87.9, 140.5, 260.5, 478.5, 948.0", \ + " 71.5, 91.6, 143.7, 262.5, 479.4, 948.2", \ + " 75.9, 96.2, 148.2, 266.0, 481.6, 949.0", \ + " 82.1, 102.4, 153.9, 270.7, 484.7, 950.6", \ + " 91.0, 111.7, 162.7, 277.5, 489.6, 953.0", \ + " 103.2, 124.6, 176.2, 289.5, 498.3, 958.1" ); }} +timing() { /* ring osc delay oan21bv0x05, path a2 to z 118.2 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.0 ; */ +/* intrinsic_fall : 109.0 ; */ +/* rise_resistance : 5.82 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.7, 90.6, 126.1, 204.6, 343.9, 639.5", \ + " 83.5, 97.4, 132.9, 211.5, 350.8, 646.4", \ + " 88.8, 102.7, 138.2, 216.8, 356.1, 651.8", \ + " 95.3, 109.2, 144.6, 223.3, 362.6, 658.3", \ + " 103.8, 117.8, 153.4, 232.1, 371.5, 667.2", \ + " 112.2, 126.6, 162.4, 241.1, 380.5, 676.3", \ + " 121.2, 135.9, 171.9, 250.8, 390.3, 686.0", \ + " 130.0, 145.2, 181.8, 260.8, 400.3, 696.1", \ + " 137.8, 153.9, 191.4, 270.8, 410.4, 706.3", \ + " 143.1, 160.3, 199.3, 279.6, 419.7, 715.8" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.1, 103.9, 196.3, 407.3, 784.0, 1585.2", \ + " 70.1, 103.9, 196.4, 407.3, 784.0, 1585.2", \ + " 70.2, 104.0, 196.4, 407.3, 784.0, 1585.2", \ + " 70.7, 104.3, 196.5, 407.3, 784.0, 1585.2", \ + " 72.5, 105.7, 197.3, 407.5, 784.0, 1585.2", \ + " 74.8, 107.7, 198.5, 408.1, 784.2, 1585.2", \ + " 78.3, 110.4, 200.5, 409.0, 784.5, 1585.3", \ + " 83.4, 114.8, 203.4, 410.5, 785.1, 1585.6", \ + " 91.0, 121.7, 208.7, 413.7, 786.6, 1586.1", \ + " 101.9, 132.1, 217.4, 419.7, 790.2, 1587.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.8, 100.9, 127.1, 180.5, 272.3, 465.9", \ + " 95.4, 106.6, 132.8, 186.2, 278.0, 471.6", \ + " 100.7, 111.9, 138.1, 191.5, 283.3, 476.9", \ + " 107.9, 119.1, 145.3, 198.8, 290.6, 484.2", \ + " 119.8, 131.1, 157.4, 210.9, 302.7, 496.4", \ + " 133.4, 145.2, 172.3, 226.0, 317.9, 511.5", \ + " 150.9, 162.8, 190.2, 245.2, 337.2, 530.8", \ + " 173.4, 185.6, 213.5, 268.5, 361.8, 555.4", \ + " 202.9, 215.7, 244.5, 300.1, 393.2, 588.1", \ + " 241.5, 255.4, 285.8, 343.1, 436.7, 631.7" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.4, 85.9, 139.2, 259.9, 478.3, 948.0", \ + " 65.5, 86.0, 139.3, 259.9, 478.3, 948.0", \ + " 65.7, 86.1, 139.4, 259.9, 478.3, 948.0", \ + " 66.2, 86.6, 139.7, 260.1, 478.3, 948.0", \ + " 69.0, 89.1, 141.3, 260.9, 478.6, 948.0", \ + " 72.8, 93.1, 144.9, 263.5, 479.9, 948.3", \ + " 76.9, 97.0, 149.1, 267.0, 482.6, 949.6", \ + " 83.1, 102.8, 153.7, 271.0, 485.6, 951.8", \ + " 92.6, 112.2, 161.8, 276.5, 489.8, 954.2", \ + " 106.2, 126.2, 175.4, 287.2, 496.9, 958.8" ); }} +timing() { /* ring osc delay oan21bv0x05, path b to z 59.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 40.6 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.85 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 43.9, 79.5, 158.2, 297.5, 593.2", \ + " 36.4, 49.7, 85.3, 164.2, 303.7, 599.5", \ + " 41.7, 55.1, 90.2, 169.1, 308.6, 604.4", \ + " 46.8, 62.6, 97.3, 175.8, 315.2, 611.0", \ + " 54.6, 72.7, 110.6, 188.2, 327.2, 622.8", \ + " 64.3, 84.7, 128.4, 206.7, 344.8, 639.9", \ + " 76.9, 100.1, 149.9, 235.7, 372.3, 666.2", \ + " 93.0, 119.6, 176.2, 274.8, 414.1, 705.9", \ + " 114.0, 145.0, 209.7, 322.2, 478.6, 767.4", \ + " 141.8, 178.2, 253.4, 381.7, 561.8, 863.9" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.0, 104.8, 202.8, 423.2, 815.0, 1647.4", \ + " 74.0, 108.2, 203.1, 423.2, 815.0, 1647.4", \ + " 81.3, 114.1, 206.6, 423.0, 815.0, 1647.4", \ + " 91.9, 123.3, 213.2, 425.7, 814.9, 1647.4", \ + " 112.8, 141.3, 227.4, 434.5, 816.8, 1647.4", \ + " 133.9, 169.6, 251.1, 451.6, 826.3, 1647.4", \ + " 161.1, 202.5, 289.6, 482.9, 848.1, 1655.3", \ + " 201.5, 245.3, 347.0, 535.2, 888.8, 1679.6", \ + " 261.1, 308.0, 417.7, 619.3, 961.3, 1730.9", \ + " 348.2, 399.7, 518.3, 743.8, 1083.4, 1828.0" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 32.9, 56.2, 107.7, 198.7, 391.9", \ + " 30.7, 39.8, 63.4, 115.1, 206.4, 399.7", \ + " 34.5, 45.3, 68.8, 120.6, 211.9, 405.3", \ + " 38.0, 50.9, 76.2, 128.0, 219.4, 412.8", \ + " 42.0, 57.7, 88.8, 141.2, 232.5, 425.9", \ + " 45.6, 64.1, 101.6, 160.5, 251.5, 444.8", \ + " 48.5, 70.4, 115.0, 186.6, 280.4, 473.4", \ + " 49.8, 75.7, 128.3, 214.1, 323.3, 515.7", \ + " 48.4, 79.1, 141.2, 242.9, 375.2, 580.2", \ + " 42.1, 78.4, 152.1, 272.6, 431.0, 675.9" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.5, 52.2, 107.7, 232.6, 454.7, 926.7", \ + " 36.4, 55.0, 108.1, 232.6, 454.7, 926.7", \ + " 43.8, 61.0, 111.5, 232.7, 454.7, 926.7", \ + " 54.1, 70.9, 118.5, 235.6, 454.7, 926.7", \ + " 68.7, 89.5, 134.7, 245.6, 457.5, 926.7", \ + " 88.1, 112.0, 161.6, 265.9, 469.1, 927.4", \ + " 115.3, 142.6, 200.5, 303.0, 495.6, 938.4", \ + " 152.8, 184.4, 250.4, 363.4, 545.3, 969.1", \ + " 205.2, 242.5, 318.4, 448.8, 631.6, 1033.1", \ + " 280.0, 324.3, 413.4, 562.7, 769.8, 1152.1" ); }} +timing() { /* ring osc delay oan21bv0x05, path b to z 59.2 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 40.6 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.85 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 43.9, 79.5, 158.2, 297.5, 593.2", \ + " 36.4, 49.7, 85.3, 164.2, 303.7, 599.5", \ + " 41.7, 55.1, 90.2, 169.1, 308.6, 604.4", \ + " 46.8, 62.6, 97.3, 175.8, 315.2, 611.0", \ + " 54.6, 72.7, 110.6, 188.2, 327.2, 622.8", \ + " 64.3, 84.7, 128.4, 206.7, 344.8, 639.9", \ + " 76.9, 100.1, 149.9, 235.7, 372.3, 666.2", \ + " 93.0, 119.6, 176.2, 274.8, 414.1, 705.9", \ + " 114.0, 145.0, 209.7, 322.2, 478.6, 767.4", \ + " 141.8, 178.2, 253.4, 381.7, 561.8, 863.9" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.0, 104.7, 202.8, 423.2, 815.0, 1647.4", \ + " 74.0, 108.2, 203.1, 423.2, 815.0, 1647.4", \ + " 81.3, 114.1, 206.6, 423.0, 815.0, 1647.4", \ + " 91.9, 123.3, 213.2, 425.7, 814.9, 1647.4", \ + " 112.8, 141.3, 227.4, 434.5, 816.8, 1647.4", \ + " 133.9, 169.6, 251.0, 451.6, 826.3, 1647.4", \ + " 161.1, 202.5, 289.6, 482.9, 848.1, 1655.3", \ + " 201.5, 245.3, 347.0, 535.2, 888.8, 1679.6", \ + " 261.1, 308.0, 417.7, 619.3, 961.3, 1730.9", \ + " 348.2, 399.7, 518.3, 743.8, 1083.4, 1828.0" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 32.9, 56.2, 107.7, 198.7, 391.9", \ + " 30.7, 39.8, 63.4, 115.1, 206.4, 399.7", \ + " 34.5, 45.3, 68.8, 120.6, 211.9, 405.3", \ + " 38.0, 50.9, 76.2, 128.0, 219.4, 412.8", \ + " 42.0, 57.7, 88.8, 141.2, 232.5, 425.9", \ + " 45.6, 64.1, 101.6, 160.5, 251.5, 444.8", \ + " 48.5, 70.4, 115.0, 186.6, 280.4, 473.4", \ + " 49.8, 75.7, 128.3, 214.1, 323.3, 515.7", \ + " 48.4, 79.1, 141.2, 242.9, 375.2, 580.2", \ + " 42.1, 78.4, 152.1, 272.6, 431.0, 675.9" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.5, 52.2, 107.7, 232.6, 454.7, 926.7", \ + " 36.4, 55.0, 108.1, 232.6, 454.7, 926.7", \ + " 43.8, 61.0, 111.5, 232.7, 454.7, 926.7", \ + " 54.1, 70.9, 118.5, 235.6, 454.7, 926.7", \ + " 68.7, 89.5, 134.7, 245.6, 457.5, 926.7", \ + " 88.1, 112.0, 161.6, 265.9, 469.1, 927.4", \ + " 115.3, 142.6, 200.5, 303.0, 495.6, 938.4", \ + " 152.8, 184.4, 250.4, 363.4, 545.3, 969.1", \ + " 205.2, 242.5, 318.4, 448.8, 631.6, 1033.1", \ + " 280.0, 324.3, 413.4, 562.7, 769.8, 1152.1" ); }} +timing() { /* ring osc delay oan21bv0x05, path b to z 59.3 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 40.6 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.85 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.3, 44.1, 79.7, 158.4, 297.7, 593.4", \ + " 36.5, 49.8, 85.4, 164.3, 303.8, 599.6", \ + " 41.8, 55.2, 90.3, 169.2, 308.7, 604.5", \ + " 46.9, 62.7, 97.4, 175.9, 315.3, 611.1", \ + " 54.7, 72.7, 110.6, 188.2, 327.2, 622.8", \ + " 64.3, 84.7, 128.5, 206.7, 344.9, 639.9", \ + " 76.9, 100.1, 149.9, 235.8, 372.3, 666.2", \ + " 93.0, 119.7, 176.2, 274.8, 414.2, 705.9", \ + " 114.0, 145.0, 209.7, 322.2, 478.6, 767.4", \ + " 141.8, 178.2, 253.4, 381.7, 561.8, 863.9" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.1, 104.8, 202.8, 423.1, 814.8, 1647.1", \ + " 74.1, 108.2, 203.1, 423.1, 814.8, 1647.1", \ + " 81.3, 114.1, 206.6, 422.9, 814.8, 1647.1", \ + " 91.9, 123.3, 213.2, 425.6, 814.7, 1647.1", \ + " 112.8, 141.3, 227.4, 434.4, 816.7, 1647.1", \ + " 133.9, 169.5, 251.0, 451.5, 826.2, 1647.2", \ + " 161.0, 202.5, 289.6, 482.8, 847.9, 1655.2", \ + " 201.5, 245.3, 347.0, 535.1, 888.7, 1679.4", \ + " 261.0, 308.0, 417.7, 619.2, 961.2, 1730.8", \ + " 348.1, 399.7, 518.2, 743.7, 1083.3, 1827.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 32.9, 56.2, 107.7, 198.7, 391.9", \ + " 30.7, 39.8, 63.4, 115.1, 206.3, 399.7", \ + " 34.5, 45.3, 68.8, 120.6, 211.9, 405.3", \ + " 38.0, 50.9, 76.2, 128.0, 219.4, 412.8", \ + " 42.0, 57.7, 88.8, 141.2, 232.5, 425.9", \ + " 45.6, 64.1, 101.6, 160.5, 251.5, 444.8", \ + " 48.5, 70.4, 115.0, 186.6, 280.4, 473.4", \ + " 49.9, 75.7, 128.3, 214.1, 323.3, 515.7", \ + " 48.4, 79.1, 141.2, 242.9, 375.2, 580.2", \ + " 42.1, 78.4, 152.1, 272.6, 431.0, 675.9" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.5, 52.2, 107.7, 232.6, 454.7, 926.7", \ + " 36.4, 55.0, 108.1, 232.6, 454.7, 926.7", \ + " 43.8, 61.0, 111.5, 232.7, 454.7, 926.7", \ + " 54.1, 70.9, 118.5, 235.6, 454.7, 926.7", \ + " 68.7, 89.5, 134.7, 245.6, 457.5, 926.7", \ + " 88.1, 112.0, 161.6, 265.9, 469.1, 927.4", \ + " 115.3, 142.6, 200.5, 303.0, 495.6, 938.4", \ + " 152.8, 184.4, 250.4, 363.4, 545.3, 969.1", \ + " 205.2, 242.5, 318.4, 448.8, 631.6, 1033.1", \ + " 280.0, 324.3, 413.4, 562.7, 769.8, 1152.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 45.2 ; */ +/* intrinsic_fall : 40.6 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 3.85 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.1, 43.9, 79.5, 158.2, 297.5, 593.2", \ + " 36.4, 49.7, 85.3, 164.2, 303.7, 599.5", \ + " 41.7, 55.1, 90.2, 169.1, 308.6, 604.4", \ + " 46.8, 62.6, 97.3, 175.8, 315.2, 611.0", \ + " 54.6, 72.7, 110.6, 188.2, 327.2, 622.8", \ + " 64.3, 84.7, 128.4, 206.7, 344.8, 639.9", \ + " 76.9, 100.1, 149.9, 235.7, 372.3, 666.2", \ + " 93.0, 119.6, 176.2, 274.8, 414.1, 705.9", \ + " 114.0, 145.0, 209.7, 322.2, 478.6, 767.4", \ + " 141.8, 178.2, 253.4, 381.7, 561.8, 863.9" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.0, 104.7, 202.8, 423.2, 815.0, 1647.4", \ + " 74.0, 108.2, 203.1, 423.2, 815.0, 1647.4", \ + " 81.3, 114.1, 206.6, 423.0, 815.0, 1647.4", \ + " 91.9, 123.3, 213.2, 425.7, 814.9, 1647.4", \ + " 112.8, 141.3, 227.4, 434.5, 816.8, 1647.4", \ + " 133.9, 169.6, 251.0, 451.6, 826.3, 1647.4", \ + " 161.1, 202.5, 289.6, 482.9, 848.1, 1655.3", \ + " 201.5, 245.3, 347.0, 535.2, 888.8, 1679.6", \ + " 261.1, 308.0, 417.7, 619.3, 961.3, 1730.9", \ + " 348.2, 399.7, 518.3, 743.8, 1083.4, 1828.0" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 32.9, 56.2, 107.7, 198.7, 391.9", \ + " 30.7, 39.8, 63.4, 115.1, 206.4, 399.7", \ + " 34.5, 45.3, 68.8, 120.6, 211.9, 405.3", \ + " 38.0, 50.9, 76.2, 128.0, 219.4, 412.8", \ + " 42.0, 57.7, 88.8, 141.2, 232.5, 425.9", \ + " 45.6, 64.1, 101.6, 160.5, 251.5, 444.8", \ + " 48.5, 70.4, 115.0, 186.6, 280.4, 473.4", \ + " 49.8, 75.7, 128.3, 214.1, 323.3, 515.7", \ + " 48.4, 79.1, 141.2, 242.9, 375.2, 580.2", \ + " 42.1, 78.4, 152.1, 272.6, 431.0, 675.9" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.5, 52.2, 107.7, 232.6, 454.7, 926.7", \ + " 36.4, 55.0, 108.1, 232.6, 454.7, 926.7", \ + " 43.8, 61.0, 111.5, 232.7, 454.7, 926.7", \ + " 54.1, 70.9, 118.5, 235.6, 454.7, 926.7", \ + " 68.7, 89.5, 134.7, 245.6, 457.5, 926.7", \ + " 88.1, 112.0, 161.6, 265.9, 469.1, 927.4", \ + " 115.3, 142.6, 200.5, 303.0, 495.6, 938.4", \ + " 152.8, 184.4, 250.4, 363.4, 545.3, 969.1", \ + " 205.2, 242.5, 318.4, 448.8, 631.6, 1033.1", \ + " 280.0, 324.3, 413.4, 562.7, 769.8, 1152.1" ); }} +} +} +cell(or2v0x05) { /* 2008-01-06:07h54 */ +area : 5 ; /* tracks */ +cell_leakage_power : 543 ; /* or2v0x05 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x05 FO4 effort 2.09 */ +direction : input ; +capacitance : 3.12 ; +rise_capacitance : 3.11 ; +fall_capacitance : 3.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x05 */ +} +pin(b) { /* or2v0x05 FO4 effort 1.99 */ +direction : input ; +capacitance : 3.00 ; +rise_capacitance : 3.16 ; +fall_capacitance : 2.84 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x05 14.54 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 7.35, 7.42, 7.51, 7.56, 7.57", \ + " 7.19, 7.25, 7.34, 7.40, 7.41", \ + " 7.14, 7.20, 7.29, 7.35, 7.37", \ + " 7.15, 7.19, 7.27, 7.33, 7.36", \ + " 7.26, 7.28, 7.34, 7.40, 7.43", \ + " 7.55, 7.54, 7.58, 7.64, 7.67", \ + " 8.15, 8.11, 8.12, 8.16, 8.19", \ + " 9.24, 9.16, 9.12, 9.14, 9.16", \ + " 11.08, 10.94, 10.85, 10.82, 10.83", \ + " 14.06, 13.86, 13.68, 13.60, 13.57" ); }} +internal_power(b_z_p) { /* or2v0x05 13.01 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 6.43, 6.53, 6.64, 6.70, 6.71", \ + " 6.29, 6.38, 6.50, 6.56, 6.58", \ + " 6.28, 6.36, 6.47, 6.55, 6.57", \ + " 6.35, 6.40, 6.51, 6.59, 6.62", \ + " 6.56, 6.59, 6.68, 6.76, 6.80", \ + " 6.99, 6.98, 7.04, 7.12, 7.17", \ + " 7.75, 7.71, 7.73, 7.79, 7.84", \ + " 9.00, 8.92, 8.89, 8.93, 8.97", \ + " 11.05, 10.89, 10.80, 10.79, 10.82", \ + " 14.30, 14.06, 13.88, 13.80, 13.80" ); }} +timing() { /* ring osc delay or2v0x05, path a to z 92.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.7 ; */ +/* intrinsic_fall : 100.4 ; */ +/* rise_resistance : 4.97 ; */ +/* fall_resistance : 3.90 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 78.4, 114.1, 192.5, 330.7, 624.0", \ + " 70.5, 85.1, 120.9, 199.2, 337.5, 630.8", \ + " 76.0, 90.5, 126.2, 204.6, 342.9, 636.2", \ + " 83.1, 97.6, 133.2, 211.6, 349.9, 643.3", \ + " 93.2, 107.8, 143.4, 221.7, 360.0, 653.4", \ + " 103.5, 118.4, 154.1, 232.3, 370.6, 664.0", \ + " 114.5, 129.8, 165.5, 243.8, 382.1, 675.4", \ + " 125.6, 141.6, 177.5, 255.6, 394.0, 687.3", \ + " 136.7, 153.5, 190.2, 268.3, 406.7, 700.1", \ + " 146.6, 164.7, 202.8, 281.5, 420.0, 713.5" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.3, 84.3, 176.1, 387.6, 766.1, 1571.5", \ + " 51.3, 84.3, 176.1, 387.6, 766.1, 1571.5", \ + " 51.4, 84.4, 176.2, 387.6, 766.1, 1571.5", \ + " 52.1, 84.9, 176.4, 387.7, 766.1, 1571.5", \ + " 55.0, 87.0, 177.4, 387.9, 766.2, 1571.5", \ + " 58.7, 90.2, 179.4, 388.8, 766.5, 1571.6", \ + " 63.6, 94.4, 182.2, 390.1, 767.2, 1571.9", \ + " 70.1, 100.1, 186.1, 392.2, 768.2, 1572.6", \ + " 78.7, 108.4, 192.4, 395.9, 770.2, 1573.6", \ + " 90.2, 120.0, 202.5, 402.8, 774.4, 1576.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.5, 94.1, 125.1, 186.6, 293.0, 518.5", \ + " 86.4, 101.0, 131.9, 193.5, 299.9, 525.4", \ + " 91.2, 105.8, 136.8, 198.3, 304.8, 530.2", \ + " 97.2, 111.8, 142.8, 204.4, 310.9, 536.4", \ + " 106.4, 121.3, 152.6, 214.3, 320.8, 546.3", \ + " 116.8, 132.5, 164.8, 226.9, 333.4, 558.9", \ + " 130.7, 147.0, 180.4, 243.6, 350.2, 575.7", \ + " 149.2, 166.5, 201.2, 265.2, 372.7, 598.1", \ + " 174.2, 192.7, 229.6, 295.2, 402.9, 629.0", \ + " 207.2, 227.4, 267.2, 336.0, 445.0, 671.5" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.9, 69.2, 130.8, 271.5, 526.8, 1075.4", \ + " 45.0, 69.2, 130.9, 271.5, 526.8, 1075.4", \ + " 45.1, 69.3, 131.0, 271.5, 526.8, 1075.4", \ + " 45.7, 69.8, 131.2, 271.6, 526.8, 1075.4", \ + " 48.5, 72.2, 132.6, 272.2, 527.0, 1075.5", \ + " 52.0, 76.2, 136.2, 274.3, 527.8, 1075.6", \ + " 56.5, 80.9, 140.9, 277.8, 529.9, 1076.3", \ + " 62.7, 87.4, 146.8, 282.4, 532.7, 1077.7", \ + " 71.3, 96.7, 156.1, 289.2, 537.3, 1079.7", \ + " 82.9, 109.3, 169.8, 301.4, 545.8, 1084.3" ); }} +timing() { /* ring osc delay or2v0x05, path b to z 86.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.1 ; */ +/* intrinsic_fall : 96.5 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 3.92 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.2, 72.8, 108.4, 186.5, 324.6, 617.7", \ + " 65.1, 79.6, 115.3, 193.4, 331.5, 624.6", \ + " 70.4, 84.8, 120.4, 198.6, 336.7, 629.9", \ + " 76.6, 90.9, 126.4, 204.7, 342.9, 636.1", \ + " 84.4, 99.1, 134.6, 212.8, 351.0, 644.2", \ + " 92.2, 107.2, 142.9, 221.0, 359.2, 652.4", \ + " 100.2, 115.7, 151.5, 229.8, 367.9, 661.0", \ + " 107.6, 124.0, 160.4, 238.4, 376.7, 669.7", \ + " 113.3, 130.9, 168.6, 247.2, 385.4, 678.5", \ + " 115.4, 134.5, 174.3, 254.4, 393.5, 686.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.8, 84.0, 176.3, 388.3, 767.0, 1572.6", \ + " 51.0, 84.1, 176.4, 388.3, 767.0, 1572.6", \ + " 51.3, 84.4, 176.5, 388.3, 767.0, 1572.6", \ + " 53.1, 85.5, 176.8, 388.3, 767.0, 1572.6", \ + " 56.8, 88.3, 178.4, 388.8, 767.1, 1572.6", \ + " 60.9, 91.9, 180.7, 389.8, 767.5, 1572.6", \ + " 66.7, 97.1, 184.2, 391.4, 768.2, 1573.0", \ + " 74.7, 104.6, 189.5, 394.4, 769.5, 1573.6", \ + " 85.4, 115.4, 198.7, 400.1, 772.7, 1574.9", \ + " 99.7, 130.3, 212.8, 411.3, 780.1, 1579.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.7, 89.6, 121.1, 183.0, 289.6, 515.1", \ + " 80.3, 95.2, 126.7, 188.6, 295.2, 520.7", \ + " 85.6, 100.4, 132.0, 193.9, 300.5, 526.1", \ + " 92.9, 107.7, 139.3, 201.3, 307.9, 533.5", \ + " 104.4, 119.7, 151.5, 213.6, 320.2, 545.8", \ + " 117.7, 133.5, 166.5, 229.0, 335.6, 561.2", \ + " 135.2, 151.4, 184.8, 248.8, 355.6, 581.1", \ + " 157.8, 174.8, 209.0, 273.1, 381.2, 606.6", \ + " 187.5, 205.7, 241.5, 306.4, 414.4, 641.1", \ + " 226.9, 246.6, 285.2, 352.3, 460.8, 688.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.7, 72.1, 133.9, 274.3, 529.2, 1077.5", \ + " 47.9, 72.3, 133.9, 274.3, 529.2, 1077.5", \ + " 48.1, 72.4, 134.0, 274.3, 529.2, 1077.5", \ + " 48.9, 73.1, 134.4, 274.4, 529.2, 1077.5", \ + " 52.6, 76.2, 136.4, 275.3, 529.5, 1077.5", \ + " 56.3, 80.5, 140.3, 277.9, 530.6, 1077.7", \ + " 61.3, 84.9, 144.8, 281.4, 533.2, 1078.7", \ + " 68.4, 91.7, 149.8, 285.7, 536.1, 1080.8", \ + " 78.6, 101.9, 158.7, 291.3, 540.3, 1083.1", \ + " 92.8, 116.8, 173.2, 302.2, 547.3, 1087.3" ); }} +} +} +cell(or2v0x1) { /* 2008-01-06:07h54 */ +area : 5 ; /* tracks */ +cell_leakage_power : 693 ; /* or2v0x1 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x1 FO4 effort 1.92 */ +direction : input ; +capacitance : 3.41 ; +rise_capacitance : 3.38 ; +fall_capacitance : 3.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x1 */ +} +pin(b) { /* or2v0x1 FO4 effort 1.76 */ +direction : input ; +capacitance : 3.35 ; +rise_capacitance : 3.51 ; +fall_capacitance : 3.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 130 ; +max_fanout : 4 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x1 17.99 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 9.08, 9.14, 9.25, 9.34, 9.38", \ + " 8.92, 8.97, 9.08, 9.17, 9.21", \ + " 8.87, 8.92, 9.02, 9.12, 9.16", \ + " 8.88, 8.91, 9.00, 9.09, 9.14", \ + " 9.03, 9.02, 9.07, 9.16, 9.21", \ + " 9.37, 9.32, 9.33, 9.40, 9.45", \ + " 10.06, 9.95, 9.91, 9.94, 9.99", \ + " 11.28, 11.11, 11.00, 10.98, 11.00", \ + " 13.36, 13.10, 12.89, 12.80, 12.78", \ + " 16.74, 16.37, 16.03, 15.82, 15.74" ); }} +internal_power(b_z_p) { /* or2v0x1 14.97 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 7.44, 7.52, 7.66, 7.74, 7.77", \ + " 7.28, 7.36, 7.49, 7.58, 7.62", \ + " 7.27, 7.33, 7.45, 7.55, 7.60", \ + " 7.36, 7.39, 7.49, 7.59, 7.64", \ + " 7.63, 7.61, 7.68, 7.77, 7.83", \ + " 8.12, 8.07, 8.08, 8.16, 8.21", \ + " 9.01, 8.89, 8.85, 8.89, 8.93", \ + " 10.45, 10.27, 10.15, 10.13, 10.16", \ + " 12.80, 12.51, 12.29, 12.19, 12.18", \ + " 16.53, 16.11, 15.73, 15.52, 15.45" ); }} +timing() { /* ring osc delay or2v0x1, path a to z 89.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.1 ; */ +/* intrinsic_fall : 99.5 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.3, 80.2, 108.2, 168.2, 273.8, 497.4", \ + " 75.0, 86.9, 114.9, 174.9, 280.5, 504.1", \ + " 80.4, 92.3, 120.3, 180.3, 285.9, 509.5", \ + " 87.9, 99.7, 127.6, 187.6, 293.2, 516.8", \ + " 99.5, 111.4, 139.2, 199.0, 304.6, 528.3", \ + " 111.9, 124.1, 152.0, 211.8, 317.3, 541.0", \ + " 125.4, 138.1, 166.3, 226.1, 331.5, 555.1", \ + " 140.0, 153.2, 182.0, 241.6, 347.1, 570.6", \ + " 155.8, 169.9, 199.6, 259.4, 364.6, 588.2", \ + " 172.7, 187.8, 219.0, 279.5, 384.9, 608.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.2, 73.8, 142.2, 301.7, 589.2, 1202.5", \ + " 49.1, 73.8, 142.2, 301.7, 589.2, 1202.5", \ + " 49.2, 73.9, 142.3, 301.7, 589.2, 1202.5", \ + " 49.5, 74.2, 142.5, 301.8, 589.2, 1202.5", \ + " 52.3, 76.3, 143.6, 302.1, 589.3, 1202.6", \ + " 56.5, 80.1, 146.2, 303.4, 589.8, 1202.7", \ + " 61.9, 85.1, 150.0, 305.4, 590.7, 1203.1", \ + " 68.8, 91.7, 155.0, 308.4, 592.2, 1203.8", \ + " 77.7, 100.6, 162.5, 313.2, 594.8, 1205.0", \ + " 89.6, 113.0, 173.7, 321.7, 600.1, 1207.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.5, 89.6, 114.8, 163.1, 244.6, 416.5", \ + " 84.6, 96.7, 122.0, 170.2, 251.7, 423.6", \ + " 89.5, 101.5, 126.8, 175.1, 256.6, 428.5", \ + " 95.6, 107.6, 132.9, 181.2, 262.7, 434.6", \ + " 104.7, 117.1, 142.6, 191.0, 272.6, 444.5", \ + " 115.1, 128.0, 154.5, 203.4, 285.1, 457.0", \ + " 128.3, 141.8, 169.3, 219.4, 301.4, 473.3", \ + " 145.4, 159.7, 188.5, 239.7, 322.3, 494.4", \ + " 167.9, 183.2, 214.0, 267.0, 350.3, 523.0", \ + " 196.9, 213.5, 247.0, 303.2, 388.4, 561.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.8, 60.9, 108.2, 214.3, 407.4, 823.9", \ + " 41.9, 61.0, 108.2, 214.4, 407.4, 823.9", \ + " 42.1, 61.1, 108.3, 214.4, 407.4, 823.9", \ + " 42.6, 61.5, 108.6, 214.5, 407.4, 823.9", \ + " 45.3, 64.0, 110.2, 215.2, 407.7, 824.0", \ + " 48.9, 68.0, 114.0, 217.8, 409.0, 824.2", \ + " 53.6, 72.8, 119.0, 221.9, 411.6, 825.4", \ + " 60.2, 79.7, 125.7, 227.3, 415.2, 827.2", \ + " 69.4, 89.5, 135.7, 235.5, 421.0, 830.2", \ + " 81.7, 102.6, 150.0, 249.1, 431.2, 836.3" ); }} +timing() { /* ring osc delay or2v0x1, path b to z 78.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.3 ; */ +/* intrinsic_fall : 90.6 ; */ +/* rise_resistance : 3.31 ; */ +/* fall_resistance : 2.65 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.7, 69.4, 97.0, 156.6, 261.9, 485.2", \ + " 64.5, 76.1, 103.7, 163.4, 268.7, 492.0", \ + " 69.9, 81.4, 108.9, 168.6, 274.0, 497.4", \ + " 76.6, 88.1, 115.5, 175.1, 280.5, 503.9", \ + " 85.3, 97.2, 124.7, 184.3, 289.6, 513.1", \ + " 94.3, 106.5, 134.3, 193.9, 299.2, 522.6", \ + " 103.9, 116.6, 144.9, 204.5, 309.7, 533.0", \ + " 113.7, 127.3, 156.3, 215.9, 321.2, 544.5", \ + " 123.2, 137.7, 168.3, 228.6, 333.9, 557.3", \ + " 131.0, 146.7, 179.4, 241.5, 347.8, 571.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.9, 70.6, 139.7, 299.9, 588.0, 1201.6", \ + " 45.9, 70.7, 139.7, 299.9, 588.0, 1201.6", \ + " 46.2, 70.9, 139.8, 300.0, 588.0, 1201.6", \ + " 47.8, 72.0, 140.3, 300.1, 588.0, 1201.6", \ + " 51.7, 75.3, 142.3, 300.8, 588.1, 1201.6", \ + " 56.0, 79.4, 145.4, 302.4, 588.8, 1201.7", \ + " 61.9, 84.9, 149.5, 304.7, 589.8, 1202.3", \ + " 69.7, 92.8, 155.8, 308.7, 591.7, 1203.0", \ + " 80.1, 103.7, 165.7, 315.6, 595.9, 1204.9", \ + " 94.2, 118.6, 180.5, 328.2, 604.7, 1210.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.6, 80.7, 105.9, 154.1, 235.6, 407.5", \ + " 74.3, 86.3, 111.6, 159.8, 241.3, 413.3", \ + " 79.5, 91.6, 116.8, 165.1, 246.6, 418.5", \ + " 86.7, 98.7, 124.0, 172.3, 253.9, 425.8", \ + " 97.6, 110.1, 135.7, 184.2, 265.8, 437.7", \ + " 110.1, 122.9, 149.6, 198.8, 280.4, 452.4", \ + " 126.3, 139.6, 166.6, 216.8, 299.2, 471.0", \ + " 146.7, 160.8, 188.7, 239.2, 322.3, 494.7", \ + " 173.0, 188.1, 217.8, 269.5, 352.5, 526.1", \ + " 207.0, 223.4, 255.9, 310.0, 394.1, 567.5" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.9, 60.9, 108.2, 214.3, 407.4, 823.9", \ + " 42.0, 61.0, 108.2, 214.4, 407.4, 823.9", \ + " 42.2, 61.2, 108.4, 214.4, 407.4, 823.9", \ + " 43.2, 62.0, 108.9, 214.6, 407.5, 823.9", \ + " 47.0, 65.6, 111.5, 215.9, 407.9, 824.0", \ + " 50.8, 69.5, 115.6, 219.1, 409.8, 824.4", \ + " 56.1, 74.5, 119.9, 223.0, 412.7, 826.3", \ + " 63.6, 81.8, 126.0, 227.5, 416.1, 828.5", \ + " 74.1, 92.5, 136.0, 234.4, 421.1, 831.5", \ + " 88.6, 107.6, 151.4, 247.3, 429.5, 836.9" ); }} +} +} +cell(or2v0x2) { /* 2008-01-06:07h54 */ +area : 5 ; /* tracks */ +cell_leakage_power : 993 ; /* or2v0x2 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x2 FO4 effort 1.85 */ +direction : input ; +capacitance : 4.40 ; +rise_capacitance : 4.36 ; +fall_capacitance : 4.43 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x2 */ +} +pin(b) { /* or2v0x2 FO4 effort 1.69 */ +direction : input ; +capacitance : 4.26 ; +rise_capacitance : 4.49 ; +fall_capacitance : 4.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x2 25.49 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 12.84, 12.92, 13.10, 13.22, 13.25", \ + " 12.62, 12.69, 12.87, 12.99, 13.03", \ + " 12.55, 12.62, 12.78, 12.91, 12.96", \ + " 12.56, 12.60, 12.74, 12.88, 12.94", \ + " 12.77, 12.74, 12.84, 12.96, 13.02", \ + " 13.25, 13.15, 13.17, 13.27, 13.33", \ + " 14.19, 13.99, 13.93, 13.98, 14.03", \ + " 15.87, 15.55, 15.36, 15.34, 15.37", \ + " 18.71, 18.22, 17.88, 17.74, 17.72", \ + " 23.32, 22.62, 22.05, 21.75, 21.65" ); }} +internal_power(b_z_p) { /* or2v0x2 21.46 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 10.62, 10.76, 10.96, 11.07, 11.09", \ + " 10.43, 10.54, 10.74, 10.87, 10.90", \ + " 10.41, 10.50, 10.69, 10.83, 10.87", \ + " 10.52, 10.57, 10.73, 10.87, 10.93", \ + " 10.89, 10.86, 10.97, 11.10, 11.17", \ + " 11.58, 11.46, 11.50, 11.61, 11.68", \ + " 12.78, 12.56, 12.50, 12.56, 12.62", \ + " 14.76, 14.40, 14.21, 14.19, 14.23", \ + " 17.96, 17.42, 17.05, 16.91, 16.90", \ + " 23.05, 22.26, 21.65, 21.33, 21.22" ); }} +timing() { /* ring osc delay or2v0x2, path a to z 87.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.9 ; */ +/* intrinsic_fall : 102.9 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.68 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 85.7, 121.2, 198.0, 333.5, 620.8", \ + " 77.2, 92.4, 127.8, 204.6, 340.1, 627.5", \ + " 82.7, 97.8, 133.2, 210.0, 345.6, 632.9", \ + " 90.2, 105.2, 140.5, 217.3, 352.9, 640.3", \ + " 102.1, 117.1, 152.3, 229.0, 364.6, 652.0", \ + " 114.9, 130.3, 165.6, 242.2, 377.7, 665.0", \ + " 128.9, 144.9, 180.4, 257.0, 392.3, 679.6", \ + " 144.0, 160.7, 196.7, 273.0, 408.4, 695.6", \ + " 160.5, 178.2, 215.1, 291.3, 426.6, 713.8", \ + " 178.2, 197.1, 235.5, 312.3, 447.5, 734.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.0, 82.8, 171.4, 377.3, 747.6, 1536.4", \ + " 51.0, 82.9, 171.4, 377.3, 747.6, 1536.4", \ + " 51.0, 82.9, 171.4, 377.3, 747.6, 1536.4", \ + " 51.3, 83.2, 171.6, 377.3, 747.6, 1536.4", \ + " 53.9, 85.0, 172.4, 377.6, 747.6, 1536.4", \ + " 58.3, 88.9, 174.8, 378.5, 747.9, 1536.4", \ + " 63.9, 93.9, 178.4, 380.2, 748.7, 1536.7", \ + " 71.0, 100.5, 183.1, 382.8, 749.8, 1537.4", \ + " 80.3, 109.7, 190.3, 387.1, 751.9, 1538.3", \ + " 92.5, 122.3, 201.3, 394.6, 756.4, 1540.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.5, 95.7, 127.0, 187.8, 292.2, 513.1", \ + " 87.6, 102.8, 134.1, 194.9, 299.3, 520.2", \ + " 92.4, 107.6, 138.9, 199.8, 304.2, 525.1", \ + " 98.5, 113.7, 145.0, 205.9, 310.3, 531.3", \ + " 108.0, 123.4, 154.9, 215.9, 320.3, 541.2", \ + " 118.6, 134.8, 167.3, 228.6, 333.0, 554.0", \ + " 132.3, 149.2, 182.8, 245.2, 349.7, 570.6", \ + " 149.9, 167.8, 202.8, 266.1, 371.4, 592.2", \ + " 173.1, 192.2, 229.3, 294.3, 399.9, 621.3", \ + " 203.0, 223.7, 263.8, 331.9, 438.9, 660.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.0, 68.3, 128.6, 265.7, 515.2, 1052.1", \ + " 44.1, 68.3, 128.7, 265.7, 515.2, 1052.1", \ + " 44.2, 68.4, 128.7, 265.8, 515.2, 1052.1", \ + " 44.6, 68.8, 128.9, 265.8, 515.2, 1052.1", \ + " 47.2, 71.0, 130.2, 266.3, 515.4, 1052.1", \ + " 51.0, 75.1, 133.7, 268.4, 516.1, 1052.2", \ + " 55.8, 80.1, 138.8, 272.1, 518.3, 1052.9", \ + " 62.5, 87.1, 145.2, 277.1, 521.3, 1054.3", \ + " 71.9, 97.0, 155.1, 284.5, 526.2, 1056.5", \ + " 84.6, 110.5, 169.6, 297.2, 535.1, 1061.2" ); }} +timing() { /* ring osc delay or2v0x2, path b to z 76.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.9 ; */ +/* intrinsic_fall : 93.9 ; */ +/* rise_resistance : 2.12 ; */ +/* fall_resistance : 1.68 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.7, 74.5, 109.6, 186.0, 321.3, 608.4", \ + " 66.5, 81.3, 116.3, 192.8, 328.1, 615.2", \ + " 71.9, 86.6, 121.6, 198.1, 333.4, 620.6", \ + " 78.8, 93.4, 128.3, 204.8, 340.1, 627.3", \ + " 88.1, 103.1, 137.9, 214.3, 349.6, 636.8", \ + " 97.5, 112.9, 148.1, 224.4, 359.6, 646.8", \ + " 107.7, 123.7, 159.2, 235.6, 370.7, 657.8", \ + " 118.2, 135.1, 171.4, 247.6, 382.8, 669.7", \ + " 128.5, 146.7, 184.4, 261.1, 396.1, 683.1", \ + " 137.3, 157.0, 197.0, 275.3, 411.1, 698.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.7, 79.7, 169.0, 375.7, 746.5, 1535.5", \ + " 47.8, 79.8, 169.0, 375.7, 746.5, 1535.5", \ + " 48.1, 79.9, 169.1, 375.7, 746.5, 1535.5", \ + " 49.4, 80.8, 169.4, 375.8, 746.5, 1535.5", \ + " 53.4, 84.0, 171.1, 376.2, 746.5, 1535.5", \ + " 57.8, 88.2, 173.9, 377.5, 746.9, 1535.5", \ + " 63.9, 93.7, 177.9, 379.4, 747.7, 1535.9", \ + " 71.9, 101.5, 183.7, 382.7, 749.1, 1536.5", \ + " 82.6, 112.6, 193.2, 388.8, 752.4, 1537.8", \ + " 97.1, 128.1, 208.0, 400.4, 759.9, 1541.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.3, 86.6, 117.9, 178.7, 283.1, 504.0", \ + " 77.1, 92.2, 123.6, 184.4, 288.8, 509.7", \ + " 82.3, 97.5, 128.8, 189.7, 294.1, 515.0", \ + " 89.6, 104.7, 136.0, 196.9, 301.4, 522.3", \ + " 100.9, 116.5, 148.1, 209.0, 313.5, 534.4", \ + " 113.9, 129.9, 162.7, 224.1, 328.5, 549.5", \ + " 130.5, 147.1, 180.4, 243.1, 347.7, 568.6", \ + " 151.6, 169.1, 203.2, 266.1, 372.0, 592.8", \ + " 178.6, 197.4, 233.3, 297.1, 402.8, 624.8", \ + " 213.6, 234.0, 272.9, 339.0, 445.2, 667.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.0, 68.2, 128.6, 265.7, 515.2, 1052.1", \ + " 44.1, 68.3, 128.7, 265.7, 515.2, 1052.1", \ + " 44.3, 68.5, 128.8, 265.8, 515.2, 1052.1", \ + " 45.0, 69.2, 129.2, 265.9, 515.2, 1052.1", \ + " 48.9, 72.4, 131.2, 266.8, 515.5, 1052.1", \ + " 53.0, 76.9, 135.3, 269.5, 516.6, 1052.3", \ + " 58.4, 81.8, 140.0, 273.2, 519.3, 1053.4", \ + " 66.1, 89.1, 145.6, 277.7, 522.4, 1055.5", \ + " 77.0, 100.1, 155.3, 283.8, 526.7, 1057.9", \ + " 91.9, 115.7, 170.6, 295.4, 534.1, 1062.3" ); }} +} +} +cell(or2v0x3) { /* 2008-01-06:07h54 */ +area : 8 ; /* tracks */ +cell_leakage_power : 1340 ; /* or2v0x3 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x3 FO4 effort 1.77 */ +direction : input ; +capacitance : 5.66 ; +rise_capacitance : 5.60 ; +fall_capacitance : 5.71 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x3 */ +} +pin(b) { /* or2v0x3 FO4 effort 1.58 */ +direction : input ; +capacitance : 5.31 ; +rise_capacitance : 5.58 ; +fall_capacitance : 5.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 362 ; +max_fanout : 8 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x3 31.53 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 15.88, 15.98, 16.22, 16.36, 16.40", \ + " 15.60, 15.69, 15.92, 16.08, 16.12", \ + " 15.53, 15.59, 15.81, 15.98, 16.03", \ + " 15.55, 15.58, 15.77, 15.94, 16.00", \ + " 15.85, 15.77, 15.89, 16.04, 16.11", \ + " 16.51, 16.31, 16.33, 16.44, 16.52", \ + " 17.78, 17.42, 17.30, 17.35, 17.41", \ + " 20.01, 19.44, 19.14, 19.09, 19.11", \ + " 23.74, 22.91, 22.37, 22.15, 22.10", \ + " 29.78, 28.60, 27.73, 27.27, 27.11" ); }} +internal_power(b_z_p) { /* or2v0x3 26.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 12.93, 13.11, 13.38, 13.52, 13.54", \ + " 12.69, 12.84, 13.11, 13.27, 13.30", \ + " 12.69, 12.80, 13.05, 13.23, 13.28", \ + " 12.87, 12.90, 13.11, 13.30, 13.36", \ + " 13.39, 13.30, 13.43, 13.60, 13.68", \ + " 14.33, 14.10, 14.12, 14.26, 14.34", \ + " 15.94, 15.54, 15.42, 15.49, 15.56", \ + " 18.57, 17.94, 17.63, 17.58, 17.62", \ + " 22.80, 21.86, 21.29, 21.07, 21.03", \ + " 29.49, 28.15, 27.19, 26.72, 26.56" ); }} +timing() { /* ring osc delay or2v0x3, path a to z 82.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.9 ; */ +/* intrinsic_fall : 99.6 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.5, 84.6, 121.8, 202.3, 344.6, 646.2", \ + " 75.1, 91.2, 128.4, 209.0, 351.2, 652.9", \ + " 80.6, 96.6, 133.8, 214.4, 356.6, 658.3", \ + " 88.1, 104.0, 141.1, 221.7, 364.0, 665.7", \ + " 100.1, 116.0, 152.9, 233.4, 375.7, 677.4", \ + " 112.8, 129.3, 166.3, 246.6, 388.8, 690.5", \ + " 127.0, 144.0, 181.2, 261.5, 403.6, 705.3", \ + " 142.3, 160.1, 197.8, 277.9, 420.0, 721.5", \ + " 159.3, 178.0, 216.7, 296.6, 438.6, 740.1", \ + " 177.7, 197.7, 238.0, 318.4, 460.3, 761.9" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.4, 80.9, 173.9, 390.3, 779.2, 1607.5", \ + " 47.5, 80.9, 173.9, 390.3, 779.2, 1607.5", \ + " 47.5, 81.0, 174.0, 390.3, 779.2, 1607.5", \ + " 47.7, 81.2, 174.1, 390.3, 779.2, 1607.5", \ + " 50.4, 83.1, 175.0, 390.5, 779.2, 1607.5", \ + " 54.8, 87.0, 177.3, 391.5, 779.5, 1607.5", \ + " 60.4, 92.0, 180.7, 393.1, 780.2, 1607.8", \ + " 67.5, 98.6, 185.4, 395.5, 781.3, 1608.4", \ + " 76.7, 107.8, 192.5, 399.6, 783.3, 1609.4", \ + " 88.8, 120.3, 203.4, 406.9, 787.6, 1611.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.8, 92.9, 125.4, 188.9, 298.4, 530.3", \ + " 83.9, 100.1, 132.6, 196.1, 305.6, 537.5", \ + " 88.8, 104.9, 137.5, 201.0, 310.5, 542.4", \ + " 94.9, 111.0, 143.6, 207.1, 316.6, 548.6", \ + " 104.2, 120.6, 153.4, 217.0, 326.5, 558.5", \ + " 114.6, 131.8, 165.6, 229.6, 339.1, 571.0", \ + " 127.9, 145.9, 180.8, 245.8, 355.4, 587.3", \ + " 144.9, 163.9, 200.4, 266.2, 376.5, 608.3", \ + " 167.1, 187.5, 226.3, 293.8, 404.3, 636.6", \ + " 195.8, 217.9, 259.8, 330.4, 442.3, 674.9" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.8, 66.2, 129.5, 273.8, 536.2, 1100.5", \ + " 40.8, 66.3, 129.6, 273.8, 536.2, 1100.5", \ + " 40.9, 66.4, 129.6, 273.8, 536.3, 1100.5", \ + " 41.4, 66.8, 129.8, 273.9, 536.3, 1100.5", \ + " 44.3, 69.1, 131.1, 274.4, 536.4, 1100.6", \ + " 47.8, 73.2, 134.7, 276.4, 537.1, 1100.6", \ + " 52.7, 78.3, 139.7, 279.9, 539.2, 1101.2", \ + " 59.5, 85.4, 146.2, 284.8, 542.0, 1102.6", \ + " 69.1, 95.5, 156.1, 292.1, 546.7, 1104.6", \ + " 81.8, 109.1, 170.8, 304.7, 555.3, 1109.0" ); }} +timing() { /* ring osc delay or2v0x3, path b to z 70.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.1 ; */ +/* intrinsic_fall : 90.0 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.9, 72.6, 109.3, 189.5, 331.5, 632.9", \ + " 63.7, 79.3, 116.0, 196.3, 338.3, 639.8", \ + " 69.1, 84.7, 121.3, 201.6, 343.6, 645.1", \ + " 76.0, 91.5, 128.0, 208.2, 350.3, 651.8", \ + " 85.1, 101.0, 137.6, 217.7, 359.7, 661.2", \ + " 94.5, 110.9, 147.7, 227.7, 369.7, 671.2", \ + " 104.7, 121.8, 158.9, 239.0, 380.8, 682.2", \ + " 115.3, 133.4, 171.3, 251.2, 393.1, 694.3", \ + " 125.9, 145.3, 184.7, 265.1, 406.8, 708.0", \ + " 135.3, 156.2, 198.1, 280.1, 422.5, 723.7" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.1, 77.6, 171.5, 388.7, 778.0, 1606.6", \ + " 44.2, 77.7, 171.5, 388.7, 778.0, 1606.6", \ + " 44.4, 78.0, 171.6, 388.7, 778.0, 1606.6", \ + " 45.9, 78.9, 171.9, 388.7, 778.1, 1606.6", \ + " 49.9, 82.1, 173.6, 389.2, 778.1, 1606.6", \ + " 54.4, 86.2, 176.2, 390.3, 778.4, 1606.6", \ + " 60.4, 91.8, 180.2, 392.1, 779.2, 1606.9", \ + " 68.4, 99.7, 186.0, 395.3, 780.5, 1607.5", \ + " 79.1, 110.9, 195.5, 401.4, 783.7, 1608.7", \ + " 93.6, 126.3, 210.3, 412.7, 791.0, 1612.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.1, 83.2, 115.8, 179.2, 288.7, 520.7", \ + " 72.9, 89.0, 121.5, 185.0, 294.6, 526.5", \ + " 78.2, 94.3, 126.8, 190.3, 299.8, 531.8", \ + " 85.4, 101.5, 134.0, 197.6, 307.1, 539.1", \ + " 96.5, 113.1, 145.9, 209.5, 319.1, 551.0", \ + " 109.2, 126.2, 160.2, 224.3, 333.8, 565.7", \ + " 125.4, 143.1, 177.5, 242.9, 352.5, 584.4", \ + " 145.9, 164.5, 199.9, 265.3, 376.2, 607.9", \ + " 172.0, 192.0, 229.3, 295.5, 406.2, 639.1", \ + " 205.6, 227.4, 267.8, 336.2, 447.4, 680.7" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 40.8, 66.2, 129.5, 273.8, 536.2, 1100.5", \ + " 40.9, 66.3, 129.6, 273.8, 536.2, 1100.5", \ + " 41.0, 66.5, 129.7, 273.8, 536.3, 1100.5", \ + " 42.1, 67.2, 130.1, 274.0, 536.3, 1100.5", \ + " 45.9, 70.6, 132.2, 274.8, 536.6, 1100.6", \ + " 50.0, 74.9, 136.1, 277.5, 537.7, 1100.7", \ + " 55.5, 79.9, 140.7, 280.9, 540.2, 1101.7", \ + " 63.4, 87.4, 146.2, 285.2, 543.0, 1103.7", \ + " 74.4, 98.5, 155.9, 291.1, 547.1, 1106.0", \ + " 89.4, 114.2, 171.3, 302.5, 554.2, 1110.1" ); }} +} +} +cell(or2v0x4) { /* 2008-01-06:07h54 */ +area : 8 ; /* tracks */ +cell_leakage_power : 1732 ; /* or2v0x4 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x4 FO4 effort 1.74 */ +direction : input ; +capacitance : 6.50 ; +rise_capacitance : 6.44 ; +fall_capacitance : 6.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x4 */ +} +pin(b) { /* or2v0x4 FO4 effort 1.56 */ +direction : input ; +capacitance : 6.11 ; +rise_capacitance : 6.45 ; +fall_capacitance : 5.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x4 40.12 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 20.25, 20.28, 20.58, 20.80, 20.86", \ + " 19.95, 19.96, 20.25, 20.48, 20.55", \ + " 19.86, 19.85, 20.12, 20.36, 20.45", \ + " 19.90, 19.83, 20.06, 20.30, 20.41", \ + " 20.32, 20.10, 20.21, 20.42, 20.53", \ + " 21.20, 20.78, 20.74, 20.88, 20.98", \ + " 22.82, 22.16, 21.90, 21.94, 22.02", \ + " 25.63, 24.64, 24.11, 23.98, 24.00", \ + " 30.29, 28.90, 28.00, 27.62, 27.52", \ + " 37.79, 35.87, 34.46, 33.72, 33.44" ); }} +internal_power(b_z_p) { /* or2v0x4 33.98 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 16.83, 16.97, 17.34, 17.55, 17.59", \ + " 16.57, 16.67, 17.02, 17.26, 17.32", \ + " 16.56, 16.61, 16.94, 17.20, 17.28", \ + " 16.79, 16.73, 16.99, 17.26, 17.36", \ + " 17.47, 17.23, 17.35, 17.59, 17.70", \ + " 18.67, 18.21, 18.16, 18.33, 18.45", \ + " 20.72, 19.97, 19.70, 19.75, 19.84", \ + " 24.01, 22.90, 22.33, 22.20, 22.23", \ + " 29.28, 27.69, 26.71, 26.32, 26.23", \ + " 37.59, 35.40, 33.83, 33.05, 32.75" ); }} +timing() { /* ring osc delay or2v0x4, path a to z 82.4 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.4 ; */ +/* intrinsic_fall : 104.0 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.1, 85.0, 120.7, 197.6, 333.0, 620.4", \ + " 75.8, 91.7, 127.4, 204.2, 339.8, 627.1", \ + " 81.3, 97.1, 132.8, 209.7, 345.2, 632.5", \ + " 88.9, 104.6, 140.2, 217.0, 352.6, 639.9", \ + " 101.1, 116.8, 152.3, 229.0, 364.5, 651.9", \ + " 114.3, 130.5, 166.1, 242.6, 378.0, 665.4", \ + " 128.9, 145.7, 181.6, 258.0, 393.3, 680.6", \ + " 144.6, 162.2, 198.7, 274.9, 410.1, 697.2", \ + " 161.8, 180.4, 217.9, 294.1, 429.1, 716.2", \ + " 180.4, 200.2, 239.4, 316.1, 451.1, 738.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.8, 78.6, 166.5, 371.9, 742.0, 1530.7", \ + " 46.8, 78.6, 166.5, 371.9, 742.0, 1530.7", \ + " 46.8, 78.6, 166.5, 371.9, 742.0, 1530.7", \ + " 47.1, 78.8, 166.7, 372.0, 742.0, 1530.7", \ + " 49.7, 80.7, 167.5, 372.2, 742.0, 1530.7", \ + " 54.4, 84.8, 170.1, 373.2, 742.3, 1530.8", \ + " 60.3, 90.4, 174.0, 375.0, 743.1, 1531.0", \ + " 67.8, 97.6, 179.2, 377.9, 744.3, 1531.7", \ + " 77.5, 107.3, 187.0, 382.5, 746.5, 1532.6", \ + " 90.4, 120.6, 198.7, 390.5, 751.1, 1534.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.9, 96.2, 128.4, 189.6, 294.0, 515.0", \ + " 87.1, 103.4, 135.6, 196.8, 301.3, 522.2", \ + " 92.0, 108.3, 140.4, 201.7, 306.1, 527.1", \ + " 98.2, 114.4, 146.6, 207.8, 312.3, 533.3", \ + " 107.9, 124.4, 156.6, 217.9, 322.4, 543.4", \ + " 119.0, 136.1, 169.4, 231.0, 335.5, 556.4", \ + " 133.1, 151.0, 185.4, 248.0, 352.6, 573.5", \ + " 151.2, 170.0, 205.9, 269.5, 374.8, 595.6", \ + " 175.0, 195.1, 233.1, 298.4, 404.0, 625.2", \ + " 205.9, 227.5, 268.6, 336.9, 443.8, 665.3" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.5, 66.3, 126.7, 263.3, 512.4, 1049.0", \ + " 41.5, 66.3, 126.7, 263.3, 512.4, 1049.0", \ + " 41.6, 66.4, 126.7, 263.3, 512.4, 1049.0", \ + " 42.0, 66.7, 126.9, 263.4, 512.4, 1049.0", \ + " 44.6, 68.8, 128.1, 263.9, 512.5, 1049.1", \ + " 48.4, 72.9, 131.6, 265.8, 513.3, 1049.2", \ + " 53.4, 78.2, 136.8, 269.5, 515.4, 1049.8", \ + " 60.3, 85.3, 143.4, 274.7, 518.5, 1051.2", \ + " 70.1, 95.4, 153.5, 282.2, 523.4, 1053.4", \ + " 83.4, 109.4, 168.3, 295.1, 532.3, 1058.0" ); }} +timing() { /* ring osc delay or2v0x4, path b to z 71.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.4 ; */ +/* intrinsic_fall : 94.7 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.85 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.1, 73.5, 108.9, 185.3, 320.6, 607.7", \ + " 65.0, 80.4, 115.7, 192.2, 327.5, 614.6", \ + " 70.4, 85.8, 121.0, 197.5, 332.8, 620.0", \ + " 77.5, 92.7, 127.8, 204.3, 339.7, 626.8", \ + " 87.2, 102.8, 137.9, 214.2, 349.5, 636.7", \ + " 97.1, 113.2, 148.7, 224.9, 360.1, 647.2", \ + " 107.8, 124.7, 160.5, 236.8, 371.8, 658.8", \ + " 119.0, 136.8, 173.5, 249.6, 384.6, 671.4", \ + " 130.2, 149.2, 187.5, 264.0, 398.8, 685.6", \ + " 140.1, 160.6, 201.3, 279.4, 414.8, 701.5" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.6, 75.4, 164.0, 370.3, 740.8, 1529.9", \ + " 43.6, 75.4, 164.1, 370.3, 740.8, 1529.9", \ + " 43.8, 75.6, 164.1, 370.3, 740.8, 1529.9", \ + " 45.1, 76.4, 164.5, 370.3, 740.8, 1529.9", \ + " 49.2, 79.7, 166.2, 370.8, 740.9, 1529.9", \ + " 54.0, 84.3, 169.2, 372.1, 741.2, 1529.9", \ + " 60.4, 90.3, 173.6, 374.2, 742.1, 1530.2", \ + " 68.7, 98.6, 179.8, 377.7, 743.5, 1530.8", \ + " 79.8, 110.3, 189.9, 384.1, 746.9, 1532.0", \ + " 95.2, 126.3, 205.2, 396.0, 754.5, 1535.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 86.9, 119.0, 180.2, 284.7, 505.6", \ + " 76.3, 92.6, 124.7, 186.0, 290.4, 511.4", \ + " 81.6, 97.8, 130.0, 191.2, 295.7, 516.6", \ + " 89.0, 105.1, 137.2, 198.5, 303.0, 524.0", \ + " 100.8, 117.3, 149.6, 210.9, 315.4, 536.4", \ + " 114.3, 131.4, 164.8, 226.4, 330.9, 551.8", \ + " 131.6, 149.2, 183.2, 246.1, 350.7, 571.6", \ + " 153.3, 171.8, 206.8, 269.9, 375.7, 596.4", \ + " 181.1, 201.0, 237.8, 301.8, 407.4, 629.2", \ + " 217.2, 238.7, 278.5, 344.7, 450.8, 672.9" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 41.5, 66.3, 126.7, 263.3, 512.4, 1049.0", \ + " 41.6, 66.4, 126.7, 263.3, 512.4, 1049.0", \ + " 41.7, 66.5, 126.8, 263.4, 512.4, 1049.0", \ + " 42.3, 67.1, 127.1, 263.5, 512.4, 1049.0", \ + " 46.1, 70.1, 128.9, 264.2, 512.7, 1049.1", \ + " 50.7, 74.9, 133.1, 266.8, 513.7, 1049.2", \ + " 56.8, 80.3, 138.2, 270.7, 516.4, 1050.2", \ + " 64.9, 88.2, 144.3, 275.4, 519.5, 1052.3", \ + " 76.4, 99.7, 154.4, 281.7, 523.9, 1054.7", \ + " 92.1, 115.9, 170.3, 293.7, 531.3, 1059.0" ); }} +} +} +cell(or2v0x8) { /* 2008-01-06:07h54 */ +area : 13 ; /* tracks */ +cell_leakage_power : 2980 ; /* or2v0x8 */ +cell_footprint : or2 ; +pin(a) { /* or2v0x8 FO4 effort 1.76 */ +direction : input ; +capacitance : 9.73 ; +rise_capacitance : 9.63 ; +fall_capacitance : 9.83 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v0x8 */ +} +pin(b) { /* or2v0x8 FO4 effort 1.60 */ +direction : input ; +capacitance : 9.53 ; +rise_capacitance : 10.09 ; +fall_capacitance : 8.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v0x8 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 8 ; +max_capacitance : 941 ; +max_fanout : 20 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v0x8 70.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x8_1040_5x10) { +values( " 35.58, 35.43, 35.88, 36.25, 36.36", \ + " 35.14, 34.97, 35.41, 35.79, 35.91", \ + " 35.02, 34.81, 35.22, 35.62, 35.76", \ + " 35.06, 34.77, 35.12, 35.53, 35.69", \ + " 35.76, 35.20, 35.33, 35.68, 35.85", \ + " 37.27, 36.31, 36.14, 36.36, 36.52", \ + " 39.98, 38.51, 37.93, 37.94, 38.04", \ + " 44.55, 42.45, 41.32, 41.01, 40.99", \ + " 52.13, 49.20, 47.34, 46.54, 46.31", \ + " 64.28, 60.29, 57.42, 55.93, 55.34" ); }} +internal_power(b_z_p) { /* or2v0x8 60.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x8_1040_5x10) { +values( " 30.33, 30.41, 31.01, 31.37, 31.44", \ + " 29.91, 29.93, 30.51, 30.91, 31.01", \ + " 29.86, 29.79, 30.33, 30.78, 30.91", \ + " 30.17, 29.93, 30.36, 30.81, 30.98", \ + " 31.30, 30.70, 30.86, 31.25, 31.44", \ + " 33.31, 32.25, 32.06, 32.32, 32.50", \ + " 36.67, 35.03, 34.39, 34.42, 34.55", \ + " 42.04, 39.66, 38.43, 38.11, 38.11", \ + " 50.61, 47.27, 45.23, 44.39, 44.16", \ + " 64.12, 59.55, 56.34, 54.74, 54.12" ); }} +timing() { /* ring osc delay or2v0x8, path a to z 86.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.2 ; */ +/* intrinsic_fall : 109.8 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.6, 92.9, 131.5, 214.2, 360.1, 669.5", \ + " 82.4, 99.6, 138.2, 220.9, 366.8, 676.2", \ + " 87.8, 105.1, 143.7, 226.3, 372.2, 681.7", \ + " 95.4, 112.6, 151.1, 233.8, 379.7, 689.1", \ + " 108.3, 125.4, 163.7, 246.3, 392.2, 701.6", \ + " 123.0, 140.5, 178.9, 261.2, 407.0, 716.4", \ + " 139.2, 157.4, 196.2, 278.3, 423.9, 733.3", \ + " 157.0, 176.0, 215.3, 297.3, 442.8, 751.9", \ + " 176.9, 196.9, 237.3, 319.1, 464.4, 773.4", \ + " 199.0, 220.2, 262.4, 344.7, 489.7, 798.6" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.9, 85.2, 179.5, 400.3, 798.6, 1647.9", \ + " 50.9, 85.2, 179.5, 400.3, 798.6, 1647.9", \ + " 51.0, 85.3, 179.6, 400.3, 798.6, 1647.9", \ + " 51.0, 85.4, 179.7, 400.3, 798.6, 1647.9", \ + " 53.0, 86.8, 180.3, 400.5, 798.6, 1648.0", \ + " 58.0, 91.0, 182.8, 401.4, 798.8, 1648.0", \ + " 64.5, 96.9, 186.9, 403.3, 799.5, 1648.2", \ + " 72.4, 104.7, 192.6, 406.2, 800.8, 1648.7", \ + " 82.8, 115.0, 200.7, 411.0, 802.9, 1649.6", \ + " 96.5, 129.0, 212.8, 419.1, 807.4, 1651.6" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.9, 102.6, 137.3, 203.3, 315.8, 553.7", \ + " 92.2, 109.9, 144.6, 210.6, 323.1, 561.1", \ + " 97.2, 114.8, 149.5, 215.5, 328.1, 566.0", \ + " 103.4, 121.0, 155.7, 221.7, 334.3, 572.2", \ + " 113.4, 131.2, 165.9, 232.0, 344.5, 582.5", \ + " 125.1, 143.5, 179.1, 245.3, 357.8, 595.8", \ + " 139.7, 158.9, 195.7, 262.9, 375.4, 613.3", \ + " 158.3, 178.5, 216.7, 284.9, 398.0, 635.7", \ + " 182.5, 203.9, 244.3, 314.0, 427.5, 665.5", \ + " 213.6, 236.6, 280.1, 352.8, 467.3, 705.7" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 72.0, 136.8, 283.8, 551.9, 1129.7", \ + " 45.4, 72.1, 136.9, 283.8, 551.9, 1129.7", \ + " 45.4, 72.1, 136.9, 283.8, 551.9, 1129.7", \ + " 45.7, 72.4, 137.0, 283.9, 551.9, 1129.7", \ + " 47.9, 73.9, 137.9, 284.2, 552.0, 1129.8", \ + " 51.9, 78.2, 141.1, 285.8, 552.6, 1129.8", \ + " 57.1, 83.6, 146.5, 289.4, 554.5, 1130.3", \ + " 64.3, 91.0, 153.3, 294.6, 557.5, 1131.6", \ + " 74.6, 101.5, 163.6, 302.2, 562.2, 1133.6", \ + " 88.7, 116.0, 178.8, 315.0, 570.9, 1137.9" ); }} +timing() { /* ring osc delay or2v0x8, path b to z 76.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.3 ; */ +/* intrinsic_fall : 101.0 ; */ +/* rise_resistance : 0.57 ; */ +/* fall_resistance : 0.46 ; */ +cell_rise(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.5, 81.5, 119.6, 202.0, 347.6, 656.8", \ + " 71.4, 88.3, 126.4, 208.8, 354.4, 663.6", \ + " 76.8, 93.6, 131.8, 214.1, 359.8, 669.0", \ + " 84.2, 100.8, 138.8, 221.2, 366.9, 676.1", \ + " 95.1, 112.0, 149.9, 232.1, 377.7, 687.0", \ + " 106.4, 123.9, 162.2, 244.1, 389.7, 698.9", \ + " 118.8, 137.1, 175.7, 257.8, 403.1, 712.1", \ + " 132.1, 151.3, 190.8, 272.6, 417.9, 726.8", \ + " 146.1, 166.5, 207.6, 289.7, 434.8, 743.5", \ + " 159.9, 181.8, 225.4, 308.9, 454.1, 762.8" ); } +rise_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.6, 81.9, 177.0, 398.6, 797.4, 1647.1", \ + " 47.7, 82.0, 177.0, 398.6, 797.4, 1647.1", \ + " 47.8, 82.1, 177.1, 398.6, 797.4, 1647.1", \ + " 48.4, 82.6, 177.3, 398.6, 797.4, 1647.1", \ + " 52.4, 85.6, 178.7, 399.0, 797.4, 1647.1", \ + " 57.7, 90.6, 181.8, 400.3, 797.7, 1647.1", \ + " 64.4, 96.8, 186.5, 402.4, 798.6, 1647.2", \ + " 73.1, 105.5, 193.0, 406.0, 800.0, 1647.9", \ + " 84.9, 117.6, 203.2, 412.4, 803.1, 1649.0", \ + " 101.1, 134.3, 218.9, 424.1, 810.3, 1652.2" ); } +cell_fall(x8_1040_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.4, 94.1, 128.8, 194.8, 307.3, 545.2", \ + " 82.1, 99.8, 134.5, 200.5, 313.0, 550.9", \ + " 87.3, 105.0, 139.7, 205.6, 318.2, 556.1", \ + " 94.6, 112.2, 146.9, 212.9, 325.5, 563.4", \ + " 107.0, 124.7, 159.4, 225.5, 338.0, 576.0", \ + " 121.2, 139.6, 175.3, 241.6, 354.1, 592.0", \ + " 139.0, 158.0, 194.5, 262.0, 374.5, 612.3", \ + " 161.2, 181.1, 218.6, 286.5, 400.1, 637.7", \ + " 189.5, 210.8, 250.2, 318.7, 432.4, 670.8", \ + " 225.9, 248.8, 291.3, 362.0, 475.8, 714.8" ); } +fall_transition(x8_1040_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 72.0, 136.8, 283.8, 551.9, 1129.7", \ + " 45.4, 72.1, 136.9, 283.8, 551.9, 1129.7", \ + " 45.4, 72.2, 137.0, 283.8, 551.9, 1129.7", \ + " 45.9, 72.5, 137.2, 283.9, 551.9, 1129.7", \ + " 49.3, 75.2, 138.6, 284.5, 552.1, 1129.8", \ + " 54.5, 80.3, 142.7, 286.8, 552.9, 1129.9", \ + " 61.0, 86.3, 148.4, 290.8, 555.5, 1130.6", \ + " 69.7, 94.6, 154.9, 295.8, 558.7, 1132.7", \ + " 81.9, 106.6, 165.3, 302.5, 563.2, 1135.1", \ + " 98.6, 123.7, 181.7, 314.4, 570.7, 1139.1" ); }} +} +} +cell(or2v4x1) { /* 2008-01-06:07h54 */ +area : 5 ; /* tracks */ +cell_leakage_power : 566 ; /* or2v4x1 */ +cell_footprint : or2 ; +pin(a) { /* or2v4x1 FO4 effort 1.88 */ +direction : input ; +capacitance : 2.21 ; +rise_capacitance : 2.25 ; +fall_capacitance : 2.17 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v4x1 */ +} +pin(b) { /* or2v4x1 FO4 effort 1.73 */ +direction : input ; +capacitance : 1.98 ; +rise_capacitance : 2.15 ; +fall_capacitance : 1.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 162 ; +max_fanout : 4 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v4x1 14.61 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 7.41, 7.42, 7.49, 7.53, 7.52", \ + " 7.30, 7.30, 7.37, 7.41, 7.41", \ + " 7.27, 7.25, 7.32, 7.37, 7.38", \ + " 7.28, 7.24, 7.30, 7.36, 7.37", \ + " 7.38, 7.31, 7.34, 7.40, 7.41", \ + " 7.64, 7.52, 7.51, 7.56, 7.57", \ + " 8.16, 7.97, 7.90, 7.92, 7.93", \ + " 9.06, 8.78, 8.63, 8.61, 8.61", \ + " 10.54, 10.15, 9.90, 9.81, 9.78", \ + " 12.92, 12.40, 12.00, 11.80, 11.73" ); }} +internal_power(b_z_p) { /* or2v4x1 13.17 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 6.56, 6.59, 6.68, 6.73, 6.74", \ + " 6.48, 6.49, 6.58, 6.64, 6.66", \ + " 6.48, 6.47, 6.56, 6.63, 6.65", \ + " 6.53, 6.50, 6.58, 6.66, 6.68", \ + " 6.71, 6.64, 6.69, 6.77, 6.80", \ + " 7.07, 6.95, 6.95, 7.01, 7.04", \ + " 7.71, 7.50, 7.44, 7.46, 7.49", \ + " 8.72, 8.42, 8.27, 8.25, 8.26", \ + " 10.34, 9.92, 9.65, 9.55, 9.53", \ + " 12.91, 12.32, 11.89, 11.68, 11.61" ); }} +timing() { /* ring osc delay or2v4x1, path a to z 92.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 72.8 ; */ +/* intrinsic_fall : 136.7 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 73.2, 113.6, 202.6, 360.4, 695.4", \ + " 63.8, 80.3, 120.7, 209.8, 367.6, 702.6", \ + " 69.3, 85.7, 126.0, 215.2, 373.0, 708.1", \ + " 75.9, 92.2, 132.5, 221.7, 379.5, 714.6", \ + " 84.4, 101.0, 141.2, 230.3, 388.1, 723.2", \ + " 92.3, 109.2, 149.5, 238.5, 396.3, 731.3", \ + " 99.2, 116.7, 157.0, 246.0, 403.7, 738.6", \ + " 103.9, 122.3, 162.9, 251.5, 409.3, 744.1", \ + " 104.5, 124.1, 165.6, 254.1, 411.6, 746.4", \ + " 97.7, 118.8, 161.9, 250.8, 408.3, 743.1" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.3, 87.1, 192.5, 434.6, 867.5, 1788.0", \ + " 49.4, 87.2, 192.5, 434.6, 867.5, 1788.0", \ + " 49.7, 87.4, 192.6, 434.6, 867.5, 1788.0", \ + " 51.0, 88.2, 192.8, 434.7, 867.5, 1788.0", \ + " 54.5, 90.7, 194.0, 435.0, 867.5, 1788.1", \ + " 58.8, 94.3, 196.0, 435.7, 867.8, 1788.1", \ + " 64.7, 99.2, 199.1, 437.0, 868.3, 1788.3", \ + " 72.5, 106.3, 203.7, 439.1, 869.2, 1788.8", \ + " 82.9, 116.4, 211.3, 443.1, 871.1, 1789.7", \ + " 96.7, 130.4, 223.0, 450.7, 875.2, 1791.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 111.6, 131.3, 170.5, 243.3, 365.6, 623.4", \ + " 117.8, 137.5, 176.7, 249.5, 371.8, 629.6", \ + " 122.5, 142.1, 181.3, 254.1, 376.4, 634.2", \ + " 128.7, 148.3, 187.4, 260.3, 382.6, 640.4", \ + " 139.7, 159.3, 198.4, 271.3, 393.6, 651.4", \ + " 154.8, 174.7, 214.0, 287.0, 409.3, 667.1", \ + " 175.1, 195.8, 236.4, 310.0, 432.3, 690.1", \ + " 203.5, 224.9, 266.8, 341.7, 464.4, 722.0", \ + " 243.8, 266.1, 309.6, 385.7, 509.3, 767.0", \ + " 300.3, 323.9, 369.8, 448.2, 572.4, 830.8" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.0, 89.3, 159.9, 317.7, 606.0, 1230.1", \ + " 60.1, 89.4, 159.9, 317.7, 606.0, 1230.1", \ + " 60.1, 89.4, 160.0, 317.7, 606.0, 1230.1", \ + " 60.1, 89.5, 160.0, 317.7, 606.0, 1230.1", \ + " 60.9, 90.1, 160.4, 317.9, 606.1, 1230.1", \ + " 64.8, 93.4, 162.5, 318.8, 606.4, 1230.2", \ + " 69.6, 98.7, 167.3, 322.0, 607.9, 1230.4", \ + " 75.4, 104.6, 173.5, 326.8, 610.9, 1231.7", \ + " 83.5, 112.8, 181.4, 333.4, 615.2, 1233.8", \ + " 95.0, 124.8, 193.6, 343.1, 622.1, 1237.2" ); }} +timing() { /* ring osc delay or2v4x1, path b to z 84.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 127.4 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.67 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.5, 67.9, 108.1, 197.1, 354.8, 689.8", \ + " 58.8, 75.1, 115.4, 204.4, 362.2, 697.1", \ + " 64.1, 80.3, 120.5, 209.5, 367.3, 702.3", \ + " 69.9, 86.0, 126.2, 215.2, 373.0, 708.0", \ + " 76.6, 93.2, 133.3, 222.2, 380.0, 715.0", \ + " 82.4, 99.4, 139.6, 228.5, 386.2, 721.2", \ + " 86.8, 104.5, 144.8, 233.6, 391.2, 726.1", \ + " 88.1, 106.8, 147.6, 236.2, 393.9, 728.6", \ + " 83.8, 103.9, 146.0, 234.8, 392.3, 727.0", \ + " 69.6, 91.4, 135.7, 225.5, 383.6, 718.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.5, 85.5, 191.4, 433.9, 867.0, 1787.6", \ + " 47.7, 85.7, 191.4, 433.9, 867.0, 1787.6", \ + " 48.3, 86.0, 191.5, 433.9, 867.0, 1787.6", \ + " 50.3, 87.3, 191.9, 434.0, 867.0, 1787.6", \ + " 54.0, 90.2, 193.3, 434.4, 867.0, 1787.6", \ + " 58.7, 94.0, 195.5, 435.2, 867.3, 1787.6", \ + " 65.1, 99.5, 199.1, 436.6, 867.9, 1787.9", \ + " 73.7, 107.6, 204.6, 439.3, 868.9, 1788.4", \ + " 85.1, 119.0, 213.8, 444.7, 871.6, 1789.5", \ + " 100.3, 134.9, 227.9, 454.9, 877.9, 1792.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.3, 121.0, 160.2, 233.2, 355.5, 613.3", \ + " 106.4, 126.1, 165.2, 238.2, 360.5, 618.3", \ + " 111.6, 131.3, 170.4, 243.4, 365.7, 623.6", \ + " 119.4, 139.0, 178.1, 251.1, 373.4, 631.3", \ + " 133.7, 153.2, 192.4, 265.3, 387.7, 645.6", \ + " 153.3, 173.1, 212.4, 285.4, 407.7, 665.6", \ + " 178.3, 198.9, 239.5, 313.0, 435.3, 693.1", \ + " 211.2, 232.5, 274.0, 349.0, 471.6, 729.3", \ + " 256.2, 278.5, 321.4, 397.0, 520.8, 778.5", \ + " 318.9, 342.6, 387.8, 464.9, 588.7, 847.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.1, 89.3, 159.8, 317.6, 606.0, 1230.1", \ + " 60.1, 89.4, 159.9, 317.7, 606.0, 1230.1", \ + " 60.2, 89.5, 159.9, 317.7, 606.0, 1230.1", \ + " 60.2, 89.6, 160.0, 317.7, 606.0, 1230.1", \ + " 61.1, 90.3, 160.5, 317.9, 606.1, 1230.1", \ + " 65.8, 94.1, 162.9, 319.0, 606.5, 1230.2", \ + " 72.1, 100.5, 168.5, 322.6, 608.1, 1230.5", \ + " 79.5, 107.5, 175.2, 327.7, 611.6, 1232.0", \ + " 89.2, 117.1, 183.3, 334.2, 615.9, 1234.6", \ + " 102.8, 130.8, 196.1, 343.1, 622.2, 1237.9" ); }} +} +} +cell(or2v7x2) { /* 2008-01-06:07h54 */ +area : 7 ; /* tracks */ +cell_leakage_power : 1155 ; /* or2v7x2 */ +cell_footprint : or2 ; +pin(a) { /* or2v7x2 FO4 effort 1.83 */ +direction : input ; +capacitance : 4.34 ; +rise_capacitance : 4.31 ; +fall_capacitance : 4.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or2v7x2 */ +} +pin(b) { /* or2v7x2 FO4 effort 1.53 */ +direction : input ; +capacitance : 5.15 ; +rise_capacitance : 5.40 ; +fall_capacitance : 4.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or2v7x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 250 ; +max_fanout : 6 ; +function : "(a+b)" ; +internal_power(a_z_p) { /* or2v7x2 25.06 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 12.62, 12.70, 12.87, 12.98, 13.01", \ + " 12.40, 12.48, 12.64, 12.76, 12.80", \ + " 12.34, 12.41, 12.56, 12.69, 12.73", \ + " 12.36, 12.39, 12.53, 12.66, 12.71", \ + " 12.57, 12.54, 12.63, 12.74, 12.80", \ + " 13.05, 12.95, 12.97, 13.06, 13.12", \ + " 14.00, 13.80, 13.74, 13.78, 13.83", \ + " 15.68, 15.36, 15.18, 15.14, 15.17", \ + " 18.52, 18.04, 17.70, 17.55, 17.53", \ + " 23.13, 22.44, 21.88, 21.58, 21.46" ); }} +internal_power(b_z_p) { /* or2v7x2 26.02 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 14.04, 13.56, 12.77, 11.96, 11.42", \ + " 13.84, 13.39, 12.65, 11.86, 11.30", \ + " 13.89, 13.46, 12.76, 11.96, 11.37", \ + " 14.10, 13.69, 13.01, 12.20, 11.57", \ + " 14.65, 14.23, 13.57, 12.73, 12.04", \ + " 15.64, 15.20, 14.52, 13.65, 12.87", \ + " 17.33, 16.84, 16.13, 15.19, 14.30", \ + " 20.05, 19.46, 18.67, 17.65, 16.62", \ + " 24.42, 23.64, 22.70, 21.55, 20.35", \ + " 31.48, 30.38, 29.05, 27.66, 26.26" ); }} +timing() { /* ring osc delay or2v7x2, path a to z 89.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.9 ; */ +/* intrinsic_fall : 101.5 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.68 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.7, 84.7, 120.1, 196.9, 332.4, 619.7", \ + " 76.4, 91.4, 126.8, 203.6, 339.1, 626.4", \ + " 81.8, 96.9, 132.2, 209.0, 344.5, 631.9", \ + " 89.3, 104.3, 139.5, 216.3, 351.9, 639.2", \ + " 101.2, 116.2, 151.3, 228.0, 363.5, 650.9", \ + " 113.8, 129.2, 164.5, 241.0, 376.5, 663.8", \ + " 127.7, 143.6, 179.1, 255.7, 391.0, 678.3", \ + " 142.7, 159.3, 195.3, 271.6, 407.0, 694.2", \ + " 159.0, 176.6, 213.5, 289.7, 425.0, 712.2", \ + " 176.5, 195.3, 233.7, 310.6, 445.8, 733.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.0, 82.0, 170.6, 376.6, 746.9, 1535.7", \ + " 50.1, 82.0, 170.6, 376.6, 746.9, 1535.7", \ + " 50.1, 82.1, 170.7, 376.6, 746.9, 1535.7", \ + " 50.4, 82.3, 170.8, 376.7, 746.9, 1535.7", \ + " 53.0, 84.2, 171.7, 376.9, 747.0, 1535.7", \ + " 57.3, 88.0, 174.0, 377.8, 747.2, 1535.8", \ + " 62.8, 92.9, 177.5, 379.5, 748.0, 1536.1", \ + " 69.8, 99.4, 182.1, 382.0, 749.1, 1536.7", \ + " 78.9, 108.4, 189.2, 386.2, 751.2, 1537.7", \ + " 90.9, 120.9, 200.1, 393.6, 755.6, 1539.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.0, 94.3, 125.5, 186.3, 290.6, 511.5", \ + " 86.2, 101.4, 132.6, 193.4, 297.8, 518.7", \ + " 91.1, 106.2, 137.5, 198.3, 302.7, 523.6", \ + " 97.2, 112.3, 143.6, 204.4, 308.8, 529.7", \ + " 106.5, 122.0, 153.5, 214.3, 318.7, 539.7", \ + " 117.1, 133.3, 165.8, 227.0, 331.4, 552.3", \ + " 130.7, 147.6, 181.2, 243.5, 348.1, 568.9", \ + " 148.2, 166.1, 201.1, 264.3, 369.6, 590.4", \ + " 171.1, 190.3, 227.5, 292.4, 398.0, 619.3", \ + " 200.8, 221.6, 261.8, 329.9, 436.9, 658.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 43.5, 67.7, 128.0, 265.1, 514.6, 1051.6", \ + " 43.6, 67.8, 128.0, 265.1, 514.6, 1051.6", \ + " 43.7, 67.9, 128.1, 265.1, 514.6, 1051.6", \ + " 44.1, 68.2, 128.3, 265.2, 514.6, 1051.6", \ + " 46.8, 70.4, 129.6, 265.8, 514.8, 1051.6", \ + " 50.5, 74.5, 133.1, 267.8, 515.6, 1051.7", \ + " 55.2, 79.6, 138.2, 271.4, 517.7, 1052.4", \ + " 62.0, 86.5, 144.6, 276.4, 520.7, 1053.8", \ + " 71.3, 96.4, 154.5, 283.8, 525.6, 1055.9", \ + " 83.9, 109.9, 169.0, 296.5, 534.4, 1060.7" ); }} +timing() { /* ring osc delay or2v7x2, path b to z 72.6 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 62.0 ; */ +/* intrinsic_fall : 92.4 ; */ +/* rise_resistance : 1.19 ; */ +/* fall_resistance : 1.68 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.0, 51.3, 69.4, 111.1, 189.7, 360.4", \ + " 50.8, 58.3, 76.7, 118.6, 197.1, 367.7", \ + " 56.5, 64.2, 82.6, 124.5, 202.9, 373.4", \ + " 64.4, 72.2, 90.7, 132.4, 210.6, 380.9", \ + " 76.7, 85.3, 104.1, 145.5, 223.2, 393.1", \ + " 88.5, 98.7, 120.5, 163.2, 240.0, 409.0", \ + " 100.3, 112.0, 137.0, 186.3, 264.4, 431.9", \ + " 111.6, 124.8, 152.7, 208.3, 297.9, 464.9", \ + " 122.2, 137.0, 167.7, 228.0, 330.2, 514.1", \ + " 131.0, 147.5, 181.3, 245.5, 356.4, 572.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 84.6, 109.5, 182.9, 357.2, 679.0, 1381.3", \ + " 84.9, 109.0, 180.2, 355.3, 678.5, 1381.2", \ + " 86.9, 110.8, 181.2, 354.4, 678.2, 1381.2", \ + " 90.6, 114.5, 184.2, 355.8, 678.2, 1381.2", \ + " 97.5, 122.5, 191.7, 361.4, 681.4, 1381.7", \ + " 103.9, 130.9, 204.3, 373.0, 690.2, 1386.3", \ + " 111.8, 140.3, 217.6, 394.1, 708.4, 1399.3", \ + " 122.5, 152.3, 231.2, 418.3, 740.5, 1425.4", \ + " 137.4, 168.8, 249.2, 439.0, 784.1, 1472.9", \ + " 158.1, 191.4, 273.7, 465.0, 817.9, 1549.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 85.3, 116.2, 176.7, 280.9, 501.7", \ + " 75.8, 90.9, 121.9, 182.4, 286.6, 507.4", \ + " 81.0, 96.1, 127.1, 187.7, 291.9, 512.7", \ + " 88.2, 103.3, 134.4, 195.0, 299.2, 520.1", \ + " 99.2, 114.9, 146.4, 207.1, 311.4, 532.1", \ + " 112.2, 128.2, 160.9, 222.1, 326.4, 547.1", \ + " 128.8, 145.4, 178.5, 241.0, 345.5, 566.2", \ + " 149.8, 167.3, 201.3, 264.0, 369.7, 590.3", \ + " 176.6, 195.4, 231.3, 295.0, 400.4, 622.2", \ + " 211.3, 231.8, 270.7, 336.7, 442.8, 664.9" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 42.3, 66.0, 124.6, 257.9, 501.1, 1025.0", \ + " 42.5, 66.1, 124.7, 257.9, 501.1, 1025.0", \ + " 42.7, 66.3, 124.8, 258.0, 501.1, 1025.0", \ + " 43.7, 67.0, 125.1, 258.1, 501.2, 1025.0", \ + " 47.2, 70.1, 127.1, 258.8, 501.4, 1025.0", \ + " 50.8, 74.0, 130.8, 261.3, 502.3, 1025.1", \ + " 56.0, 78.6, 134.9, 264.6, 504.7, 1025.7", \ + " 63.3, 85.6, 140.1, 268.5, 507.4, 1027.8", \ + " 73.5, 96.1, 149.3, 273.8, 511.1, 1029.9", \ + " 87.7, 110.9, 163.9, 284.8, 517.4, 1033.5" ); }} +} +} +cell(or3v0x05) { /* 2008-01-06:07h55 */ +area : 7 ; /* tracks */ +cell_leakage_power : 705 ; /* or3v0x05 */ +cell_footprint : or3 ; +pin(a) { /* or3v0x05 FO4 effort 2.68 */ +direction : input ; +capacitance : 3.74 ; +rise_capacitance : 3.68 ; +fall_capacitance : 3.81 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v0x05 */ +} +pin(b) { /* or3v0x05 FO4 effort 2.52 */ +direction : input ; +capacitance : 3.64 ; +rise_capacitance : 3.74 ; +fall_capacitance : 3.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v0x05 */ +} +pin(c) { /* or3v0x05 FO4 effort 2.27 */ +direction : input ; +capacitance : 3.66 ; +rise_capacitance : 3.93 ; +fall_capacitance : 3.38 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v0x05 21.16 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 10.80, 10.82, 10.88, 10.93, 10.95", \ + " 10.61, 10.63, 10.69, 10.74, 10.76", \ + " 10.55, 10.57, 10.63, 10.68, 10.70", \ + " 10.51, 10.52, 10.58, 10.63, 10.66", \ + " 10.54, 10.54, 10.58, 10.63, 10.65", \ + " 10.73, 10.69, 10.71, 10.75, 10.77", \ + " 11.15, 11.09, 11.07, 11.09, 11.11", \ + " 12.05, 11.95, 11.88, 11.87, 11.88", \ + " 13.78, 13.62, 13.49, 13.44, 13.42", \ + " 16.86, 16.63, 16.41, 16.29, 16.24" ); }} +internal_power(b_z_p) { /* or3v0x05 17.69 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 8.97, 9.01, 9.08, 9.14, 9.16", \ + " 8.84, 8.87, 8.95, 9.00, 9.02", \ + " 8.79, 8.82, 8.89, 8.95, 8.97", \ + " 8.76, 8.78, 8.84, 8.91, 8.93", \ + " 8.83, 8.82, 8.87, 8.93, 8.95", \ + " 9.07, 9.04, 9.05, 9.10, 9.13", \ + " 9.61, 9.54, 9.52, 9.55, 9.57", \ + " 10.65, 10.54, 10.47, 10.47, 10.48", \ + " 12.50, 12.33, 12.19, 12.14, 12.13", \ + " 15.55, 15.31, 15.09, 14.97, 14.92" ); }} +internal_power(c_z_p) { /* or3v0x05 13.94 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 6.96, 7.02, 7.11, 7.15, 7.16", \ + " 6.82, 6.87, 6.96, 7.01, 7.03", \ + " 6.81, 6.85, 6.93, 6.99, 7.01", \ + " 6.87, 6.89, 6.97, 7.03, 7.06", \ + " 7.09, 7.09, 7.14, 7.20, 7.23", \ + " 7.51, 7.49, 7.51, 7.56, 7.60", \ + " 8.29, 8.23, 8.22, 8.25, 8.28", \ + " 9.59, 9.48, 9.42, 9.43, 9.45", \ + " 11.72, 11.56, 11.43, 11.39, 11.39", \ + " 15.13, 14.89, 14.68, 14.56, 14.53" ); }} +timing() { /* ring osc delay or3v0x05, path a to z 134.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.1 ; */ +/* intrinsic_fall : 135.7 ; */ +/* rise_resistance : 5.02 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.2, 100.1, 137.3, 216.7, 355.6, 649.3", \ + " 90.7, 106.6, 143.8, 223.2, 362.1, 655.8", \ + " 96.1, 112.0, 149.2, 228.5, 367.4, 661.2", \ + " 103.6, 119.5, 156.6, 235.9, 374.9, 668.6", \ + " 117.1, 132.8, 169.6, 248.9, 387.8, 681.6", \ + " 133.1, 149.0, 185.7, 264.7, 403.6, 697.4", \ + " 150.9, 167.2, 204.2, 283.1, 421.9, 715.6", \ + " 170.2, 187.1, 224.5, 303.3, 442.1, 735.8", \ + " 191.6, 209.4, 247.5, 326.3, 465.0, 758.7", \ + " 215.4, 234.3, 273.7, 352.9, 491.6, 785.5" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.7, 91.8, 182.2, 391.7, 768.8, 1573.2", \ + " 58.7, 91.7, 182.2, 391.7, 768.8, 1573.2", \ + " 58.6, 91.7, 182.2, 391.7, 768.8, 1573.2", \ + " 58.4, 91.6, 182.1, 391.7, 768.8, 1573.2", \ + " 59.0, 91.8, 182.2, 391.6, 768.7, 1573.1", \ + " 62.6, 94.6, 183.6, 392.0, 768.8, 1573.2", \ + " 67.7, 99.1, 186.7, 393.4, 769.3, 1573.3", \ + " 74.2, 105.1, 190.9, 395.7, 770.4, 1573.9", \ + " 82.5, 113.2, 197.2, 399.4, 772.3, 1574.9", \ + " 93.5, 124.3, 206.6, 405.8, 776.1, 1577.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 111.9, 128.8, 163.6, 228.5, 335.9, 561.5", \ + " 118.7, 135.6, 170.4, 235.3, 342.8, 568.3", \ + " 123.3, 140.2, 175.0, 239.9, 347.3, 572.9", \ + " 128.8, 145.7, 180.5, 245.4, 352.9, 578.5", \ + " 137.2, 154.1, 188.9, 253.9, 361.4, 587.0", \ + " 146.2, 163.6, 199.0, 264.2, 371.8, 597.4", \ + " 156.4, 174.4, 211.1, 277.5, 385.3, 610.9", \ + " 169.2, 188.1, 226.2, 294.0, 402.8, 628.5", \ + " 186.4, 206.6, 246.9, 316.9, 426.6, 652.9", \ + " 209.9, 231.8, 275.5, 349.3, 461.0, 688.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.4, 78.9, 142.3, 281.2, 532.8, 1077.5", \ + " 52.5, 78.9, 142.3, 281.2, 532.8, 1077.5", \ + " 52.5, 79.0, 142.4, 281.2, 532.8, 1077.5", \ + " 52.5, 79.0, 142.5, 281.3, 532.8, 1077.5", \ + " 53.4, 79.7, 142.9, 281.5, 532.9, 1077.5", \ + " 56.4, 82.7, 145.2, 282.7, 533.5, 1077.7", \ + " 59.9, 86.9, 149.9, 286.5, 535.7, 1078.3", \ + " 65.3, 92.6, 155.9, 292.0, 539.6, 1080.4", \ + " 73.9, 102.0, 165.7, 300.2, 545.5, 1083.4", \ + " 86.4, 115.6, 180.9, 314.5, 556.2, 1089.5" ); }} +timing() { /* ring osc delay or3v0x05, path b to z 125.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 94.2 ; */ +/* intrinsic_fall : 126.4 ; */ +/* rise_resistance : 4.98 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.3, 91.5, 127.7, 206.1, 344.5, 637.8", \ + " 83.1, 98.3, 134.5, 212.9, 351.3, 644.6", \ + " 88.5, 103.6, 139.7, 218.2, 356.6, 650.0", \ + " 95.8, 110.9, 147.0, 225.4, 363.8, 657.2", \ + " 108.0, 123.0, 158.9, 237.3, 375.7, 669.2", \ + " 121.1, 136.5, 172.6, 250.9, 389.2, 682.7", \ + " 135.4, 151.3, 187.6, 265.9, 404.2, 697.6", \ + " 150.5, 167.1, 203.8, 282.0, 420.4, 713.7", \ + " 166.3, 183.9, 221.6, 299.8, 438.1, 731.5", \ + " 182.1, 201.1, 240.3, 319.1, 457.5, 751.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.4, 87.0, 177.6, 388.0, 766.0, 1571.2", \ + " 54.4, 87.0, 177.6, 388.0, 766.0, 1571.2", \ + " 54.4, 87.0, 177.7, 388.0, 766.0, 1571.2", \ + " 54.5, 87.2, 177.8, 388.0, 766.0, 1571.2", \ + " 56.5, 88.6, 178.4, 388.2, 766.0, 1571.2", \ + " 60.5, 92.0, 180.5, 389.0, 766.3, 1571.3", \ + " 65.6, 96.6, 183.7, 390.6, 767.0, 1571.5", \ + " 72.2, 102.7, 188.1, 393.0, 768.1, 1572.2", \ + " 81.0, 111.3, 194.9, 397.0, 770.1, 1573.1", \ + " 92.5, 123.3, 205.3, 404.2, 774.4, 1575.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.6, 120.5, 155.4, 220.2, 327.7, 553.3", \ + " 109.4, 126.3, 161.2, 226.0, 333.5, 559.1", \ + " 113.9, 130.8, 165.6, 230.5, 338.0, 563.6", \ + " 119.5, 136.4, 171.2, 236.2, 343.7, 569.3", \ + " 128.6, 145.6, 180.6, 245.6, 353.1, 578.7", \ + " 138.9, 156.7, 192.6, 258.0, 365.6, 591.2", \ + " 152.4, 170.7, 207.9, 274.9, 382.8, 608.4", \ + " 171.0, 190.1, 228.4, 296.5, 405.9, 631.6", \ + " 197.0, 217.3, 257.4, 326.9, 436.9, 663.8", \ + " 232.1, 254.0, 297.0, 369.4, 480.4, 708.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.4, 78.9, 142.3, 281.2, 532.8, 1077.5", \ + " 52.5, 78.9, 142.4, 281.2, 532.8, 1077.5", \ + " 52.6, 79.0, 142.4, 281.2, 532.8, 1077.5", \ + " 52.8, 79.3, 142.5, 281.3, 532.9, 1077.5", \ + " 54.7, 80.7, 143.5, 281.8, 533.1, 1077.6", \ + " 58.7, 85.0, 147.2, 283.9, 534.0, 1077.8", \ + " 62.6, 89.5, 152.5, 288.5, 537.0, 1078.8", \ + " 68.7, 95.6, 158.1, 294.0, 541.1, 1081.4", \ + " 77.5, 104.8, 167.0, 300.7, 546.4, 1084.6", \ + " 89.5, 117.7, 180.7, 312.3, 554.7, 1089.6" ); }} +timing() { /* ring osc delay or3v0x05, path c to z 107.9 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.0 ; */ +/* intrinsic_fall : 105.6 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.9, 77.7, 113.3, 191.3, 329.3, 622.4", \ + " 69.8, 84.6, 120.2, 198.2, 336.3, 629.4", \ + " 75.3, 90.0, 125.6, 203.6, 341.7, 634.9", \ + " 82.2, 96.8, 132.3, 210.4, 348.6, 641.7", \ + " 91.6, 106.6, 142.1, 220.1, 358.2, 651.4", \ + " 100.8, 116.2, 152.1, 230.1, 368.2, 661.4", \ + " 110.5, 126.6, 162.8, 241.0, 379.0, 672.2", \ + " 120.2, 137.2, 174.2, 252.3, 390.6, 683.6", \ + " 129.1, 147.4, 185.9, 264.6, 402.9, 696.1", \ + " 135.5, 155.4, 196.3, 276.7, 415.9, 709.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.6, 83.4, 174.9, 386.1, 764.7, 1570.2", \ + " 50.7, 83.5, 174.9, 386.1, 764.7, 1570.2", \ + " 50.8, 83.6, 175.0, 386.1, 764.7, 1570.2", \ + " 51.9, 84.3, 175.3, 386.2, 764.7, 1570.2", \ + " 55.5, 87.2, 176.8, 386.6, 764.7, 1570.2", \ + " 59.6, 91.1, 179.3, 387.8, 765.1, 1570.2", \ + " 65.2, 96.0, 183.1, 389.6, 766.0, 1570.6", \ + " 72.7, 103.3, 188.5, 392.8, 767.4, 1571.3", \ + " 82.7, 113.8, 197.5, 398.7, 770.6, 1572.6", \ + " 96.0, 128.2, 211.5, 409.7, 778.0, 1576.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.0, 100.0, 134.8, 199.6, 307.1, 532.6", \ + " 87.4, 104.3, 139.1, 204.0, 311.4, 537.0", \ + " 92.1, 108.9, 143.7, 208.6, 316.1, 541.7", \ + " 98.9, 115.6, 150.4, 215.3, 322.8, 548.4", \ + " 110.2, 127.1, 162.0, 227.0, 334.6, 560.2", \ + " 123.0, 140.4, 176.5, 242.0, 349.7, 575.3", \ + " 140.2, 157.7, 193.9, 261.2, 369.3, 594.9", \ + " 162.4, 180.4, 217.1, 284.4, 394.4, 620.2", \ + " 191.4, 210.6, 248.5, 316.3, 426.4, 654.1", \ + " 229.4, 250.3, 290.7, 360.5, 471.0, 699.6" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.3, 78.7, 142.2, 281.2, 532.8, 1077.5", \ + " 52.2, 78.7, 142.2, 281.1, 532.8, 1077.5", \ + " 52.2, 78.7, 142.2, 281.1, 532.8, 1077.5", \ + " 52.3, 78.9, 142.4, 281.2, 532.8, 1077.5", \ + " 55.2, 81.2, 143.8, 281.9, 533.1, 1077.6", \ + " 58.1, 84.8, 147.5, 284.6, 534.3, 1077.9", \ + " 62.1, 87.9, 151.3, 288.4, 537.7, 1079.3", \ + " 68.4, 93.5, 154.9, 292.4, 541.2, 1082.5", \ + " 77.7, 102.7, 162.4, 296.9, 545.5, 1085.7", \ + " 90.9, 116.5, 175.6, 306.6, 552.0, 1090.4" ); }} +} +} +cell(or3v0x1) { /* 2008-01-06:07h55 */ +area : 7 ; /* tracks */ +cell_leakage_power : 843 ; /* or3v0x1 */ +cell_footprint : or3 ; +pin(a) { /* or3v0x1 FO4 effort 2.49 */ +direction : input ; +capacitance : 4.07 ; +rise_capacitance : 3.98 ; +fall_capacitance : 4.15 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v0x1 */ +} +pin(b) { /* or3v0x1 FO4 effort 2.32 */ +direction : input ; +capacitance : 3.92 ; +rise_capacitance : 4.03 ; +fall_capacitance : 3.82 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v0x1 */ +} +pin(c) { /* or3v0x1 FO4 effort 2.06 */ +direction : input ; +capacitance : 3.96 ; +rise_capacitance : 4.26 ; +fall_capacitance : 3.66 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 171 ; +max_fanout : 4 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v0x1 25.61 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 13.07, 13.06, 13.14, 13.20, 13.22", \ + " 12.88, 12.86, 12.94, 13.00, 13.02", \ + " 12.81, 12.79, 12.86, 12.93, 12.96", \ + " 12.76, 12.74, 12.81, 12.88, 12.91", \ + " 12.79, 12.75, 12.79, 12.86, 12.89", \ + " 13.01, 12.93, 12.93, 12.98, 13.01", \ + " 13.51, 13.36, 13.31, 13.32, 13.34", \ + " 14.50, 14.28, 14.15, 14.12, 14.12", \ + " 16.40, 16.08, 15.84, 15.73, 15.70", \ + " 19.78, 19.32, 18.93, 18.71, 18.63" ); }} +internal_power(b_z_p) { /* or3v0x1 21.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 11.00, 11.02, 11.12, 11.19, 11.22", \ + " 10.87, 10.88, 10.98, 11.06, 11.08", \ + " 10.81, 10.82, 10.91, 10.99, 11.02", \ + " 10.78, 10.77, 10.86, 10.94, 10.97", \ + " 10.86, 10.82, 10.87, 10.95, 10.98", \ + " 11.14, 11.05, 11.06, 11.11, 11.15", \ + " 11.75, 11.60, 11.54, 11.57, 11.59", \ + " 12.91, 12.67, 12.53, 12.51, 12.52", \ + " 14.95, 14.61, 14.36, 14.25, 14.23", \ + " 18.35, 17.86, 17.46, 17.25, 17.16" ); }} +internal_power(c_z_p) { /* or3v0x1 17.49 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 8.75, 8.81, 8.93, 9.00, 9.01", \ + " 8.60, 8.65, 8.77, 8.85, 8.86", \ + " 8.57, 8.61, 8.73, 8.81, 8.83", \ + " 8.62, 8.64, 8.74, 8.83, 8.86", \ + " 8.87, 8.84, 8.90, 8.99, 9.02", \ + " 9.34, 9.26, 9.27, 9.34, 9.38", \ + " 10.20, 10.05, 10.00, 10.04, 10.08", \ + " 11.64, 11.40, 11.27, 11.26, 11.28", \ + " 14.00, 13.65, 13.41, 13.32, 13.31", \ + " 17.80, 17.30, 16.90, 16.70, 16.62" ); }} +timing() { /* ring osc delay or3v0x1, path a to z 123.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.5 ; */ +/* intrinsic_fall : 140.0 ; */ +/* rise_resistance : 3.17 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.1, 111.0, 151.6, 237.4, 387.7, 705.7", \ + " 99.5, 117.4, 157.9, 243.7, 394.0, 712.0", \ + " 104.8, 122.7, 163.2, 249.0, 399.3, 717.3", \ + " 112.2, 130.1, 170.5, 256.3, 406.7, 724.7", \ + " 125.8, 143.5, 183.8, 269.6, 419.9, 737.9", \ + " 143.7, 161.4, 201.4, 287.0, 437.3, 755.3", \ + " 164.0, 182.3, 222.6, 308.0, 458.1, 776.1", \ + " 186.5, 205.5, 246.3, 331.6, 481.6, 799.5", \ + " 212.2, 232.2, 273.7, 358.9, 509.0, 826.8", \ + " 241.8, 263.0, 306.1, 391.5, 541.4, 859.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.5, 96.1, 193.3, 419.3, 827.3, 1698.1", \ + " 60.5, 96.1, 193.3, 419.3, 827.3, 1698.1", \ + " 60.4, 96.1, 193.3, 419.3, 827.3, 1698.1", \ + " 60.3, 96.0, 193.2, 419.3, 827.3, 1698.1", \ + " 60.2, 96.0, 193.2, 419.3, 827.2, 1698.1", \ + " 63.6, 98.3, 194.3, 419.5, 827.3, 1698.1", \ + " 69.4, 103.4, 197.6, 420.9, 827.7, 1698.2", \ + " 76.5, 110.0, 202.3, 423.4, 828.8, 1698.7", \ + " 85.5, 118.9, 209.1, 427.3, 830.7, 1699.7", \ + " 97.3, 130.9, 219.1, 433.8, 834.3, 1701.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.1, 134.5, 173.8, 247.2, 369.7, 627.4", \ + " 122.1, 141.5, 180.8, 254.2, 376.6, 634.4", \ + " 126.7, 146.1, 185.4, 258.8, 381.3, 639.0", \ + " 132.2, 151.6, 190.9, 264.3, 386.8, 644.6", \ + " 140.7, 160.0, 199.4, 272.8, 395.3, 653.1", \ + " 150.0, 169.9, 209.6, 283.2, 405.7, 663.5", \ + " 160.3, 180.9, 222.0, 296.6, 419.2, 677.0", \ + " 172.9, 194.4, 236.9, 313.0, 436.4, 694.2", \ + " 189.1, 211.9, 256.8, 334.8, 459.2, 717.4", \ + " 210.4, 235.0, 283.3, 365.1, 491.1, 750.0" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.2, 84.4, 156.4, 315.2, 603.5, 1227.1", \ + " 54.2, 84.4, 156.5, 315.2, 603.5, 1227.1", \ + " 54.2, 84.5, 156.5, 315.3, 603.5, 1227.1", \ + " 54.3, 84.5, 156.6, 315.3, 603.6, 1227.1", \ + " 55.0, 85.1, 156.9, 315.4, 603.6, 1227.1", \ + " 58.0, 87.9, 158.9, 316.4, 604.0, 1227.2", \ + " 61.6, 92.2, 163.6, 319.9, 605.9, 1227.6", \ + " 67.1, 98.1, 169.7, 325.2, 609.4, 1229.3", \ + " 76.1, 107.7, 179.5, 333.3, 615.0, 1232.0", \ + " 89.2, 121.9, 195.2, 347.2, 625.0, 1237.2" ); }} +timing() { /* ring osc delay or3v0x1, path b to z 113.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.0 ; */ +/* intrinsic_fall : 130.7 ; */ +/* rise_resistance : 3.14 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.6, 100.7, 140.1, 224.9, 374.7, 692.3", \ + " 90.3, 107.4, 146.8, 231.7, 381.4, 699.0", \ + " 95.6, 112.7, 152.0, 236.9, 386.7, 704.3", \ + " 103.0, 120.0, 159.3, 244.2, 393.9, 711.6", \ + " 115.8, 132.7, 171.9, 256.7, 406.5, 724.1", \ + " 130.8, 148.1, 187.3, 272.0, 421.7, 739.4", \ + " 147.5, 165.4, 204.9, 289.6, 439.2, 756.8", \ + " 165.6, 184.3, 224.4, 309.0, 458.6, 776.1", \ + " 185.7, 205.5, 246.6, 331.1, 480.6, 798.1", \ + " 207.5, 228.7, 271.5, 356.6, 506.0, 823.6" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.8, 91.0, 188.3, 415.3, 824.3, 1696.0", \ + " 55.9, 91.0, 188.3, 415.3, 824.3, 1696.0", \ + " 55.9, 91.0, 188.4, 415.3, 824.3, 1696.0", \ + " 55.9, 91.1, 188.4, 415.4, 824.3, 1696.0", \ + " 57.2, 92.0, 188.9, 415.5, 824.3, 1696.0", \ + " 61.6, 95.5, 190.9, 416.2, 824.5, 1696.1", \ + " 67.2, 100.7, 194.5, 417.9, 825.2, 1696.3", \ + " 74.5, 107.6, 199.4, 420.5, 826.3, 1696.9", \ + " 83.9, 116.9, 206.6, 424.7, 828.3, 1697.8", \ + " 96.3, 129.9, 217.7, 432.0, 832.4, 1699.7" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 106.9, 126.3, 165.6, 238.9, 361.4, 619.2", \ + " 112.8, 132.2, 171.5, 244.9, 367.4, 625.1", \ + " 117.3, 136.7, 176.0, 249.4, 371.8, 629.6", \ + " 123.0, 142.3, 181.6, 255.0, 377.5, 635.3", \ + " 132.3, 151.6, 191.0, 264.5, 387.0, 644.8", \ + " 142.9, 163.2, 203.3, 277.1, 399.6, 657.4", \ + " 156.4, 177.3, 218.9, 294.0, 416.7, 674.5", \ + " 174.5, 196.2, 238.9, 315.4, 439.3, 697.0", \ + " 199.2, 222.2, 266.8, 344.5, 469.2, 727.8", \ + " 232.1, 256.8, 304.4, 384.9, 510.3, 770.2" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.2, 84.4, 156.5, 315.2, 603.5, 1227.1", \ + " 54.2, 84.4, 156.5, 315.2, 603.5, 1227.1", \ + " 54.3, 84.5, 156.5, 315.3, 603.5, 1227.1", \ + " 54.5, 84.7, 156.7, 315.3, 603.6, 1227.1", \ + " 56.1, 85.9, 157.4, 315.7, 603.7, 1227.1", \ + " 60.3, 90.1, 160.7, 317.4, 604.4, 1227.3", \ + " 64.5, 95.0, 166.2, 321.8, 607.0, 1227.9", \ + " 70.8, 101.4, 172.2, 327.3, 610.9, 1230.3", \ + " 80.2, 111.0, 181.2, 334.2, 616.1, 1233.2", \ + " 93.2, 124.8, 195.4, 345.6, 624.2, 1237.7" ); }} +timing() { /* ring osc delay or3v0x1, path c to z 94.5 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.2 ; */ +/* intrinsic_fall : 109.8 ; */ +/* rise_resistance : 3.13 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.3, 84.9, 123.7, 208.1, 357.6, 674.9", \ + " 75.2, 91.8, 130.6, 215.0, 364.5, 681.8", \ + " 80.7, 97.2, 135.9, 220.4, 369.9, 687.2", \ + " 88.0, 104.4, 143.0, 227.5, 377.0, 694.4", \ + " 98.7, 115.4, 154.0, 238.4, 387.9, 705.3", \ + " 109.7, 126.9, 166.0, 250.2, 399.7, 717.1", \ + " 121.6, 139.6, 179.1, 263.6, 412.9, 730.2", \ + " 134.3, 153.3, 193.6, 278.0, 427.5, 744.6", \ + " 147.4, 167.8, 209.7, 294.5, 444.0, 761.2", \ + " 160.0, 182.0, 226.5, 313.0, 462.9, 780.4" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.7, 87.0, 185.2, 413.3, 822.8, 1694.9", \ + " 51.8, 87.0, 185.3, 413.3, 822.8, 1694.9", \ + " 51.8, 87.1, 185.3, 413.3, 822.8, 1694.9", \ + " 52.5, 87.6, 185.5, 413.3, 822.8, 1694.9", \ + " 56.0, 90.2, 186.8, 413.6, 822.9, 1694.9", \ + " 60.7, 94.7, 189.6, 414.8, 823.1, 1695.0", \ + " 66.6, 100.1, 193.7, 416.8, 824.0, 1695.1", \ + " 74.6, 107.9, 199.4, 420.0, 825.4, 1695.9", \ + " 85.2, 119.1, 208.8, 426.0, 828.4, 1697.0", \ + " 99.5, 134.5, 223.5, 437.0, 835.4, 1700.4" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.5, 105.9, 145.2, 218.6, 341.0, 598.8", \ + " 90.9, 110.3, 149.6, 223.0, 345.4, 603.2", \ + " 95.5, 114.8, 154.1, 227.5, 349.9, 607.7", \ + " 102.2, 121.4, 160.7, 234.1, 356.6, 614.4", \ + " 113.6, 132.9, 172.2, 245.7, 368.2, 626.1", \ + " 126.7, 146.6, 186.9, 260.7, 383.3, 641.1", \ + " 143.7, 163.7, 204.5, 279.9, 402.8, 660.6", \ + " 165.4, 185.9, 227.0, 302.9, 427.4, 685.2", \ + " 193.2, 215.1, 257.4, 333.6, 458.6, 717.8", \ + " 229.1, 252.8, 297.7, 375.6, 500.7, 761.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.0, 84.2, 156.4, 315.2, 603.5, 1227.1", \ + " 54.0, 84.2, 156.4, 315.2, 603.5, 1227.1", \ + " 53.9, 84.3, 156.4, 315.2, 603.5, 1227.1", \ + " 54.0, 84.4, 156.5, 315.2, 603.5, 1227.1", \ + " 56.6, 86.4, 157.6, 315.7, 603.7, 1227.1", \ + " 60.1, 90.3, 161.2, 318.1, 604.7, 1227.3", \ + " 64.5, 93.9, 165.5, 322.1, 607.8, 1228.2", \ + " 71.4, 99.9, 169.6, 326.4, 611.4, 1231.3", \ + " 81.5, 109.7, 177.3, 331.2, 615.7, 1234.5", \ + " 95.8, 124.5, 191.0, 340.5, 622.3, 1238.9" ); }} +} +} +cell(or3v0x2) { /* 2008-01-06:07h55 */ +area : 9 ; /* tracks */ +cell_leakage_power : 1259 ; /* or3v0x2 */ +cell_footprint : or3 ; +pin(a) { /* or3v0x2 FO4 effort 2.42 */ +direction : input ; +capacitance : 6.00 ; +rise_capacitance : 5.86 ; +fall_capacitance : 6.14 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v0x2 */ +} +pin(b) { /* or3v0x2 FO4 effort 2.23 */ +direction : input ; +capacitance : 5.68 ; +rise_capacitance : 5.81 ; +fall_capacitance : 5.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v0x2 */ +} +pin(c) { /* or3v0x2 FO4 effort 1.94 */ +direction : input ; +capacitance : 5.58 ; +rise_capacitance : 5.96 ; +fall_capacitance : 5.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v0x2 35.45 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 18.15, 18.12, 18.21, 18.31, 18.35", \ + " 17.86, 17.82, 17.92, 18.02, 18.06", \ + " 17.76, 17.72, 17.81, 17.91, 17.95", \ + " 17.69, 17.65, 17.73, 17.83, 17.88", \ + " 17.73, 17.66, 17.70, 17.80, 17.85", \ + " 18.06, 17.92, 17.90, 17.97, 18.01", \ + " 18.78, 18.55, 18.45, 18.46, 18.49", \ + " 20.21, 19.87, 19.65, 19.59, 19.58", \ + " 22.92, 22.42, 22.04, 21.85, 21.80", \ + " 27.72, 27.01, 26.40, 26.04, 25.89" ); }} +internal_power(b_z_p) { /* or3v0x2 29.60 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 15.03, 15.04, 15.17, 15.29, 15.33", \ + " 14.83, 14.84, 14.97, 15.09, 15.13", \ + " 14.75, 14.75, 14.88, 15.00, 15.04", \ + " 14.70, 14.69, 14.80, 14.93, 14.98", \ + " 14.84, 14.77, 14.83, 14.94, 15.00", \ + " 15.26, 15.11, 15.10, 15.18, 15.24", \ + " 16.16, 15.91, 15.81, 15.83, 15.87", \ + " 17.84, 17.47, 17.23, 17.17, 17.18", \ + " 20.78, 20.24, 19.84, 19.65, 19.61", \ + " 25.64, 24.89, 24.26, 23.90, 23.76" ); }} +internal_power(c_z_p) { /* or3v0x2 23.31 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 11.64, 11.73, 11.91, 12.02, 12.04", \ + " 11.42, 11.50, 11.68, 11.80, 11.83", \ + " 11.39, 11.45, 11.62, 11.75, 11.79", \ + " 11.49, 11.51, 11.65, 11.79, 11.84", \ + " 11.87, 11.81, 11.90, 12.02, 12.08", \ + " 12.58, 12.44, 12.45, 12.55, 12.61", \ + " 13.84, 13.60, 13.51, 13.56, 13.61", \ + " 15.93, 15.56, 15.35, 15.31, 15.34", \ + " 19.36, 18.81, 18.42, 18.26, 18.23", \ + " 24.82, 24.04, 23.41, 23.07, 22.94" ); }} +timing() { /* ring osc delay or3v0x2, path a to z 118.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 113.8 ; */ +/* intrinsic_fall : 130.9 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.73 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.2, 110.7, 147.9, 225.9, 362.1, 650.0", \ + " 100.4, 116.9, 154.1, 232.1, 368.4, 656.2", \ + " 105.6, 122.1, 159.3, 237.3, 373.6, 661.5", \ + " 113.0, 129.5, 166.6, 244.6, 380.9, 668.8", \ + " 126.7, 143.0, 179.9, 257.9, 394.2, 682.1", \ + " 145.0, 161.3, 198.0, 275.7, 411.9, 699.8", \ + " 166.3, 183.1, 220.0, 297.5, 433.5, 721.4", \ + " 190.1, 207.5, 244.8, 322.3, 458.2, 746.0", \ + " 217.8, 236.0, 274.1, 351.4, 487.3, 775.0", \ + " 250.5, 269.9, 309.3, 386.9, 522.7, 810.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.4, 90.8, 178.4, 382.4, 751.0, 1538.6", \ + " 58.4, 90.7, 178.4, 382.4, 751.0, 1538.6", \ + " 58.3, 90.7, 178.4, 382.4, 751.0, 1538.6", \ + " 58.2, 90.7, 178.4, 382.4, 751.0, 1538.6", \ + " 58.0, 90.5, 178.3, 382.3, 751.0, 1538.6", \ + " 61.1, 92.6, 179.3, 382.5, 751.0, 1538.6", \ + " 66.6, 97.6, 182.6, 384.0, 751.5, 1538.7", \ + " 73.5, 104.1, 187.4, 386.6, 752.7, 1539.3", \ + " 82.3, 112.7, 194.1, 390.7, 754.8, 1540.3", \ + " 93.6, 124.2, 203.9, 397.3, 758.6, 1542.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 106.5, 123.5, 157.7, 221.2, 326.4, 547.4", \ + " 113.6, 130.5, 164.8, 228.3, 333.5, 554.5", \ + " 118.2, 135.2, 169.4, 232.9, 338.1, 559.1", \ + " 123.8, 140.7, 174.9, 238.4, 343.7, 564.7", \ + " 132.1, 149.0, 183.3, 246.9, 352.1, 573.2", \ + " 140.8, 158.3, 193.2, 257.0, 362.4, 583.4", \ + " 150.3, 168.5, 204.7, 269.7, 375.3, 596.3", \ + " 161.5, 180.5, 218.2, 284.7, 391.2, 612.3", \ + " 175.1, 195.4, 235.4, 304.1, 411.5, 633.3", \ + " 191.9, 214.0, 257.5, 330.1, 439.7, 662.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.4, 74.6, 136.7, 272.9, 519.6, 1053.5", \ + " 48.4, 74.5, 136.8, 272.9, 519.6, 1053.5", \ + " 48.4, 74.6, 136.8, 272.9, 519.6, 1053.5", \ + " 48.6, 74.7, 136.9, 273.0, 519.7, 1053.5", \ + " 49.5, 75.5, 137.4, 273.2, 519.8, 1053.5", \ + " 52.6, 78.6, 139.8, 274.6, 520.3, 1053.7", \ + " 56.2, 82.9, 144.6, 278.4, 522.7, 1054.4", \ + " 61.9, 88.9, 150.9, 284.1, 526.6, 1056.4", \ + " 71.2, 98.9, 161.3, 292.7, 532.8, 1059.6", \ + " 84.7, 113.4, 177.4, 307.8, 544.0, 1065.9" ); }} +timing() { /* ring osc delay or3v0x2, path b to z 107.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.8 ; */ +/* intrinsic_fall : 121.1 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.73 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.1, 98.8, 134.7, 211.7, 347.3, 634.7", \ + " 89.7, 105.4, 141.3, 218.3, 353.9, 641.3", \ + " 95.0, 110.6, 146.5, 223.5, 359.1, 646.5", \ + " 102.3, 117.9, 153.7, 230.7, 366.3, 653.8", \ + " 115.2, 130.7, 166.4, 243.3, 378.9, 666.4", \ + " 130.5, 146.4, 182.1, 258.9, 394.4, 681.9", \ + " 147.8, 164.2, 200.3, 277.0, 412.4, 699.8", \ + " 166.9, 184.1, 220.7, 297.2, 432.7, 720.0", \ + " 188.7, 206.8, 244.3, 320.9, 456.2, 743.5", \ + " 213.4, 232.7, 271.8, 349.0, 484.2, 771.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.4, 85.1, 172.9, 377.9, 747.6, 1536.2", \ + " 53.4, 85.2, 172.9, 377.9, 747.6, 1536.2", \ + " 53.4, 85.2, 173.0, 377.9, 747.6, 1536.2", \ + " 53.5, 85.3, 173.0, 377.9, 747.6, 1536.2", \ + " 54.7, 86.1, 173.5, 378.0, 747.6, 1536.2", \ + " 58.8, 89.6, 175.6, 378.8, 747.8, 1536.2", \ + " 64.4, 94.8, 179.2, 380.6, 748.6, 1536.5", \ + " 71.4, 101.4, 184.1, 383.3, 749.8, 1537.1", \ + " 80.5, 110.5, 191.3, 387.7, 752.0, 1538.1", \ + " 92.5, 123.0, 202.2, 395.1, 756.4, 1540.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.7, 114.7, 148.9, 212.4, 317.6, 538.6", \ + " 103.8, 120.7, 155.0, 218.4, 323.7, 544.7", \ + " 108.3, 125.2, 159.5, 222.9, 328.2, 549.2", \ + " 114.0, 130.9, 165.1, 228.7, 333.9, 554.9", \ + " 122.9, 139.9, 174.3, 237.9, 343.2, 564.3", \ + " 132.6, 150.5, 185.9, 250.0, 355.3, 576.3", \ + " 145.0, 163.4, 200.0, 265.7, 371.4, 592.4", \ + " 161.2, 180.4, 218.2, 284.9, 392.0, 613.1", \ + " 182.8, 203.3, 243.2, 311.3, 418.9, 641.3", \ + " 211.2, 233.4, 276.2, 347.4, 456.2, 679.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.4, 74.6, 136.8, 272.9, 519.6, 1053.5", \ + " 48.4, 74.6, 136.8, 272.9, 519.6, 1053.5", \ + " 48.5, 74.7, 136.8, 272.9, 519.7, 1053.5", \ + " 48.8, 74.9, 137.0, 273.0, 519.7, 1053.5", \ + " 51.0, 76.7, 138.1, 273.6, 519.9, 1053.6", \ + " 54.9, 81.1, 141.9, 275.9, 520.9, 1053.8", \ + " 59.3, 85.7, 147.4, 280.5, 524.0, 1054.9", \ + " 66.0, 92.5, 153.4, 286.2, 528.2, 1057.6", \ + " 75.8, 102.6, 163.2, 293.4, 533.7, 1060.8", \ + " 89.1, 116.7, 178.1, 306.1, 542.5, 1066.1" ); }} +timing() { /* ring osc delay or3v0x2, path c to z 87.5 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.0 ; */ +/* intrinsic_fall : 99.8 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.73 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.8, 81.0, 116.4, 192.8, 328.1, 615.2", \ + " 72.6, 87.8, 123.1, 199.6, 334.8, 622.0", \ + " 78.1, 93.2, 128.4, 205.0, 340.3, 627.4", \ + " 85.4, 100.4, 135.5, 212.1, 347.4, 634.5", \ + " 96.0, 111.3, 146.4, 222.8, 358.1, 645.3", \ + " 106.9, 122.7, 158.3, 234.6, 369.8, 657.0", \ + " 119.1, 135.6, 171.5, 248.1, 383.2, 670.3", \ + " 132.4, 149.8, 186.6, 263.1, 398.4, 685.3", \ + " 146.9, 165.5, 203.9, 280.9, 416.1, 703.1", \ + " 161.8, 181.9, 222.8, 301.5, 437.4, 724.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.9, 80.8, 169.4, 375.5, 745.9, 1534.9", \ + " 48.9, 80.8, 169.5, 375.5, 745.9, 1534.9", \ + " 49.0, 80.9, 169.5, 375.5, 745.9, 1534.9", \ + " 49.7, 81.4, 169.7, 375.6, 745.9, 1534.9", \ + " 53.4, 84.3, 171.2, 375.9, 746.0, 1534.9", \ + " 58.0, 88.7, 174.2, 377.3, 746.3, 1534.9", \ + " 63.8, 94.1, 178.4, 379.4, 747.3, 1535.2", \ + " 71.6, 101.9, 184.2, 382.9, 748.9, 1536.0", \ + " 82.0, 112.9, 193.8, 389.2, 752.2, 1537.3", \ + " 96.1, 128.0, 208.5, 400.8, 759.9, 1541.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.9, 93.9, 128.1, 191.5, 296.7, 517.8", \ + " 81.6, 98.5, 132.7, 196.1, 301.4, 522.4", \ + " 86.2, 103.1, 137.2, 200.7, 306.0, 527.0", \ + " 92.9, 109.6, 143.8, 207.3, 312.6, 533.6", \ + " 103.5, 120.5, 154.8, 218.5, 323.8, 544.8", \ + " 115.4, 132.7, 168.2, 232.4, 337.8, 558.8", \ + " 131.1, 148.5, 183.9, 249.8, 355.7, 576.7", \ + " 150.6, 168.7, 204.6, 270.2, 378.0, 599.3", \ + " 175.3, 194.7, 231.9, 298.1, 405.6, 628.9", \ + " 206.5, 227.6, 267.5, 335.6, 443.6, 667.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.2, 74.3, 136.6, 272.9, 519.6, 1053.5", \ + " 48.0, 74.3, 136.6, 272.8, 519.6, 1053.5", \ + " 48.0, 74.3, 136.6, 272.8, 519.6, 1053.5", \ + " 48.3, 74.6, 136.8, 272.9, 519.6, 1053.5", \ + " 51.5, 77.2, 138.5, 273.7, 520.0, 1053.6", \ + " 54.6, 80.7, 142.2, 276.7, 521.4, 1053.9", \ + " 59.4, 84.3, 145.9, 280.4, 524.8, 1055.6", \ + " 66.6, 90.8, 150.1, 284.4, 528.3, 1058.8", \ + " 77.2, 101.2, 158.6, 289.3, 532.7, 1062.0", \ + " 91.8, 116.4, 173.1, 300.0, 539.4, 1066.9" ); }} +} +} +cell(or3v0x3) { /* 2008-01-06:07h55 */ +area : 10 ; /* tracks */ +cell_leakage_power : 1686 ; /* or3v0x3 */ +cell_footprint : or3 ; +pin(a) { /* or3v0x3 FO4 effort 2.35 */ +direction : input ; +capacitance : 7.75 ; +rise_capacitance : 7.53 ; +fall_capacitance : 7.97 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v0x3 */ +} +pin(b) { /* or3v0x3 FO4 effort 2.17 */ +direction : input ; +capacitance : 7.46 ; +rise_capacitance : 7.62 ; +fall_capacitance : 7.30 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v0x3 */ +} +pin(c) { /* or3v0x3 FO4 effort 1.86 */ +direction : input ; +capacitance : 7.35 ; +rise_capacitance : 7.83 ; +fall_capacitance : 6.86 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 362 ; +max_fanout : 8 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v0x3 46.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 23.86, 23.77, 23.87, 23.99, 24.03", \ + " 23.49, 23.40, 23.49, 23.62, 23.66", \ + " 23.37, 23.27, 23.36, 23.48, 23.53", \ + " 23.28, 23.17, 23.26, 23.38, 23.44", \ + " 23.34, 23.19, 23.23, 23.35, 23.41", \ + " 23.80, 23.55, 23.50, 23.57, 23.62", \ + " 24.79, 24.40, 24.22, 24.21, 24.23", \ + " 26.72, 26.14, 25.80, 25.68, 25.65", \ + " 30.32, 29.50, 28.90, 28.62, 28.52", \ + " 36.68, 35.53, 34.59, 34.05, 33.82" ); }} +internal_power(b_z_p) { /* or3v0x3 38.60 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 19.67, 19.65, 19.82, 19.96, 20.01", \ + " 19.40, 19.37, 19.54, 19.69, 19.74", \ + " 19.29, 19.25, 19.40, 19.56, 19.61", \ + " 19.22, 19.16, 19.30, 19.46, 19.52", \ + " 19.40, 19.26, 19.33, 19.47, 19.54", \ + " 20.00, 19.73, 19.69, 19.78, 19.85", \ + " 21.21, 20.79, 20.61, 20.62, 20.67", \ + " 23.47, 22.85, 22.47, 22.37, 22.37", \ + " 27.40, 26.52, 25.89, 25.60, 25.52", \ + " 33.89, 32.68, 31.70, 31.16, 30.94" ); }} +internal_power(c_z_p) { /* or3v0x3 29.96 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 14.98, 15.09, 15.34, 15.47, 15.50", \ + " 14.69, 14.77, 15.02, 15.18, 15.21", \ + " 14.65, 14.70, 14.94, 15.11, 15.16", \ + " 14.80, 14.78, 14.98, 15.16, 15.22", \ + " 15.32, 15.19, 15.30, 15.46, 15.54", \ + " 16.28, 16.02, 16.02, 16.15, 16.22", \ + " 17.99, 17.57, 17.42, 17.47, 17.53", \ + " 20.81, 20.18, 19.84, 19.77, 19.79", \ + " 25.40, 24.49, 23.88, 23.64, 23.58", \ + " 32.73, 31.43, 30.45, 29.95, 29.76" ); }} +timing() { /* ring osc delay or3v0x3, path a to z 115.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 117.3 ; */ +/* intrinsic_fall : 126.9 ; */ +/* rise_resistance : 1.51 ; */ +/* fall_resistance : 1.21 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.3, 115.1, 154.3, 236.2, 379.2, 681.5", \ + " 103.5, 121.2, 160.4, 242.3, 385.3, 687.6", \ + " 108.7, 126.4, 165.6, 247.5, 390.5, 692.8", \ + " 116.0, 133.8, 172.9, 254.8, 397.8, 700.1", \ + " 129.6, 147.3, 186.2, 268.1, 411.1, 713.4", \ + " 148.7, 166.2, 204.9, 286.5, 429.5, 731.7", \ + " 171.3, 189.3, 228.2, 309.5, 452.4, 754.6", \ + " 196.8, 215.6, 254.9, 336.1, 478.8, 780.9", \ + " 226.9, 246.5, 286.6, 367.8, 510.4, 812.4", \ + " 263.1, 283.9, 325.4, 406.8, 549.2, 851.3" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.5, 90.8, 182.6, 396.7, 783.7, 1610.6", \ + " 56.5, 90.8, 182.6, 396.6, 783.7, 1610.6", \ + " 56.5, 90.7, 182.6, 396.6, 783.6, 1610.6", \ + " 56.4, 90.7, 182.6, 396.6, 783.6, 1610.6", \ + " 56.2, 90.6, 182.6, 396.6, 783.6, 1610.6", \ + " 58.7, 92.2, 183.3, 396.7, 783.6, 1610.6", \ + " 64.3, 97.2, 186.4, 398.1, 784.0, 1610.7", \ + " 71.3, 103.7, 191.2, 400.6, 785.2, 1611.2", \ + " 79.9, 112.4, 197.9, 404.5, 787.1, 1612.2", \ + " 91.2, 123.8, 207.5, 410.9, 790.7, 1614.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.9, 119.8, 155.4, 221.5, 331.7, 563.7", \ + " 109.1, 127.0, 162.6, 228.7, 338.9, 570.9", \ + " 113.8, 131.7, 167.3, 233.4, 343.6, 575.6", \ + " 119.3, 137.2, 172.9, 239.0, 349.2, 581.2", \ + " 127.6, 145.6, 181.3, 247.4, 357.7, 589.7", \ + " 136.1, 154.7, 191.1, 257.5, 367.8, 599.8", \ + " 145.3, 164.6, 202.3, 269.9, 380.4, 612.4", \ + " 155.6, 175.9, 215.1, 284.2, 395.6, 627.6", \ + " 167.4, 189.1, 230.9, 302.3, 414.5, 647.1", \ + " 181.1, 204.8, 250.2, 325.7, 440.1, 673.2" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 72.8, 137.9, 281.0, 540.6, 1101.9", \ + " 45.3, 72.8, 137.9, 281.0, 540.6, 1101.9", \ + " 45.2, 72.9, 138.0, 281.0, 540.7, 1101.9", \ + " 45.4, 73.0, 138.0, 281.1, 540.7, 1101.9", \ + " 46.5, 73.8, 138.6, 281.3, 540.8, 1102.0", \ + " 49.5, 77.0, 141.1, 282.7, 541.3, 1102.1", \ + " 53.1, 81.2, 145.9, 286.4, 543.5, 1102.7", \ + " 58.9, 87.4, 152.2, 292.0, 547.2, 1104.6", \ + " 68.3, 97.5, 162.8, 300.7, 553.3, 1107.5", \ + " 81.9, 112.3, 179.3, 315.9, 564.4, 1113.6" ); }} +timing() { /* ring osc delay or3v0x3, path b to z 104.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 104.4 ; */ +/* intrinsic_fall : 117.7 ; */ +/* rise_resistance : 1.50 ; */ +/* fall_resistance : 1.21 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.6, 102.4, 140.2, 221.0, 363.3, 665.1", \ + " 92.0, 108.8, 146.7, 227.5, 369.8, 671.6", \ + " 97.2, 114.0, 151.8, 232.6, 375.0, 676.7", \ + " 104.4, 121.2, 159.0, 239.8, 382.2, 683.9", \ + " 117.5, 134.2, 171.8, 252.5, 394.9, 696.7", \ + " 133.7, 150.7, 188.3, 268.8, 411.1, 712.9", \ + " 152.0, 169.6, 207.6, 288.1, 430.3, 732.0", \ + " 172.6, 191.0, 229.6, 309.9, 452.1, 753.8", \ + " 196.7, 216.0, 255.5, 335.9, 478.0, 779.6", \ + " 224.7, 245.3, 286.5, 367.4, 509.3, 811.0" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.4, 84.9, 176.8, 391.9, 780.0, 1608.0", \ + " 51.5, 84.9, 176.9, 391.9, 780.0, 1608.0", \ + " 51.5, 84.9, 176.9, 391.9, 780.0, 1608.0", \ + " 51.5, 85.0, 176.9, 391.9, 780.0, 1608.0", \ + " 52.3, 85.7, 177.3, 392.0, 780.1, 1608.1", \ + " 56.5, 89.0, 179.2, 392.7, 780.2, 1608.1", \ + " 62.1, 94.3, 182.9, 394.5, 781.0, 1608.3", \ + " 69.1, 101.0, 187.8, 397.2, 782.2, 1608.9", \ + " 78.1, 110.0, 194.9, 401.4, 784.2, 1609.9", \ + " 89.9, 122.4, 205.6, 408.6, 788.4, 1611.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.8, 111.7, 147.3, 213.4, 323.6, 555.6", \ + " 99.9, 117.8, 153.4, 219.5, 329.7, 561.7", \ + " 104.4, 122.3, 157.9, 224.0, 334.3, 566.3", \ + " 110.1, 128.0, 163.6, 229.7, 340.0, 572.0", \ + " 118.8, 136.9, 172.7, 238.9, 349.2, 581.2", \ + " 128.1, 147.0, 184.0, 250.7, 361.0, 593.1", \ + " 139.8, 159.4, 197.5, 265.9, 376.5, 608.5", \ + " 154.8, 175.3, 214.7, 284.0, 396.1, 628.2", \ + " 174.3, 196.2, 237.8, 308.7, 421.2, 654.4", \ + " 199.2, 223.0, 267.9, 341.9, 455.5, 689.4" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.3, 72.8, 137.9, 281.0, 540.6, 1101.9", \ + " 45.3, 72.8, 138.0, 281.0, 540.7, 1101.9", \ + " 45.4, 72.9, 138.0, 281.1, 540.7, 1101.9", \ + " 45.7, 73.2, 138.2, 281.1, 540.7, 1101.9", \ + " 48.1, 75.1, 139.4, 281.7, 540.9, 1102.0", \ + " 51.8, 79.5, 143.3, 284.1, 541.9, 1102.2", \ + " 56.3, 84.2, 148.7, 288.6, 545.0, 1103.2", \ + " 63.2, 91.1, 154.9, 294.3, 549.0, 1105.8", \ + " 73.3, 101.6, 164.9, 301.6, 554.5, 1108.9", \ + " 86.8, 116.0, 180.2, 314.4, 563.3, 1114.1" ); }} +timing() { /* ring osc delay or3v0x3, path c to z 83.6 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.8 ; */ +/* intrinsic_fall : 96.2 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.21 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.3, 82.6, 119.8, 200.0, 342.0, 643.4", \ + " 73.0, 89.3, 126.4, 206.6, 348.6, 650.1", \ + " 78.5, 94.6, 131.7, 212.0, 354.0, 655.5", \ + " 85.8, 101.9, 138.9, 219.2, 361.2, 662.7", \ + " 96.9, 113.2, 150.1, 230.3, 372.3, 673.9", \ + " 108.3, 125.3, 162.7, 242.7, 384.7, 686.2", \ + " 121.3, 139.0, 176.9, 257.2, 399.0, 700.4", \ + " 136.0, 154.6, 193.4, 273.6, 415.5, 716.8", \ + " 152.5, 172.4, 212.8, 293.5, 435.4, 736.7", \ + " 170.6, 192.2, 235.1, 317.5, 460.0, 761.5" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.7, 80.2, 173.1, 389.3, 778.3, 1606.7", \ + " 46.7, 80.2, 173.1, 389.3, 778.3, 1606.7", \ + " 46.8, 80.3, 173.1, 389.3, 778.3, 1606.7", \ + " 47.3, 80.7, 173.4, 389.4, 778.3, 1606.7", \ + " 50.9, 83.5, 174.7, 389.7, 778.3, 1606.7", \ + " 55.5, 88.0, 177.6, 391.0, 778.6, 1606.7", \ + " 61.4, 93.5, 181.9, 393.1, 779.6, 1606.9", \ + " 69.0, 101.2, 187.7, 396.5, 781.0, 1607.7", \ + " 79.4, 112.2, 197.2, 402.7, 784.3, 1609.0", \ + " 93.3, 127.3, 212.0, 414.1, 791.7, 1612.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.8, 90.8, 126.4, 192.4, 302.6, 534.6", \ + " 77.6, 95.5, 131.1, 197.1, 307.3, 539.4", \ + " 82.2, 100.1, 135.6, 201.7, 311.9, 544.0", \ + " 88.8, 106.6, 142.1, 208.2, 318.5, 550.5", \ + " 98.9, 117.0, 152.8, 219.1, 329.4, 561.4", \ + " 110.4, 128.6, 165.5, 232.4, 342.8, 574.8", \ + " 125.1, 143.6, 180.4, 249.0, 359.8, 591.8", \ + " 143.3, 162.6, 199.8, 268.1, 380.9, 613.1", \ + " 165.7, 186.4, 225.2, 294.0, 406.5, 640.7", \ + " 193.4, 216.0, 257.7, 328.4, 441.4, 676.1" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.9, 72.5, 137.8, 281.0, 540.6, 1101.9", \ + " 44.9, 72.5, 137.8, 280.9, 540.6, 1101.9", \ + " 44.8, 72.5, 137.8, 280.9, 540.6, 1101.9", \ + " 45.3, 72.9, 138.0, 281.0, 540.6, 1101.9", \ + " 48.5, 75.7, 139.9, 281.9, 541.0, 1102.0", \ + " 51.6, 79.1, 143.5, 284.9, 542.4, 1102.4", \ + " 56.7, 82.8, 147.1, 288.5, 545.8, 1104.0", \ + " 64.2, 89.5, 151.4, 292.5, 549.2, 1107.1", \ + " 75.1, 100.3, 160.1, 297.3, 553.6, 1110.2", \ + " 89.9, 115.8, 174.8, 308.0, 560.3, 1115.1" ); }} +} +} +cell(or3v0x4) { /* 2008-01-06:07h55 */ +area : 13 ; /* tracks */ +cell_leakage_power : 2252 ; /* or3v0x4 */ +cell_footprint : or3 ; +pin(a) { /* or3v0x4 FO4 effort 2.33 */ +direction : input ; +capacitance : 9.95 ; +rise_capacitance : 9.67 ; +fall_capacitance : 10.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v0x4 */ +} +pin(b) { /* or3v0x4 FO4 effort 2.15 */ +direction : input ; +capacitance : 9.60 ; +rise_capacitance : 9.82 ; +fall_capacitance : 9.39 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v0x4 */ +} +pin(c) { /* or3v0x4 FO4 effort 1.86 */ +direction : input ; +capacitance : 9.52 ; +rise_capacitance : 10.17 ; +fall_capacitance : 8.86 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 507 ; +max_fanout : 10 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v0x4 61.64 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 31.73, 31.51, 31.61, 31.77, 31.84", \ + " 31.26, 31.03, 31.13, 31.30, 31.36", \ + " 31.10, 30.86, 30.95, 31.12, 31.20", \ + " 30.99, 30.74, 30.82, 30.99, 31.07", \ + " 31.06, 30.76, 30.78, 30.94, 31.03", \ + " 31.70, 31.25, 31.14, 31.22, 31.29", \ + " 33.04, 32.39, 32.08, 32.04, 32.08", \ + " 35.62, 34.70, 34.14, 33.94, 33.89", \ + " 40.40, 39.11, 38.19, 37.74, 37.58", \ + " 48.80, 47.04, 45.61, 44.79, 44.43" ); }} +internal_power(b_z_p) { /* or3v0x4 51.47 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 26.31, 26.18, 26.39, 26.59, 26.66", \ + " 25.97, 25.84, 26.04, 26.25, 26.33", \ + " 25.83, 25.69, 25.87, 26.09, 26.17", \ + " 25.75, 25.58, 25.74, 25.96, 26.05", \ + " 26.00, 25.72, 25.77, 25.96, 26.06", \ + " 26.82, 26.35, 26.24, 26.36, 26.45", \ + " 28.46, 27.76, 27.44, 27.43, 27.49", \ + " 31.46, 30.46, 29.86, 29.67, 29.66", \ + " 36.66, 35.29, 34.30, 33.85, 33.71", \ + " 45.23, 43.37, 41.88, 41.05, 40.71" ); }} +internal_power(c_z_p) { /* or3v0x4 40.38 nW/MHz */ +related_pin : "c" ; +power(pwr_x4_520_5x10) { +values( " 20.31, 20.36, 20.69, 20.90, 20.94", \ + " 19.93, 19.96, 20.28, 20.51, 20.56", \ + " 19.87, 19.86, 20.16, 20.41, 20.49", \ + " 20.04, 19.95, 20.19, 20.45, 20.55", \ + " 20.75, 20.49, 20.59, 20.82, 20.93", \ + " 22.04, 21.58, 21.51, 21.67, 21.78", \ + " 24.30, 23.59, 23.30, 23.35, 23.43", \ + " 28.02, 27.00, 26.43, 26.29, 26.31", \ + " 34.08, 32.64, 31.68, 31.27, 31.17", \ + " 43.74, 41.74, 40.23, 39.44, 39.13" ); }} +timing() { /* ring osc delay or3v0x4, path a to z 116.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 118.5 ; */ +/* intrinsic_fall : 129.4 ; */ +/* rise_resistance : 1.08 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.8, 115.3, 153.0, 231.2, 367.5, 655.4", \ + " 104.0, 121.4, 159.2, 237.4, 373.7, 661.6", \ + " 109.2, 126.6, 164.4, 242.5, 378.8, 666.8", \ + " 116.5, 133.9, 171.7, 249.8, 386.1, 674.1", \ + " 130.1, 147.4, 185.0, 263.1, 399.4, 687.4", \ + " 149.3, 166.5, 203.8, 281.7, 417.9, 705.8", \ + " 172.2, 190.0, 227.5, 305.0, 441.1, 729.0", \ + " 198.0, 216.5, 254.6, 332.1, 468.0, 755.7", \ + " 228.3, 247.6, 286.6, 364.1, 499.9, 787.5", \ + " 264.7, 285.2, 325.6, 403.4, 539.0, 826.7" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.3, 87.9, 175.0, 378.2, 746.3, 1533.6", \ + " 55.3, 87.9, 175.0, 378.2, 746.3, 1533.6", \ + " 55.2, 87.9, 175.0, 378.2, 746.3, 1533.6", \ + " 55.2, 87.9, 175.0, 378.1, 746.3, 1533.6", \ + " 54.9, 87.7, 174.9, 378.1, 746.3, 1533.6", \ + " 57.4, 89.4, 175.7, 378.3, 746.3, 1533.6", \ + " 63.1, 94.6, 179.0, 379.7, 746.7, 1533.7", \ + " 70.3, 101.5, 184.2, 382.5, 748.0, 1534.3", \ + " 79.3, 110.5, 191.3, 386.9, 750.1, 1535.3", \ + " 90.8, 122.3, 201.5, 393.7, 754.0, 1537.2" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.5, 121.4, 156.4, 220.2, 325.6, 546.6", \ + " 110.7, 128.6, 163.6, 227.4, 332.8, 553.8", \ + " 115.4, 133.3, 168.2, 232.1, 337.5, 558.5", \ + " 121.0, 138.8, 173.8, 237.7, 343.0, 564.1", \ + " 129.4, 147.2, 182.2, 246.2, 351.5, 572.6", \ + " 138.1, 156.5, 192.2, 256.4, 361.8, 582.8", \ + " 147.5, 166.6, 203.6, 269.0, 374.6, 595.7", \ + " 158.3, 178.3, 216.8, 283.6, 390.3, 611.3", \ + " 170.8, 192.1, 233.0, 302.2, 409.8, 631.5", \ + " 185.4, 208.7, 253.1, 326.3, 436.1, 658.4" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.6, 71.4, 134.0, 270.0, 516.5, 1050.1", \ + " 44.6, 71.5, 134.0, 270.0, 516.5, 1050.1", \ + " 44.7, 71.5, 134.0, 270.0, 516.5, 1050.1", \ + " 44.8, 71.6, 134.1, 270.0, 516.5, 1050.1", \ + " 45.7, 72.4, 134.6, 270.3, 516.6, 1050.2", \ + " 48.7, 75.4, 137.0, 271.6, 517.2, 1050.3", \ + " 52.4, 79.6, 141.8, 275.4, 519.4, 1051.0", \ + " 58.0, 85.8, 148.1, 281.1, 523.4, 1053.0", \ + " 67.4, 95.7, 158.6, 289.9, 529.6, 1056.1", \ + " 81.1, 110.5, 175.0, 305.3, 541.0, 1062.5" ); }} +timing() { /* ring osc delay or3v0x4, path b to z 105.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 105.7 ; */ +/* intrinsic_fall : 120.1 ; */ +/* rise_resistance : 1.07 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.1, 102.7, 139.1, 216.2, 351.8, 639.2", \ + " 92.6, 109.2, 145.6, 222.7, 358.3, 645.7", \ + " 97.8, 114.3, 150.8, 227.8, 363.4, 650.9", \ + " 105.1, 121.6, 158.0, 235.0, 370.6, 658.1", \ + " 118.2, 134.6, 170.9, 247.8, 383.5, 670.9", \ + " 134.6, 151.4, 187.6, 264.4, 399.9, 687.4", \ + " 153.3, 170.7, 207.3, 284.1, 419.5, 706.9", \ + " 174.3, 192.4, 229.8, 306.4, 441.8, 729.1", \ + " 198.6, 217.7, 256.2, 332.8, 468.1, 755.3", \ + " 226.9, 247.3, 287.5, 364.8, 499.8, 787.1" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.3, 82.2, 169.2, 373.4, 742.6, 1531.1", \ + " 50.3, 82.3, 169.2, 373.4, 742.6, 1531.1", \ + " 50.3, 82.3, 169.3, 373.4, 742.6, 1531.1", \ + " 50.3, 82.3, 169.3, 373.4, 742.6, 1531.1", \ + " 51.0, 82.9, 169.7, 373.5, 742.7, 1531.1", \ + " 55.3, 86.3, 171.7, 374.2, 742.8, 1531.1", \ + " 61.1, 91.9, 175.6, 376.2, 743.6, 1531.3", \ + " 68.3, 98.9, 180.9, 379.2, 745.0, 1532.0", \ + " 77.5, 108.3, 188.5, 383.8, 747.2, 1533.0", \ + " 89.6, 121.0, 199.7, 391.4, 751.7, 1535.1" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.4, 113.2, 148.2, 212.0, 317.4, 538.4", \ + " 101.5, 119.3, 154.3, 218.2, 323.5, 544.6", \ + " 106.0, 123.8, 158.8, 222.7, 328.1, 549.1", \ + " 111.7, 129.5, 164.5, 228.4, 333.8, 554.8", \ + " 120.7, 138.6, 173.7, 237.7, 343.1, 564.2", \ + " 130.3, 149.1, 185.3, 249.7, 355.2, 576.2", \ + " 142.4, 161.8, 199.2, 265.3, 371.1, 592.1", \ + " 157.9, 178.2, 216.9, 284.0, 391.3, 612.4", \ + " 178.2, 199.8, 240.6, 309.3, 417.0, 639.4", \ + " 204.3, 227.6, 271.6, 343.4, 452.4, 675.3" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.6, 71.5, 134.0, 270.0, 516.5, 1050.1", \ + " 44.7, 71.5, 134.0, 270.0, 516.5, 1050.1", \ + " 44.6, 71.6, 134.0, 270.0, 516.5, 1050.1", \ + " 45.0, 71.8, 134.2, 270.1, 516.5, 1050.1", \ + " 47.2, 73.6, 135.3, 270.6, 516.8, 1050.2", \ + " 51.0, 77.9, 139.1, 272.9, 517.7, 1050.4", \ + " 55.6, 82.7, 144.7, 277.6, 520.9, 1051.5", \ + " 62.5, 89.6, 151.0, 283.5, 525.1, 1054.2", \ + " 72.6, 100.0, 161.1, 291.0, 530.9, 1057.5", \ + " 86.2, 114.5, 176.4, 304.1, 539.9, 1062.9" ); }} +timing() { /* ring osc delay or3v0x4, path c to z 84.2 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.6 ; */ +/* intrinsic_fall : 98.8 ; */ +/* rise_resistance : 1.06 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 83.4, 119.2, 195.7, 330.9, 618.0", \ + " 74.1, 90.1, 125.8, 202.3, 337.6, 624.7", \ + " 79.5, 95.4, 131.1, 207.7, 343.0, 630.1", \ + " 86.9, 102.7, 138.4, 214.9, 350.2, 637.4", \ + " 98.3, 114.4, 149.9, 226.3, 361.6, 648.8", \ + " 110.2, 126.9, 163.0, 239.2, 374.4, 661.6", \ + " 123.6, 141.0, 177.6, 254.2, 389.2, 676.3", \ + " 138.7, 157.0, 194.6, 271.1, 406.3, 693.1", \ + " 155.6, 175.3, 214.5, 291.5, 426.6, 713.5", \ + " 174.2, 195.4, 237.2, 316.1, 451.7, 738.7" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 45.6, 77.5, 165.4, 370.7, 740.8, 1529.7", \ + " 45.6, 77.5, 165.4, 370.7, 740.8, 1529.7", \ + " 45.7, 77.6, 165.5, 370.7, 740.8, 1529.7", \ + " 46.1, 78.0, 165.7, 370.8, 740.8, 1529.7", \ + " 49.6, 80.7, 167.0, 371.1, 740.8, 1529.7", \ + " 54.4, 85.4, 170.2, 372.5, 741.1, 1529.7", \ + " 60.3, 91.1, 174.8, 374.9, 742.2, 1529.9", \ + " 68.0, 99.1, 180.9, 378.6, 743.9, 1530.8", \ + " 78.5, 110.2, 190.7, 385.0, 747.3, 1532.1", \ + " 92.8, 125.5, 205.7, 396.8, 755.0, 1535.8" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.7, 92.6, 127.5, 191.3, 296.7, 517.7", \ + " 79.4, 97.2, 132.1, 196.0, 301.3, 522.4", \ + " 84.0, 101.7, 136.7, 200.5, 305.9, 526.9", \ + " 90.6, 108.3, 143.2, 207.0, 312.4, 533.5", \ + " 101.2, 119.1, 154.1, 218.1, 323.5, 544.6", \ + " 113.1, 131.2, 167.3, 231.9, 337.4, 558.5", \ + " 128.3, 146.7, 182.8, 249.0, 355.1, 576.1", \ + " 147.1, 166.2, 202.9, 268.9, 376.8, 598.1", \ + " 170.4, 190.9, 229.1, 295.7, 403.3, 626.6", \ + " 199.2, 221.6, 262.7, 331.3, 439.4, 663.0" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 44.4, 71.2, 133.8, 269.9, 516.5, 1050.1", \ + " 44.3, 71.2, 133.8, 269.9, 516.5, 1050.1", \ + " 44.1, 71.2, 133.8, 269.9, 516.4, 1050.1", \ + " 44.5, 71.5, 134.0, 270.0, 516.5, 1050.1", \ + " 47.7, 74.1, 135.7, 270.8, 516.8, 1050.2", \ + " 51.1, 77.8, 139.5, 273.7, 518.2, 1050.6", \ + " 56.4, 81.7, 143.4, 277.6, 521.7, 1052.2", \ + " 64.1, 88.7, 148.0, 281.8, 525.3, 1055.4", \ + " 75.1, 99.6, 157.0, 287.0, 529.8, 1058.7", \ + " 90.1, 115.4, 172.1, 298.1, 536.7, 1063.7" ); }} +} +} +cell(or3v3x2) { /* 2008-01-06:07h55 */ +area : 7 ; /* tracks */ +cell_leakage_power : 924 ; /* or3v3x2 */ +cell_footprint : or3 ; +pin(a) { /* or3v3x2 FO4 effort 2.28 */ +direction : input ; +capacitance : 4.28 ; +rise_capacitance : 4.23 ; +fall_capacitance : 4.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v3x2 */ +} +pin(b) { /* or3v3x2 FO4 effort 2.15 */ +direction : input ; +capacitance : 4.17 ; +rise_capacitance : 4.33 ; +fall_capacitance : 4.02 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v3x2 */ +} +pin(c) { /* or3v3x2 FO4 effort 1.91 */ +direction : input ; +capacitance : 4.11 ; +rise_capacitance : 4.50 ; +fall_capacitance : 3.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v3x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v3x2 30.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 15.58, 15.49, 15.57, 15.67, 15.70", \ + " 15.37, 15.27, 15.35, 15.45, 15.49", \ + " 15.30, 15.20, 15.27, 15.37, 15.42", \ + " 15.26, 15.15, 15.21, 15.31, 15.36", \ + " 15.34, 15.19, 15.21, 15.30, 15.35", \ + " 15.66, 15.44, 15.39, 15.44, 15.49", \ + " 16.33, 16.02, 15.87, 15.86, 15.89", \ + " 17.62, 17.19, 16.92, 16.83, 16.82", \ + " 20.03, 19.44, 18.99, 18.78, 18.71", \ + " 24.23, 23.43, 22.75, 22.35, 22.18" ); }} +internal_power(b_z_p) { /* or3v3x2 26.55 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 13.50, 13.45, 13.55, 13.66, 13.70", \ + " 13.36, 13.30, 13.40, 13.51, 13.55", \ + " 13.31, 13.23, 13.33, 13.44, 13.49", \ + " 13.29, 13.20, 13.27, 13.39, 13.44", \ + " 13.42, 13.28, 13.30, 13.40, 13.46", \ + " 13.83, 13.60, 13.54, 13.61, 13.66", \ + " 14.64, 14.30, 14.14, 14.15, 14.18", \ + " 16.10, 15.64, 15.34, 15.26, 15.26", \ + " 18.61, 17.99, 17.52, 17.30, 17.24", \ + " 22.73, 21.90, 21.19, 20.79, 20.63" ); }} +internal_power(c_z_p) { /* or3v3x2 22.40 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 11.25, 11.25, 11.39, 11.48, 11.51", \ + " 11.11, 11.09, 11.22, 11.33, 11.36", \ + " 11.09, 11.06, 11.18, 11.30, 11.34", \ + " 11.17, 11.10, 11.20, 11.33, 11.38", \ + " 11.48, 11.35, 11.39, 11.50, 11.56", \ + " 12.10, 11.87, 11.83, 11.91, 11.96", \ + " 13.17, 12.83, 12.68, 12.70, 12.75", \ + " 14.92, 14.45, 14.17, 14.09, 14.11", \ + " 17.78, 17.13, 16.66, 16.45, 16.40", \ + " 22.34, 21.45, 20.72, 20.33, 20.17" ); }} +timing() { /* ring osc delay or3v3x2, path a to z 116.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.7 ; */ +/* intrinsic_fall : 151.3 ; */ +/* rise_resistance : 2.15 ; */ +/* fall_resistance : 1.78 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.8, 95.9, 132.5, 210.1, 346.1, 633.7", \ + " 86.5, 102.6, 139.2, 216.8, 352.8, 640.4", \ + " 92.0, 108.1, 144.7, 222.2, 358.2, 645.9", \ + " 99.6, 115.6, 152.1, 229.7, 365.7, 653.4", \ + " 113.0, 128.8, 165.0, 242.5, 378.5, 666.2", \ + " 128.5, 144.6, 180.7, 257.9, 393.8, 681.5", \ + " 145.3, 161.9, 198.4, 275.4, 411.2, 698.8", \ + " 163.0, 180.3, 217.3, 294.2, 429.8, 717.3", \ + " 181.6, 199.9, 237.8, 314.7, 450.2, 737.6", \ + " 200.8, 220.3, 259.8, 337.1, 472.5, 760.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.3, 87.6, 175.6, 380.2, 749.4, 1537.4", \ + " 55.3, 87.5, 175.5, 380.2, 749.4, 1537.4", \ + " 55.2, 87.5, 175.5, 380.2, 749.4, 1537.4", \ + " 55.0, 87.4, 175.4, 380.2, 749.4, 1537.4", \ + " 56.0, 87.9, 175.6, 380.1, 749.3, 1537.4", \ + " 60.3, 91.3, 177.5, 380.7, 749.4, 1537.4", \ + " 66.1, 96.6, 181.1, 382.4, 750.1, 1537.5", \ + " 73.4, 103.6, 186.3, 385.2, 751.3, 1538.1", \ + " 83.0, 113.1, 193.8, 389.8, 753.5, 1539.2", \ + " 95.5, 126.0, 205.0, 397.4, 757.9, 1541.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 125.1, 143.6, 180.3, 246.2, 352.6, 573.9", \ + " 131.9, 150.3, 187.1, 253.0, 359.4, 580.6", \ + " 136.4, 154.8, 191.6, 257.5, 363.9, 585.2", \ + " 141.9, 160.3, 197.0, 262.9, 369.3, 590.6", \ + " 150.4, 168.8, 205.5, 271.5, 377.9, 599.2", \ + " 160.7, 179.3, 216.2, 282.3, 388.7, 610.0", \ + " 172.6, 191.9, 230.0, 296.9, 403.5, 624.8", \ + " 188.4, 208.3, 247.7, 316.2, 423.7, 645.0", \ + " 211.0, 231.9, 273.1, 343.4, 452.0, 673.9", \ + " 243.3, 265.6, 309.7, 383.3, 493.7, 716.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.0, 84.0, 147.1, 282.4, 527.0, 1058.2", \ + " 57.1, 84.1, 147.1, 282.5, 527.0, 1058.2", \ + " 57.1, 84.1, 147.2, 282.5, 527.0, 1058.2", \ + " 57.1, 84.2, 147.2, 282.5, 527.0, 1058.2", \ + " 57.5, 84.5, 147.4, 282.6, 527.1, 1058.3", \ + " 60.2, 86.8, 149.0, 283.4, 527.5, 1058.4", \ + " 64.1, 91.3, 153.7, 286.9, 529.3, 1058.9", \ + " 69.4, 96.9, 159.8, 292.5, 533.3, 1060.9", \ + " 78.0, 105.8, 168.9, 300.5, 539.3, 1064.2", \ + " 90.7, 119.1, 183.3, 313.9, 549.4, 1070.0" ); }} +timing() { /* ring osc delay or3v3x2, path b to z 108.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.4 ; */ +/* intrinsic_fall : 142.1 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.78 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.4, 88.9, 124.5, 201.3, 336.8, 624.2", \ + " 80.4, 95.9, 131.5, 208.3, 343.8, 631.2", \ + " 85.9, 101.3, 136.9, 213.7, 349.2, 636.6", \ + " 93.3, 108.6, 144.2, 221.0, 356.5, 643.9", \ + " 105.4, 120.7, 156.1, 232.9, 368.4, 655.8", \ + " 118.4, 134.2, 169.7, 246.3, 381.7, 669.1", \ + " 132.2, 148.5, 184.4, 260.9, 396.3, 683.6", \ + " 146.1, 163.2, 199.7, 276.0, 411.4, 698.5", \ + " 159.7, 177.9, 215.5, 291.8, 427.0, 714.1", \ + " 171.5, 191.0, 230.5, 307.5, 442.6, 729.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.6, 83.4, 171.5, 377.0, 747.0, 1535.7", \ + " 51.6, 83.4, 171.5, 377.0, 747.0, 1535.7", \ + " 51.6, 83.5, 171.6, 377.0, 747.0, 1535.7", \ + " 51.8, 83.6, 171.7, 377.0, 747.0, 1535.7", \ + " 54.0, 85.2, 172.4, 377.2, 747.0, 1535.7", \ + " 58.5, 89.2, 174.9, 378.2, 747.3, 1535.8", \ + " 64.5, 94.6, 178.7, 380.0, 748.1, 1536.0", \ + " 72.0, 101.9, 184.1, 382.9, 749.3, 1536.6", \ + " 81.9, 111.9, 192.1, 387.7, 751.6, 1537.6", \ + " 95.0, 125.5, 204.2, 396.2, 756.5, 1539.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 116.9, 135.3, 172.1, 238.0, 344.4, 565.7", \ + " 122.6, 141.0, 177.8, 243.7, 350.1, 571.4", \ + " 127.0, 145.4, 182.2, 248.1, 354.5, 575.8", \ + " 132.7, 151.1, 187.8, 253.7, 360.2, 581.5", \ + " 142.5, 160.8, 197.5, 263.5, 369.9, 591.2", \ + " 154.9, 173.7, 210.8, 277.0, 383.5, 604.8", \ + " 171.0, 190.4, 228.9, 296.2, 402.9, 624.2", \ + " 193.4, 213.5, 253.0, 321.8, 429.6, 650.9", \ + " 225.3, 246.3, 287.4, 357.4, 466.2, 688.4", \ + " 269.3, 291.7, 335.3, 407.7, 517.4, 740.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.0, 84.1, 147.1, 282.5, 527.0, 1058.2", \ + " 57.0, 84.1, 147.1, 282.5, 527.0, 1058.2", \ + " 57.1, 84.1, 147.2, 282.5, 527.0, 1058.2", \ + " 57.2, 84.3, 147.3, 282.5, 527.1, 1058.2", \ + " 58.1, 85.0, 147.8, 282.8, 527.2, 1058.3", \ + " 62.3, 88.8, 150.5, 284.2, 527.8, 1058.5", \ + " 66.8, 93.9, 156.1, 288.6, 530.3, 1059.2", \ + " 72.9, 100.0, 162.3, 294.5, 534.7, 1061.8", \ + " 81.8, 108.9, 170.7, 301.6, 540.4, 1065.2", \ + " 94.4, 122.0, 184.0, 312.6, 548.5, 1070.2" ); }} +timing() { /* ring osc delay or3v3x2, path c to z 91.2 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.7 ; */ +/* intrinsic_fall : 121.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.78 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 77.3, 112.6, 189.0, 324.3, 611.4", \ + " 69.5, 84.5, 119.7, 196.2, 331.5, 618.6", \ + " 75.0, 90.0, 125.1, 201.7, 337.0, 624.1", \ + " 82.1, 97.0, 132.1, 208.6, 343.9, 631.1", \ + " 92.0, 107.3, 142.3, 218.7, 354.0, 641.2", \ + " 101.7, 117.4, 152.9, 229.2, 364.4, 651.5", \ + " 111.5, 128.0, 163.8, 240.2, 375.3, 662.4", \ + " 120.7, 138.1, 174.9, 251.2, 386.4, 673.2", \ + " 128.2, 146.9, 185.3, 262.1, 397.1, 684.0", \ + " 131.8, 152.0, 192.8, 271.2, 406.8, 693.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.4, 80.3, 169.1, 375.3, 745.8, 1534.9", \ + " 48.5, 80.4, 169.1, 375.3, 745.8, 1534.9", \ + " 48.6, 80.5, 169.2, 375.3, 745.8, 1534.9", \ + " 49.5, 81.1, 169.5, 375.4, 745.8, 1534.9", \ + " 53.4, 84.1, 171.0, 375.8, 745.9, 1534.9", \ + " 58.0, 88.6, 174.0, 377.1, 746.3, 1534.9", \ + " 64.3, 94.4, 178.4, 379.2, 747.2, 1535.2", \ + " 72.6, 102.6, 184.6, 382.8, 748.7, 1535.8", \ + " 83.7, 114.3, 194.6, 389.3, 752.1, 1537.1", \ + " 98.4, 130.1, 209.7, 401.1, 759.7, 1541.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.3, 114.7, 151.5, 217.4, 323.8, 545.0", \ + " 100.5, 118.9, 155.7, 221.6, 328.0, 549.2", \ + " 105.0, 123.4, 160.1, 226.0, 332.4, 553.7", \ + " 111.8, 130.2, 166.8, 232.8, 339.2, 560.5", \ + " 124.5, 142.7, 179.3, 245.2, 351.7, 573.0", \ + " 140.5, 159.2, 196.2, 262.4, 368.8, 590.1", \ + " 160.9, 179.8, 217.7, 285.1, 391.8, 613.1", \ + " 187.5, 206.9, 245.1, 313.3, 421.4, 642.7", \ + " 223.0, 243.3, 282.6, 351.1, 459.8, 682.5", \ + " 270.6, 292.3, 333.9, 403.9, 512.8, 736.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.9, 84.0, 147.1, 282.4, 527.0, 1058.2", \ + " 56.9, 84.0, 147.1, 282.4, 527.0, 1058.2", \ + " 56.9, 84.0, 147.1, 282.4, 527.0, 1058.2", \ + " 56.8, 84.0, 147.1, 282.4, 527.0, 1058.2", \ + " 58.1, 84.9, 147.7, 282.8, 527.2, 1058.3", \ + " 62.5, 88.9, 150.9, 284.5, 527.9, 1058.5", \ + " 67.3, 93.5, 155.8, 288.7, 530.8, 1059.4", \ + " 74.3, 99.7, 160.6, 293.6, 534.9, 1062.5", \ + " 84.3, 109.3, 168.4, 299.0, 539.6, 1065.9", \ + " 98.6, 123.8, 181.8, 308.6, 546.3, 1070.6" ); }} +} +} +cell(or3v4x05) { /* 2008-01-06:07h55 */ +area : 7 ; /* tracks */ +cell_leakage_power : 531 ; /* or3v4x05 */ +cell_footprint : or3 ; +pin(a) { /* or3v4x05 FO4 effort 2.57 */ +direction : input ; +capacitance : 2.25 ; +rise_capacitance : 2.33 ; +fall_capacitance : 2.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or3v4x05 */ +} +pin(b) { /* or3v4x05 FO4 effort 2.39 */ +direction : input ; +capacitance : 2.04 ; +rise_capacitance : 2.14 ; +fall_capacitance : 1.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or3v4x05 */ +} +pin(c) { /* or3v4x05 FO4 effort 2.18 */ +direction : input ; +capacitance : 1.98 ; +rise_capacitance : 2.21 ; +fall_capacitance : 1.75 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or3v4x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "(a+b+c)" ; +internal_power(a_z_p) { /* or3v4x05 14.83 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 7.66, 7.61, 7.63, 7.67, 7.67", \ + " 7.53, 7.48, 7.50, 7.54, 7.55", \ + " 7.49, 7.43, 7.45, 7.49, 7.50", \ + " 7.48, 7.41, 7.42, 7.45, 7.47", \ + " 7.50, 7.41, 7.41, 7.44, 7.46", \ + " 7.62, 7.51, 7.48, 7.50, 7.53", \ + " 7.94, 7.79, 7.72, 7.72, 7.73", \ + " 8.56, 8.37, 8.24, 8.21, 8.21", \ + " 9.72, 9.47, 9.28, 9.19, 9.17", \ + " 11.71, 11.40, 11.11, 10.95, 10.89" ); }} +internal_power(b_z_p) { /* or3v4x05 13.35 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 6.82, 6.77, 6.80, 6.83, 6.85", \ + " 6.75, 6.70, 6.72, 6.76, 6.78", \ + " 6.73, 6.67, 6.69, 6.73, 6.75", \ + " 6.73, 6.66, 6.67, 6.72, 6.74", \ + " 6.78, 6.69, 6.69, 6.73, 6.76", \ + " 6.95, 6.84, 6.81, 6.84, 6.86", \ + " 7.33, 7.18, 7.11, 7.11, 7.13", \ + " 8.01, 7.82, 7.69, 7.66, 7.66", \ + " 9.16, 8.91, 8.71, 8.62, 8.61", \ + " 11.01, 10.69, 10.40, 10.25, 10.19" ); }} +internal_power(c_z_p) { /* or3v4x05 11.92 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 6.03, 6.00, 6.02, 6.06, 6.06", \ + " 5.97, 5.92, 5.95, 5.99, 6.00", \ + " 5.97, 5.92, 5.94, 5.98, 6.00", \ + " 6.01, 5.94, 5.96, 6.00, 6.02", \ + " 6.13, 6.05, 6.05, 6.09, 6.12", \ + " 6.39, 6.28, 6.25, 6.29, 6.31", \ + " 6.87, 6.73, 6.66, 6.66, 6.68", \ + " 7.66, 7.47, 7.34, 7.31, 7.32", \ + " 8.93, 8.67, 8.47, 8.39, 8.37", \ + " 10.95, 10.61, 10.31, 10.15, 10.10" ); }} +timing() { /* ring osc delay or3v4x05, path a to z 130.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 79.2 ; */ +/* intrinsic_fall : 194.9 ; */ +/* rise_resistance : 4.98 ; */ +/* fall_resistance : 4.35 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.0, 76.1, 112.3, 190.9, 329.3, 622.7", \ + " 68.3, 83.3, 119.5, 198.2, 336.6, 630.0", \ + " 74.0, 88.9, 125.0, 203.7, 342.2, 635.6", \ + " 81.2, 96.0, 132.0, 210.6, 349.1, 642.5", \ + " 90.9, 105.8, 141.6, 220.2, 358.6, 652.1", \ + " 99.9, 115.1, 151.1, 229.5, 367.9, 661.4", \ + " 107.8, 123.6, 159.7, 238.1, 376.4, 669.8", \ + " 113.0, 129.5, 166.1, 244.2, 382.6, 675.9", \ + " 113.2, 130.9, 168.3, 246.5, 384.8, 678.1", \ + " 104.7, 123.7, 162.9, 241.5, 379.9, 673.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.9, 86.1, 177.9, 388.9, 766.9, 1571.9", \ + " 52.8, 86.0, 177.8, 388.8, 766.9, 1571.9", \ + " 52.7, 86.0, 177.7, 388.8, 766.9, 1571.9", \ + " 53.5, 86.4, 177.8, 388.7, 766.8, 1571.9", \ + " 56.6, 88.6, 178.8, 388.9, 766.8, 1571.9", \ + " 60.7, 92.3, 181.0, 389.8, 767.1, 1571.9", \ + " 66.5, 97.2, 184.4, 391.3, 767.8, 1572.2", \ + " 74.1, 104.2, 189.3, 393.9, 768.9, 1572.9", \ + " 84.3, 114.2, 197.1, 398.4, 771.2, 1574.0", \ + " 97.7, 128.0, 209.0, 406.6, 776.0, 1576.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.0, 189.4, 230.5, 302.1, 413.4, 640.1", \ + " 173.9, 194.2, 235.3, 306.9, 418.2, 645.0", \ + " 177.8, 198.1, 239.2, 310.8, 422.1, 648.9", \ + " 182.9, 203.2, 244.2, 315.9, 427.2, 653.9", \ + " 191.3, 211.6, 252.6, 324.2, 435.6, 662.3", \ + " 203.0, 223.2, 264.2, 335.9, 447.2, 674.0", \ + " 219.2, 239.9, 281.4, 353.4, 464.8, 691.5", \ + " 242.3, 263.5, 306.4, 380.0, 491.9, 718.8", \ + " 279.7, 301.6, 345.6, 420.7, 534.4, 761.6", \ + " 337.7, 360.4, 406.3, 483.6, 598.5, 827.2" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 104.7, 170.7, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.3, 104.8, 170.9, 308.8, 555.1, 1092.4", \ + " 77.1, 105.5, 171.5, 309.1, 555.3, 1092.5", \ + " 82.1, 110.2, 175.1, 311.2, 556.4, 1092.8", \ + " 86.6, 115.3, 181.3, 316.9, 560.3, 1094.5", \ + " 92.9, 121.8, 188.1, 324.1, 566.2, 1098.3", \ + " 102.6, 131.7, 198.5, 333.7, 574.4, 1103.5" ); }} +timing() { /* ring osc delay or3v4x05, path b to z 122.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 182.7 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.35 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.9, 72.5, 108.1, 186.3, 324.5, 617.7", \ + " 65.2, 79.8, 115.4, 193.6, 331.9, 625.1", \ + " 70.7, 85.2, 120.8, 199.1, 337.3, 630.6", \ + " 77.4, 91.8, 127.3, 205.6, 343.9, 637.1", \ + " 85.9, 100.6, 136.0, 214.2, 352.5, 645.7", \ + " 93.5, 108.5, 144.0, 222.1, 360.4, 653.6", \ + " 99.5, 115.0, 150.8, 228.8, 367.0, 660.2", \ + " 102.1, 118.5, 154.7, 232.5, 370.7, 663.8", \ + " 98.5, 116.1, 153.4, 231.2, 369.2, 662.4", \ + " 84.2, 103.3, 142.4, 220.8, 358.9, 652.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.6, 83.6, 175.5, 387.0, 765.6, 1571.0", \ + " 50.7, 83.7, 175.5, 387.0, 765.6, 1571.0", \ + " 50.9, 83.8, 175.6, 387.0, 765.6, 1571.0", \ + " 52.1, 84.6, 175.9, 387.1, 765.6, 1571.0", \ + " 55.4, 87.1, 177.1, 387.4, 765.7, 1571.1", \ + " 59.6, 90.7, 179.3, 388.3, 766.0, 1571.1", \ + " 65.4, 95.8, 182.7, 389.8, 766.6, 1571.4", \ + " 73.1, 103.0, 187.8, 392.4, 767.6, 1571.9", \ + " 83.4, 113.2, 195.8, 397.0, 769.8, 1572.9", \ + " 96.9, 127.3, 208.0, 405.4, 774.6, 1575.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 156.3, 176.7, 217.7, 289.4, 400.7, 627.5", \ + " 161.1, 181.4, 222.4, 294.1, 405.4, 632.2", \ + " 165.2, 185.4, 226.5, 298.2, 409.5, 636.3", \ + " 170.7, 191.0, 232.0, 303.7, 415.0, 641.8", \ + " 180.8, 201.0, 242.0, 313.7, 425.1, 651.9", \ + " 196.2, 216.4, 257.3, 329.0, 440.4, 667.2", \ + " 218.8, 239.6, 281.2, 353.2, 464.6, 691.4", \ + " 251.0, 272.3, 315.2, 388.7, 500.7, 727.5", \ + " 298.5, 320.4, 364.4, 439.3, 552.8, 780.0", \ + " 367.2, 390.0, 435.6, 512.2, 626.6, 855.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.8, 170.9, 308.7, 555.1, 1092.4", \ + " 76.4, 104.9, 171.0, 308.8, 555.1, 1092.4", \ + " 77.9, 106.2, 171.9, 309.3, 555.4, 1092.5", \ + " 83.4, 111.3, 176.0, 311.8, 556.6, 1092.9", \ + " 88.5, 116.9, 182.4, 317.4, 560.5, 1094.5", \ + " 95.3, 123.8, 189.3, 324.5, 566.1, 1098.2", \ + " 105.2, 133.8, 199.2, 333.1, 573.5, 1102.9" ); }} +timing() { /* ring osc delay or3v4x05, path c to z 108.8 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 69.1 ; */ +/* intrinsic_fall : 161.9 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 4.35 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 67.6, 102.9, 180.9, 318.9, 612.0", \ + " 60.6, 74.9, 110.4, 188.4, 326.5, 619.6", \ + " 65.9, 80.2, 115.6, 193.6, 331.7, 624.9", \ + " 71.8, 86.1, 121.4, 199.4, 337.6, 630.7", \ + " 78.6, 93.2, 128.6, 206.6, 344.7, 637.9", \ + " 84.1, 99.1, 134.7, 212.6, 350.7, 643.9", \ + " 87.5, 103.2, 139.0, 217.0, 355.0, 648.1", \ + " 86.8, 103.5, 140.0, 217.8, 355.9, 648.9", \ + " 78.8, 96.8, 134.7, 212.8, 350.9, 643.9", \ + " 58.2, 77.9, 118.0, 197.1, 335.8, 629.0" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.8, 82.0, 174.4, 386.2, 765.0, 1570.6", \ + " 49.0, 82.2, 174.4, 386.2, 765.0, 1570.6", \ + " 49.5, 82.5, 174.5, 386.3, 765.0, 1570.6", \ + " 51.4, 83.7, 174.9, 386.3, 765.0, 1570.6", \ + " 55.0, 86.6, 176.5, 386.8, 765.1, 1570.6", \ + " 59.3, 90.4, 178.9, 387.8, 765.5, 1570.6", \ + " 65.6, 95.9, 182.7, 389.5, 766.1, 1571.0", \ + " 73.9, 103.9, 188.4, 392.6, 767.4, 1571.5", \ + " 85.0, 115.1, 197.8, 398.3, 770.4, 1572.8", \ + " 99.5, 130.6, 211.7, 408.8, 776.9, 1576.4" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 135.3, 155.7, 196.8, 268.4, 379.7, 606.5", \ + " 138.6, 158.9, 199.9, 271.6, 382.9, 609.7", \ + " 143.0, 163.2, 204.3, 275.9, 387.2, 614.0", \ + " 149.9, 170.2, 211.2, 282.9, 394.2, 621.0", \ + " 163.6, 183.8, 224.8, 296.4, 407.8, 634.6", \ + " 184.1, 204.2, 245.1, 316.8, 428.1, 654.9", \ + " 212.8, 233.4, 274.7, 346.6, 458.0, 684.8", \ + " 250.2, 271.1, 313.4, 386.8, 498.7, 725.5", \ + " 301.9, 323.4, 366.4, 440.6, 554.2, 781.4", \ + " 374.8, 397.3, 441.8, 517.0, 630.9, 860.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.8, 308.7, 555.1, 1092.4", \ + " 76.2, 104.7, 170.9, 308.7, 555.1, 1092.4", \ + " 76.2, 104.8, 171.0, 308.8, 555.1, 1092.4", \ + " 77.5, 105.9, 171.7, 309.2, 555.4, 1092.5", \ + " 83.2, 111.0, 175.7, 311.7, 556.6, 1092.9", \ + " 89.5, 117.1, 182.1, 317.2, 560.5, 1094.5", \ + " 97.9, 125.0, 188.8, 323.8, 566.0, 1098.6", \ + " 109.5, 136.4, 199.1, 331.4, 572.6, 1103.2" ); }} +} +} +cell(or4v0x05) { /* 2008-01-06:07h56 */ +area : 8 ; /* tracks */ +cell_leakage_power : 797 ; /* or4v0x05 */ +cell_footprint : or4 ; +pin(a) { /* or4v0x05 FO4 effort 3.09 */ +direction : input ; +capacitance : 3.78 ; +rise_capacitance : 3.67 ; +fall_capacitance : 3.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v0x05 */ +} +pin(b) { /* or4v0x05 FO4 effort 2.98 */ +direction : input ; +capacitance : 3.77 ; +rise_capacitance : 3.85 ; +fall_capacitance : 3.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v0x05 */ +} +pin(c) { /* or4v0x05 FO4 effort 2.75 */ +direction : input ; +capacitance : 3.75 ; +rise_capacitance : 3.96 ; +fall_capacitance : 3.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v0x05 */ +} +pin(d) { /* or4v0x05 FO4 effort 2.46 */ +direction : input ; +capacitance : 3.93 ; +rise_capacitance : 4.31 ; +fall_capacitance : 3.56 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 2 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v0x05 25.83 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 13.18, 13.15, 13.19, 13.24, 13.26", \ + " 13.01, 12.98, 13.02, 13.07, 13.09", \ + " 12.95, 12.92, 12.96, 13.01, 13.03", \ + " 12.91, 12.88, 12.91, 12.96, 12.99", \ + " 12.91, 12.88, 12.90, 12.94, 12.97", \ + " 13.04, 12.99, 12.98, 13.01, 13.04", \ + " 13.34, 13.25, 13.21, 13.22, 13.24", \ + " 13.99, 13.87, 13.79, 13.76, 13.76", \ + " 15.38, 15.21, 15.06, 14.99, 14.97", \ + " 18.14, 17.89, 17.65, 17.51, 17.44" ); }} +internal_power(b_z_p) { /* or4v0x05 22.24 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 11.32, 11.31, 11.36, 11.41, 11.43", \ + " 11.20, 11.18, 11.23, 11.28, 11.31", \ + " 11.14, 11.13, 11.17, 11.23, 11.25", \ + " 11.10, 11.08, 11.12, 11.18, 11.20", \ + " 11.10, 11.07, 11.10, 11.15, 11.18", \ + " 11.24, 11.19, 11.18, 11.22, 11.25", \ + " 11.58, 11.49, 11.45, 11.46, 11.48", \ + " 12.34, 12.21, 12.12, 12.10, 12.11", \ + " 13.88, 13.69, 13.53, 13.46, 13.44", \ + " 16.71, 16.44, 16.19, 16.04, 15.98" ); }} +internal_power(c_z_p) { /* or4v0x05 18.40 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 9.30, 9.31, 9.37, 9.42, 9.44", \ + " 9.22, 9.22, 9.28, 9.34, 9.36", \ + " 9.18, 9.18, 9.23, 9.29, 9.31", \ + " 9.15, 9.15, 9.20, 9.26, 9.28", \ + " 9.20, 9.17, 9.21, 9.26, 9.29", \ + " 9.41, 9.35, 9.35, 9.39, 9.42", \ + " 9.88, 9.79, 9.75, 9.77, 9.79", \ + " 10.83, 10.69, 10.60, 10.58, 10.59", \ + " 12.54, 12.34, 12.18, 12.12, 12.10", \ + " 15.41, 15.14, 14.89, 14.75, 14.70" ); }} +internal_power(d_z_p) { /* or4v0x05 14.24 nW/MHz */ +related_pin : "d" ; +power(pwr_x05_113_5x10) { +values( " 7.13, 7.17, 7.25, 7.29, 7.30", \ + " 6.99, 7.02, 7.10, 7.15, 7.17", \ + " 6.98, 7.01, 7.08, 7.14, 7.16", \ + " 7.04, 7.05, 7.12, 7.18, 7.21", \ + " 7.26, 7.25, 7.29, 7.35, 7.38", \ + " 7.67, 7.63, 7.64, 7.69, 7.73", \ + " 8.41, 8.33, 8.30, 8.33, 8.37", \ + " 9.63, 9.51, 9.44, 9.43, 9.46", \ + " 11.64, 11.46, 11.32, 11.27, 11.27", \ + " 14.87, 14.61, 14.38, 14.26, 14.22" ); }} +timing() { /* ring osc delay or4v0x05, path a to z 154.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 111.9 ; */ +/* intrinsic_fall : 177.2 ; */ +/* rise_resistance : 5.10 ; */ +/* fall_resistance : 4.26 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.2, 108.2, 147.0, 228.0, 368.0, 662.5", \ + " 97.8, 114.7, 153.5, 234.5, 374.5, 669.1", \ + " 103.3, 120.2, 158.9, 239.9, 379.9, 674.5", \ + " 111.0, 127.8, 166.5, 247.4, 387.5, 682.1", \ + " 125.3, 141.8, 180.2, 260.9, 401.0, 695.6", \ + " 143.9, 160.4, 198.2, 278.7, 418.6, 713.2", \ + " 165.1, 182.0, 219.9, 299.9, 439.7, 734.2", \ + " 188.1, 205.5, 243.8, 323.6, 463.2, 757.6", \ + " 213.4, 231.6, 270.6, 350.4, 489.8, 784.2", \ + " 241.3, 260.7, 300.8, 380.9, 520.4, 814.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.4, 97.4, 188.5, 397.6, 773.8, 1576.9", \ + " 63.3, 97.2, 188.4, 397.6, 773.7, 1576.8", \ + " 63.0, 97.0, 188.2, 397.5, 773.7, 1576.8", \ + " 62.6, 96.7, 188.0, 397.3, 773.6, 1576.8", \ + " 62.0, 96.0, 187.4, 396.9, 773.4, 1576.7", \ + " 64.7, 97.6, 187.8, 396.7, 773.1, 1576.6", \ + " 69.9, 102.1, 190.4, 397.6, 773.2, 1576.6", \ + " 76.6, 108.2, 194.8, 399.7, 774.1, 1576.9", \ + " 85.3, 116.5, 201.2, 403.5, 776.0, 1577.8", \ + " 96.5, 127.9, 210.7, 410.0, 779.7, 1579.9" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 150.0, 169.0, 207.9, 277.6, 387.9, 614.2", \ + " 157.0, 176.0, 214.9, 284.6, 394.9, 621.2", \ + " 161.6, 180.6, 219.6, 289.3, 399.5, 625.8", \ + " 167.2, 186.2, 225.1, 294.8, 405.0, 631.4", \ + " 175.5, 194.5, 233.4, 303.1, 413.4, 639.7", \ + " 185.1, 204.1, 243.1, 313.0, 423.3, 649.6", \ + " 194.8, 214.5, 254.4, 324.8, 435.3, 661.6", \ + " 205.4, 225.7, 266.8, 338.9, 450.2, 676.7", \ + " 219.2, 240.4, 283.5, 357.6, 470.4, 697.5", \ + " 238.1, 261.0, 307.1, 385.2, 500.4, 728.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 89.5, 156.3, 296.0, 544.7, 1084.3", \ + " 60.7, 89.5, 156.3, 296.1, 544.7, 1084.3", \ + " 60.7, 89.5, 156.3, 296.1, 544.7, 1084.3", \ + " 60.8, 89.5, 156.4, 296.1, 544.7, 1084.3", \ + " 60.9, 89.8, 156.5, 296.2, 544.8, 1084.3", \ + " 62.2, 90.8, 157.3, 296.6, 545.0, 1084.4", \ + " 65.3, 94.3, 160.7, 299.1, 546.4, 1084.9", \ + " 69.2, 98.7, 166.0, 304.3, 550.2, 1086.8", \ + " 76.3, 106.4, 174.3, 312.3, 556.6, 1090.5", \ + " 88.7, 119.8, 189.4, 326.9, 568.3, 1097.5" ); }} +timing() { /* ring osc delay or4v0x05, path b to z 147.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 107.1 ; */ +/* intrinsic_fall : 168.6 ; */ +/* rise_resistance : 5.03 ; */ +/* fall_resistance : 4.26 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.8, 103.9, 141.3, 220.7, 359.7, 653.5", \ + " 94.6, 110.7, 148.1, 227.5, 366.5, 660.3", \ + " 99.9, 116.0, 153.3, 232.8, 371.8, 665.6", \ + " 107.3, 123.4, 160.7, 240.1, 379.1, 673.0", \ + " 120.7, 136.6, 173.7, 253.0, 392.1, 685.9", \ + " 137.3, 153.2, 190.1, 269.3, 408.2, 702.1", \ + " 155.5, 171.9, 208.9, 288.0, 426.8, 720.7", \ + " 174.8, 191.8, 229.3, 308.2, 447.0, 740.8", \ + " 195.4, 213.3, 251.5, 330.4, 469.1, 762.9", \ + " 216.7, 235.8, 275.4, 354.7, 493.4, 787.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.2, 92.3, 182.6, 391.9, 768.9, 1573.1", \ + " 59.2, 92.3, 182.6, 391.9, 768.8, 1573.1", \ + " 59.1, 92.2, 182.6, 391.9, 768.8, 1573.1", \ + " 59.0, 92.1, 182.5, 391.9, 768.8, 1573.1", \ + " 59.1, 92.2, 182.5, 391.8, 768.8, 1573.1", \ + " 62.5, 94.6, 183.7, 392.1, 768.8, 1573.1", \ + " 67.6, 99.2, 186.7, 393.4, 769.3, 1573.3", \ + " 74.3, 105.3, 191.1, 395.8, 770.4, 1573.8", \ + " 83.0, 113.7, 197.6, 399.6, 772.3, 1574.8", \ + " 94.5, 125.4, 207.5, 406.3, 776.2, 1576.9" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 143.1, 162.1, 201.1, 270.8, 381.0, 607.3", \ + " 148.8, 167.8, 206.8, 276.5, 386.7, 613.0", \ + " 153.2, 172.2, 211.1, 280.8, 391.1, 617.4", \ + " 158.6, 177.6, 216.5, 286.2, 396.5, 622.8", \ + " 167.0, 186.0, 224.9, 294.7, 404.9, 631.3", \ + " 176.7, 196.0, 235.2, 305.1, 415.4, 641.8", \ + " 187.1, 207.1, 247.7, 318.7, 429.4, 655.8", \ + " 200.9, 221.6, 263.4, 336.4, 448.3, 674.9", \ + " 221.6, 243.3, 287.0, 361.7, 475.2, 702.9", \ + " 252.8, 276.1, 322.7, 400.7, 515.8, 744.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.7, 89.5, 156.3, 296.0, 544.7, 1084.3", \ + " 60.7, 89.5, 156.3, 296.1, 544.7, 1084.3", \ + " 60.7, 89.5, 156.3, 296.1, 544.7, 1084.3", \ + " 60.8, 89.6, 156.4, 296.1, 544.7, 1084.3", \ + " 61.2, 90.0, 156.7, 296.3, 544.8, 1084.3", \ + " 63.9, 92.4, 158.4, 297.3, 545.3, 1084.5", \ + " 67.8, 97.1, 163.5, 301.3, 547.7, 1085.3", \ + " 72.8, 102.3, 169.6, 307.7, 552.8, 1088.2", \ + " 81.2, 111.2, 178.5, 315.8, 559.6, 1092.6", \ + " 94.1, 124.9, 193.1, 329.0, 569.9, 1099.2" ); }} +timing() { /* ring osc delay or4v0x05, path c to z 131.7 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.1 ; */ +/* intrinsic_fall : 148.0 ; */ +/* rise_resistance : 4.98 ; */ +/* fall_resistance : 4.26 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.5, 93.8, 130.1, 208.5, 346.9, 640.3", \ + " 85.7, 101.0, 137.2, 215.7, 354.1, 647.5", \ + " 91.2, 106.4, 142.6, 221.1, 359.6, 652.9", \ + " 98.6, 113.8, 149.9, 228.5, 366.9, 660.3", \ + " 111.0, 126.1, 162.1, 240.6, 379.1, 672.5", \ + " 124.6, 140.1, 176.2, 254.5, 392.9, 686.4", \ + " 139.0, 155.0, 191.4, 269.8, 408.1, 701.5", \ + " 153.8, 170.6, 207.4, 285.6, 424.0, 717.4", \ + " 168.6, 186.4, 224.1, 302.3, 440.7, 734.1", \ + " 182.0, 201.1, 240.4, 319.3, 457.8, 751.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.6, 87.1, 177.7, 387.9, 765.8, 1571.0", \ + " 54.6, 87.1, 177.7, 387.9, 765.8, 1571.0", \ + " 54.6, 87.2, 177.7, 387.9, 765.8, 1571.0", \ + " 54.7, 87.3, 177.8, 387.9, 765.8, 1571.0", \ + " 56.3, 88.5, 178.3, 388.1, 765.9, 1571.0", \ + " 60.2, 91.8, 180.3, 388.9, 766.1, 1571.1", \ + " 65.5, 96.5, 183.6, 390.5, 766.8, 1571.3", \ + " 72.3, 102.8, 188.1, 392.9, 767.9, 1572.0", \ + " 81.3, 111.7, 195.1, 397.0, 770.0, 1573.0", \ + " 93.2, 124.0, 205.8, 404.4, 774.4, 1575.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 124.0, 143.0, 181.9, 251.6, 361.8, 588.2", \ + " 128.9, 147.9, 186.8, 256.5, 366.7, 593.0", \ + " 132.8, 151.8, 190.7, 260.4, 370.7, 597.0", \ + " 138.0, 157.0, 195.9, 265.6, 375.9, 602.2", \ + " 146.8, 165.7, 204.7, 274.5, 384.8, 611.1", \ + " 157.6, 177.1, 216.5, 286.6, 397.1, 623.4", \ + " 171.4, 191.5, 232.4, 303.9, 414.8, 641.2", \ + " 191.8, 212.3, 253.9, 327.0, 439.4, 666.1", \ + " 221.6, 243.1, 286.1, 360.0, 473.6, 701.8", \ + " 263.5, 286.5, 331.9, 408.1, 522.5, 752.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.6, 89.4, 156.3, 296.0, 544.7, 1084.3", \ + " 60.6, 89.4, 156.3, 296.0, 544.7, 1084.3", \ + " 60.6, 89.5, 156.3, 296.1, 544.7, 1084.3", \ + " 60.8, 89.6, 156.4, 296.1, 544.7, 1084.3", \ + " 61.7, 90.4, 157.0, 296.5, 544.9, 1084.3", \ + " 65.8, 94.2, 160.0, 298.2, 545.8, 1084.7", \ + " 69.4, 98.6, 165.4, 303.0, 548.9, 1085.8", \ + " 75.0, 103.8, 170.5, 308.8, 553.9, 1089.2", \ + " 83.5, 112.4, 178.1, 315.0, 559.7, 1093.4", \ + " 95.7, 125.2, 190.9, 325.3, 567.6, 1099.0" ); }} +timing() { /* ring osc delay or4v0x05, path d to z 107.2 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.8 ; */ +/* intrinsic_fall : 116.2 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.25 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 79.0, 114.7, 192.7, 330.7, 623.8", \ + " 71.2, 86.0, 121.7, 199.7, 337.8, 630.9", \ + " 76.7, 91.5, 127.1, 205.2, 343.3, 636.5", \ + " 83.9, 98.6, 134.2, 212.3, 350.4, 643.6", \ + " 93.7, 108.7, 144.3, 222.3, 360.5, 653.6", \ + " 103.2, 118.6, 154.7, 232.6, 370.8, 663.9", \ + " 112.8, 128.9, 165.2, 243.5, 381.5, 674.7", \ + " 121.8, 138.8, 175.9, 254.1, 392.5, 685.5", \ + " 129.2, 147.5, 186.1, 264.9, 403.3, 696.5", \ + " 132.9, 152.9, 193.9, 274.3, 413.5, 707.0" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.5, 83.3, 174.7, 385.9, 764.4, 1569.9", \ + " 50.6, 83.3, 174.8, 385.9, 764.4, 1569.9", \ + " 50.7, 83.5, 174.8, 385.9, 764.4, 1569.9", \ + " 51.7, 84.1, 175.0, 385.9, 764.4, 1569.9", \ + " 55.2, 86.9, 176.5, 386.3, 764.4, 1569.9", \ + " 59.3, 90.9, 179.1, 387.6, 764.8, 1569.9", \ + " 64.9, 95.8, 183.0, 389.5, 765.8, 1570.3", \ + " 72.4, 103.2, 188.4, 392.7, 767.2, 1571.1", \ + " 82.6, 113.8, 197.5, 398.6, 770.5, 1572.5", \ + " 96.1, 128.4, 211.5, 409.7, 777.9, 1576.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.6, 110.7, 149.5, 219.1, 329.3, 555.6", \ + " 95.4, 114.3, 153.1, 222.7, 332.9, 559.2", \ + " 99.8, 118.7, 157.4, 226.9, 337.1, 563.5", \ + " 106.6, 125.3, 163.9, 233.5, 343.7, 570.0", \ + " 118.7, 137.2, 175.7, 245.3, 355.6, 581.9", \ + " 132.8, 151.9, 191.0, 261.0, 371.4, 597.7", \ + " 151.8, 170.5, 209.9, 281.5, 392.4, 618.8", \ + " 176.8, 195.8, 234.9, 306.6, 419.6, 646.4", \ + " 209.9, 229.9, 269.7, 341.1, 454.5, 683.6", \ + " 254.1, 275.5, 317.4, 390.0, 503.4, 734.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 88.5, 155.7, 295.7, 544.5, 1084.2", \ + " 59.2, 88.2, 155.4, 295.6, 544.5, 1084.2", \ + " 58.9, 88.0, 155.3, 295.4, 544.4, 1084.2", \ + " 58.6, 87.8, 155.1, 295.3, 544.3, 1084.1", \ + " 60.4, 88.9, 155.6, 295.6, 544.4, 1084.2", \ + " 63.4, 92.1, 158.7, 297.6, 545.4, 1084.5", \ + " 66.5, 94.5, 162.0, 301.5, 548.9, 1086.0", \ + " 72.3, 99.1, 164.4, 305.1, 552.8, 1089.9", \ + " 81.4, 107.4, 170.3, 308.4, 557.0, 1093.9", \ + " 94.4, 120.5, 182.0, 316.1, 562.6, 1098.9" ); }} +} +} +cell(or4v0x1) { /* 2008-01-06:07h56 */ +area : 11 ; /* tracks */ +cell_leakage_power : 1005 ; /* or4v0x1 */ +cell_footprint : or4 ; +pin(a) { /* or4v0x1 FO4 effort 3.20 */ +direction : input ; +capacitance : 5.43 ; +rise_capacitance : 5.28 ; +fall_capacitance : 5.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v0x1 */ +} +pin(b) { /* or4v0x1 FO4 effort 2.99 */ +direction : input ; +capacitance : 5.12 ; +rise_capacitance : 5.18 ; +fall_capacitance : 5.06 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v0x1 */ +} +pin(c) { /* or4v0x1 FO4 effort 2.70 */ +direction : input ; +capacitance : 4.95 ; +rise_capacitance : 5.19 ; +fall_capacitance : 4.72 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v0x1 */ +} +pin(d) { /* or4v0x1 FO4 effort 2.30 */ +direction : input ; +capacitance : 4.97 ; +rise_capacitance : 5.37 ; +fall_capacitance : 4.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v0x1 34.34 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 17.65, 17.58, 17.61, 17.65, 17.67", \ + " 17.39, 17.32, 17.35, 17.40, 17.41", \ + " 17.30, 17.23, 17.25, 17.30, 17.32", \ + " 17.22, 17.15, 17.17, 17.22, 17.24", \ + " 17.16, 17.09, 17.10, 17.15, 17.18", \ + " 17.28, 17.18, 17.16, 17.20, 17.22", \ + " 17.63, 17.47, 17.40, 17.40, 17.41", \ + " 18.38, 18.16, 18.02, 17.97, 17.96", \ + " 19.97, 19.66, 19.42, 19.31, 19.26", \ + " 23.11, 22.67, 22.29, 22.07, 21.97" ); }} +internal_power(b_z_p) { /* or4v0x1 29.24 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 14.91, 14.86, 14.92, 14.98, 15.01", \ + " 14.76, 14.71, 14.76, 14.83, 14.86", \ + " 14.69, 14.64, 14.69, 14.76, 14.78", \ + " 14.63, 14.58, 14.62, 14.69, 14.72", \ + " 14.60, 14.54, 14.57, 14.64, 14.67", \ + " 14.77, 14.67, 14.66, 14.70, 14.73", \ + " 15.18, 15.02, 14.95, 14.96, 14.98", \ + " 16.07, 15.83, 15.69, 15.65, 15.65", \ + " 17.86, 17.52, 17.26, 17.15, 17.12", \ + " 21.18, 20.71, 20.30, 20.06, 19.97" ); }} +internal_power(c_z_p) { /* or4v0x1 24.02 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_195_5x10) { +values( " 12.17, 12.15, 12.24, 12.31, 12.34", \ + " 12.06, 12.04, 12.13, 12.20, 12.23", \ + " 12.00, 11.98, 12.06, 12.14, 12.17", \ + " 11.96, 11.94, 12.01, 12.09, 12.12", \ + " 12.00, 11.95, 12.00, 12.07, 12.11", \ + " 12.25, 12.15, 12.15, 12.20, 12.24", \ + " 12.81, 12.64, 12.58, 12.61, 12.63", \ + " 13.93, 13.68, 13.54, 13.51, 13.52", \ + " 15.97, 15.62, 15.36, 15.25, 15.23", \ + " 19.44, 18.95, 18.54, 18.32, 18.23" ); }} +internal_power(d_z_p) { /* or4v0x1 18.25 nW/MHz */ +related_pin : "d" ; +power(pwr_x1_195_5x10) { +values( " 9.13, 9.18, 9.30, 9.37, 9.38", \ + " 8.96, 9.01, 9.13, 9.20, 9.22", \ + " 8.94, 8.98, 9.10, 9.18, 9.20", \ + " 9.00, 9.02, 9.13, 9.22, 9.25", \ + " 9.27, 9.24, 9.31, 9.40, 9.43", \ + " 9.76, 9.68, 9.71, 9.78, 9.82", \ + " 10.65, 10.50, 10.47, 10.51, 10.55", \ + " 12.13, 11.90, 11.79, 11.78, 11.81", \ + " 14.57, 14.24, 14.01, 13.93, 13.93", \ + " 18.51, 18.03, 17.65, 17.46, 17.40" ); }} +timing() { /* ring osc delay or4v0x1, path a to z 160.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 137.1 ; */ +/* intrinsic_fall : 175.7 ; */ +/* rise_resistance : 3.40 ; */ +/* fall_resistance : 2.77 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 116.8, 136.8, 181.5, 274.1, 434.2, 770.9", \ + " 122.8, 142.8, 187.5, 280.1, 440.2, 776.9", \ + " 127.9, 147.9, 192.6, 285.2, 445.3, 782.0", \ + " 135.1, 155.1, 199.8, 292.3, 452.4, 789.2", \ + " 148.6, 168.5, 213.1, 305.6, 465.7, 802.4", \ + " 169.1, 188.6, 232.7, 325.0, 485.0, 821.8", \ + " 195.9, 215.5, 259.2, 351.0, 510.9, 847.6", \ + " 226.0, 246.2, 290.2, 381.7, 541.3, 877.9", \ + " 260.9, 281.9, 326.5, 417.9, 577.3, 913.8", \ + " 302.3, 324.5, 370.1, 461.6, 620.9, 957.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.4, 109.3, 212.6, 450.7, 880.2, 1797.8", \ + " 70.4, 109.2, 212.5, 450.7, 880.1, 1797.8", \ + " 70.3, 109.1, 212.5, 450.7, 880.1, 1797.8", \ + " 70.1, 109.0, 212.4, 450.6, 880.1, 1797.8", \ + " 69.4, 108.4, 212.0, 450.4, 880.0, 1797.7", \ + " 69.5, 108.0, 211.5, 450.0, 879.7, 1797.6", \ + " 74.5, 111.8, 213.2, 450.2, 879.6, 1797.5", \ + " 81.6, 118.1, 217.5, 452.2, 880.3, 1797.7", \ + " 90.5, 126.7, 223.9, 455.8, 882.0, 1798.6", \ + " 102.1, 138.1, 233.1, 461.7, 885.2, 1800.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 148.7, 169.7, 212.3, 289.3, 413.6, 671.7", \ + " 155.4, 176.4, 219.0, 296.1, 420.3, 678.4", \ + " 159.9, 180.9, 223.5, 300.6, 424.8, 682.9", \ + " 165.4, 186.4, 228.9, 306.0, 430.3, 688.4", \ + " 173.6, 194.6, 237.1, 314.2, 438.5, 696.7", \ + " 183.1, 204.1, 246.8, 324.0, 448.3, 706.5", \ + " 192.1, 213.9, 257.7, 335.5, 460.0, 718.2", \ + " 201.2, 223.7, 268.8, 348.5, 473.7, 732.0", \ + " 211.2, 234.9, 282.1, 363.8, 490.6, 749.4", \ + " 221.8, 247.4, 298.1, 383.9, 512.8, 772.8" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 91.8, 167.0, 326.3, 612.1, 1231.6", \ + " 59.6, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.6, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.7, 92.0, 167.1, 326.4, 612.1, 1231.6", \ + " 59.8, 92.2, 167.2, 326.5, 612.2, 1231.6", \ + " 61.3, 93.4, 168.1, 326.9, 612.4, 1231.7", \ + " 64.7, 97.3, 171.8, 329.6, 613.7, 1232.1", \ + " 68.7, 101.9, 177.4, 335.0, 617.6, 1233.9", \ + " 76.0, 109.8, 185.9, 343.0, 623.9, 1237.5", \ + " 88.9, 123.8, 201.6, 357.6, 635.2, 1244.0" ); }} +timing() { /* ring osc delay or4v0x1, path b to z 149.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 126.8 ; */ +/* intrinsic_fall : 164.8 ; */ +/* rise_resistance : 3.35 ; */ +/* fall_resistance : 2.77 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.5, 126.5, 169.5, 260.3, 419.2, 755.0", \ + " 114.0, 133.0, 176.0, 266.9, 425.7, 761.5", \ + " 119.1, 138.1, 181.1, 272.0, 430.8, 766.6", \ + " 126.3, 145.2, 188.2, 279.1, 438.0, 773.8", \ + " 139.5, 158.4, 201.3, 292.1, 451.0, 786.8", \ + " 158.6, 177.3, 219.8, 310.5, 469.3, 805.2", \ + " 181.8, 200.9, 243.5, 333.8, 492.6, 828.4", \ + " 207.7, 227.5, 270.4, 360.6, 519.2, 854.9", \ + " 237.4, 258.0, 301.7, 391.7, 550.2, 885.9", \ + " 271.9, 293.8, 338.7, 428.9, 587.2, 922.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.2, 102.9, 205.4, 443.9, 874.3, 1793.3", \ + " 65.2, 102.9, 205.4, 443.8, 874.3, 1793.3", \ + " 65.1, 102.9, 205.4, 443.8, 874.3, 1793.3", \ + " 65.2, 102.9, 205.4, 443.8, 874.2, 1793.3", \ + " 64.9, 102.8, 205.4, 443.8, 874.2, 1793.3", \ + " 66.5, 103.7, 205.7, 443.9, 874.2, 1793.3", \ + " 71.9, 108.2, 208.4, 444.8, 874.5, 1793.4", \ + " 78.9, 114.6, 212.9, 447.1, 875.4, 1793.8", \ + " 87.9, 123.3, 219.4, 450.7, 877.2, 1794.6", \ + " 99.7, 135.1, 229.0, 456.9, 880.5, 1796.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 138.4, 159.5, 202.0, 279.1, 403.4, 661.5", \ + " 144.5, 165.5, 208.0, 285.1, 409.4, 667.5", \ + " 148.9, 169.9, 212.5, 289.5, 413.9, 672.0", \ + " 154.4, 175.4, 217.9, 295.0, 419.3, 677.5", \ + " 162.9, 183.8, 226.4, 303.6, 427.9, 686.0", \ + " 172.4, 193.7, 236.6, 313.9, 438.3, 696.5", \ + " 182.0, 204.2, 248.7, 327.0, 451.7, 709.8", \ + " 193.8, 216.8, 262.6, 343.0, 468.8, 727.0", \ + " 209.5, 233.7, 281.6, 363.9, 491.2, 750.3", \ + " 230.6, 256.8, 308.2, 394.0, 522.8, 783.5" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.7, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.7, 92.0, 167.1, 326.4, 612.1, 1231.6", \ + " 59.7, 92.0, 167.1, 326.4, 612.1, 1231.6", \ + " 59.7, 92.1, 167.1, 326.4, 612.1, 1231.6", \ + " 60.3, 92.5, 167.5, 326.6, 612.2, 1231.6", \ + " 63.2, 95.1, 169.3, 327.6, 612.7, 1231.8", \ + " 67.0, 99.9, 174.4, 331.5, 614.9, 1232.5", \ + " 72.4, 105.5, 180.8, 337.9, 619.7, 1235.0", \ + " 81.7, 115.2, 190.4, 346.3, 626.3, 1239.0", \ + " 96.1, 130.6, 206.7, 360.3, 636.9, 1245.2" ); }} +timing() { /* ring osc delay or4v0x1, path c to z 131.4 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.1 ; */ +/* intrinsic_fall : 143.9 ; */ +/* rise_resistance : 3.32 ; */ +/* fall_resistance : 2.77 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.5, 111.5, 153.2, 242.9, 401.0, 736.3", \ + " 100.5, 118.5, 160.1, 249.8, 408.0, 743.3", \ + " 105.8, 123.8, 165.4, 255.1, 413.3, 748.5", \ + " 113.1, 131.0, 172.6, 262.3, 420.5, 755.8", \ + " 126.2, 144.0, 185.4, 275.1, 433.3, 768.7", \ + " 142.8, 160.8, 202.2, 291.7, 449.9, 785.2", \ + " 161.5, 180.1, 221.8, 311.2, 469.3, 804.6", \ + " 182.1, 201.5, 243.6, 333.0, 491.0, 826.2", \ + " 205.4, 225.8, 268.7, 358.0, 516.0, 851.2", \ + " 231.5, 253.2, 297.7, 387.3, 545.2, 880.6" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.5, 96.6, 199.3, 438.9, 870.5, 1790.7", \ + " 59.6, 96.6, 199.3, 438.9, 870.5, 1790.7", \ + " 59.6, 96.6, 199.4, 438.9, 870.5, 1790.7", \ + " 59.6, 96.7, 199.4, 438.9, 870.5, 1790.7", \ + " 60.1, 97.2, 199.7, 439.0, 870.6, 1790.7", \ + " 63.7, 99.9, 201.2, 439.5, 870.7, 1790.7", \ + " 69.4, 105.0, 204.6, 441.1, 871.3, 1790.8", \ + " 76.5, 111.6, 209.3, 443.5, 872.4, 1791.4", \ + " 85.8, 120.6, 216.1, 447.5, 874.3, 1792.4", \ + " 98.1, 133.2, 226.7, 454.3, 878.1, 1794.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 119.1, 140.2, 182.7, 259.7, 384.1, 642.2", \ + " 124.3, 145.3, 187.8, 264.9, 389.2, 647.3", \ + " 128.4, 149.4, 191.9, 269.0, 393.3, 651.4", \ + " 133.6, 154.6, 197.1, 274.2, 398.5, 656.7", \ + " 142.2, 163.2, 205.8, 283.0, 407.3, 665.5", \ + " 152.2, 173.9, 217.1, 294.7, 419.1, 677.3", \ + " 164.5, 186.7, 231.5, 310.5, 435.3, 693.5", \ + " 181.5, 204.3, 249.8, 330.4, 456.7, 715.0", \ + " 205.0, 229.1, 276.2, 357.7, 485.3, 744.9", \ + " 237.0, 262.9, 312.9, 396.8, 525.0, 786.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.5, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.6, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.5, 91.9, 167.0, 326.4, 612.1, 1231.6", \ + " 59.7, 92.0, 167.1, 326.4, 612.1, 1231.6", \ + " 60.9, 93.1, 167.8, 326.8, 612.3, 1231.7", \ + " 65.0, 97.0, 170.9, 328.5, 613.1, 1231.9", \ + " 68.8, 101.5, 176.4, 333.3, 616.2, 1232.9", \ + " 75.1, 107.4, 181.9, 339.2, 621.0, 1236.1", \ + " 85.0, 117.2, 190.4, 345.9, 626.8, 1240.2", \ + " 98.8, 131.7, 204.8, 356.9, 635.1, 1245.5" ); }} +timing() { /* ring osc delay or4v0x1, path d to z 102.5 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.3 ; */ +/* intrinsic_fall : 110.2 ; */ +/* rise_resistance : 3.30 ; */ +/* fall_resistance : 2.76 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.3, 89.7, 130.7, 219.8, 377.5, 712.5", \ + " 79.1, 96.5, 137.4, 226.6, 384.3, 719.3", \ + " 84.7, 102.0, 142.9, 232.1, 389.9, 724.8", \ + " 92.2, 109.4, 150.2, 239.5, 397.3, 732.3", \ + " 103.8, 121.1, 161.8, 251.0, 408.8, 743.8", \ + " 115.6, 133.6, 174.7, 263.7, 421.5, 756.5", \ + " 128.7, 147.3, 188.8, 278.0, 435.7, 770.7", \ + " 142.8, 162.4, 204.6, 293.8, 451.6, 786.5", \ + " 158.0, 178.9, 222.7, 312.2, 470.1, 804.9", \ + " 173.5, 196.2, 242.5, 333.6, 491.9, 827.0" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.3, 91.6, 195.4, 436.3, 868.7, 1789.3", \ + " 54.3, 91.6, 195.5, 436.3, 868.7, 1789.3", \ + " 54.4, 91.7, 195.5, 436.3, 868.7, 1789.3", \ + " 54.8, 92.0, 195.6, 436.3, 868.7, 1789.3", \ + " 58.0, 94.3, 196.6, 436.5, 868.7, 1789.3", \ + " 62.6, 98.6, 199.3, 437.6, 868.9, 1789.3", \ + " 68.4, 103.9, 203.3, 439.5, 869.8, 1789.3", \ + " 76.2, 111.5, 208.9, 442.6, 871.1, 1790.1", \ + " 86.7, 122.4, 218.0, 448.5, 874.0, 1791.3", \ + " 100.9, 137.7, 232.5, 459.1, 880.8, 1794.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.9, 105.9, 148.2, 225.2, 349.4, 607.6", \ + " 89.3, 110.1, 152.5, 229.4, 353.6, 611.8", \ + " 93.8, 114.5, 156.8, 233.7, 358.0, 616.1", \ + " 100.4, 121.0, 163.2, 240.1, 364.4, 622.5", \ + " 111.6, 132.0, 174.2, 251.1, 375.4, 633.6", \ + " 124.2, 144.9, 187.9, 265.2, 389.7, 647.9", \ + " 140.9, 161.3, 204.2, 283.3, 408.2, 666.4", \ + " 162.1, 183.0, 225.5, 304.6, 431.6, 690.0", \ + " 189.0, 211.1, 254.5, 333.3, 460.8, 721.3", \ + " 223.3, 247.2, 292.8, 372.9, 500.3, 762.8" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.2, 90.7, 166.2, 325.9, 611.9, 1231.5", \ + " 57.7, 90.3, 166.0, 325.8, 611.8, 1231.5", \ + " 57.3, 90.0, 165.7, 325.6, 611.7, 1231.5", \ + " 57.1, 89.8, 165.6, 325.5, 611.7, 1231.5", \ + " 59.3, 91.5, 166.3, 325.8, 611.8, 1231.5", \ + " 62.1, 94.4, 169.4, 328.2, 612.9, 1231.9", \ + " 66.0, 96.9, 172.5, 331.7, 616.4, 1233.2", \ + " 72.8, 102.2, 175.1, 335.3, 620.1, 1237.0", \ + " 83.2, 111.8, 181.7, 338.9, 624.3, 1240.8", \ + " 97.8, 126.6, 194.7, 347.1, 630.4, 1245.8" ); }} +} +} +cell(or4v0x2) { /* 2008-01-06:07h56 */ +area : 11 ; /* tracks */ +cell_leakage_power : 1363 ; /* or4v0x2 */ +cell_footprint : or4 ; +pin(a) { /* or4v0x2 FO4 effort 3.10 */ +direction : input ; +capacitance : 6.88 ; +rise_capacitance : 6.64 ; +fall_capacitance : 7.11 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v0x2 */ +} +pin(b) { /* or4v0x2 FO4 effort 2.89 */ +direction : input ; +capacitance : 6.50 ; +rise_capacitance : 6.56 ; +fall_capacitance : 6.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v0x2 */ +} +pin(c) { /* or4v0x2 FO4 effort 2.58 */ +direction : input ; +capacitance : 6.22 ; +rise_capacitance : 6.51 ; +fall_capacitance : 5.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v0x2 */ +} +pin(d) { /* or4v0x2 FO4 effort 2.14 */ +direction : input ; +capacitance : 6.08 ; +rise_capacitance : 6.56 ; +fall_capacitance : 5.59 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v0x2 46.16 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 23.86, 23.67, 23.63, 23.66, 23.68", \ + " 23.54, 23.35, 23.30, 23.34, 23.36", \ + " 23.42, 23.23, 23.18, 23.22, 23.24", \ + " 23.32, 23.13, 23.08, 23.12, 23.14", \ + " 23.24, 23.05, 22.99, 23.03, 23.06", \ + " 23.37, 23.15, 23.06, 23.08, 23.11", \ + " 23.86, 23.56, 23.38, 23.34, 23.34", \ + " 24.88, 24.48, 24.19, 24.06, 24.02", \ + " 26.95, 26.40, 25.96, 25.71, 25.61", \ + " 30.98, 30.23, 29.56, 29.13, 28.92" ); }} +internal_power(b_z_p) { /* or4v0x2 39.41 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 20.24, 20.09, 20.10, 20.17, 20.21", \ + " 20.04, 19.89, 19.90, 19.97, 20.01", \ + " 19.95, 19.79, 19.80, 19.87, 19.91", \ + " 19.86, 19.71, 19.70, 19.78, 19.82", \ + " 19.82, 19.65, 19.63, 19.70, 19.75", \ + " 20.03, 19.81, 19.73, 19.77, 19.81", \ + " 20.60, 20.29, 20.12, 20.09, 20.11", \ + " 21.79, 21.36, 21.06, 20.95, 20.92", \ + " 24.12, 23.53, 23.06, 22.81, 22.72", \ + " 28.43, 27.62, 26.89, 26.44, 26.24" ); }} +internal_power(c_z_p) { /* or4v0x2 32.40 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 16.53, 16.44, 16.51, 16.61, 16.65", \ + " 16.39, 16.29, 16.36, 16.47, 16.51", \ + " 16.31, 16.22, 16.28, 16.39, 16.43", \ + " 16.25, 16.15, 16.20, 16.31, 16.36", \ + " 16.30, 16.16, 16.17, 16.27, 16.33", \ + " 16.65, 16.43, 16.37, 16.42, 16.48", \ + " 17.41, 17.09, 16.92, 16.92, 16.95", \ + " 18.88, 18.44, 18.13, 18.03, 18.03", \ + " 21.56, 20.94, 20.45, 20.21, 20.14", \ + " 26.09, 25.26, 24.51, 24.08, 23.89" ); }} +internal_power(d_z_p) { /* or4v0x2 24.68 nW/MHz */ +related_pin : "d" ; +power(pwr_x2_260_5x10) { +values( " 12.44, 12.46, 12.61, 12.72, 12.74", \ + " 12.22, 12.23, 12.38, 12.50, 12.53", \ + " 12.18, 12.17, 12.32, 12.45, 12.49", \ + " 12.24, 12.21, 12.34, 12.48, 12.53", \ + " 12.60, 12.49, 12.55, 12.68, 12.74", \ + " 13.26, 13.07, 13.04, 13.14, 13.20", \ + " 14.42, 14.13, 14.00, 14.03, 14.09", \ + " 16.36, 15.94, 15.67, 15.61, 15.63", \ + " 19.56, 18.96, 18.51, 18.32, 18.27", \ + " 24.72, 23.87, 23.16, 22.78, 22.63" ); }} +timing() { /* ring osc delay or4v0x2, path a to z 159.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 149.9 ; */ +/* intrinsic_fall : 171.8 ; */ +/* rise_resistance : 2.21 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 128.8, 147.0, 186.7, 267.1, 405.0, 694.2", \ + " 134.6, 152.8, 192.5, 272.9, 410.8, 699.9", \ + " 139.6, 157.8, 197.5, 277.9, 415.7, 704.9", \ + " 146.7, 164.9, 204.6, 284.9, 422.8, 712.0", \ + " 159.8, 178.0, 217.7, 297.9, 435.8, 725.1", \ + " 180.1, 198.1, 237.4, 317.5, 455.4, 744.6", \ + " 209.4, 227.3, 266.1, 345.7, 483.3, 772.4", \ + " 243.2, 261.6, 300.8, 380.0, 517.3, 806.3", \ + " 282.8, 302.0, 342.0, 421.1, 558.2, 846.9", \ + " 330.7, 350.9, 392.1, 471.4, 608.3, 896.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.3, 103.9, 191.7, 394.1, 760.6, 1546.1", \ + " 70.3, 103.9, 191.7, 394.0, 760.6, 1546.1", \ + " 70.3, 103.9, 191.7, 394.0, 760.6, 1546.1", \ + " 70.1, 103.8, 191.6, 394.0, 760.6, 1546.1", \ + " 69.8, 103.4, 191.4, 393.9, 760.5, 1546.1", \ + " 68.9, 102.6, 190.8, 393.5, 760.3, 1546.0", \ + " 73.2, 105.5, 192.0, 393.5, 760.1, 1545.8", \ + " 80.5, 112.4, 196.8, 395.8, 760.8, 1545.9", \ + " 89.9, 121.6, 204.0, 400.2, 762.9, 1546.9", \ + " 101.8, 133.5, 214.0, 406.9, 766.7, 1548.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.6, 163.5, 201.6, 269.6, 377.3, 598.9", \ + " 151.6, 170.4, 208.5, 276.5, 384.3, 605.9", \ + " 156.2, 175.0, 213.1, 281.1, 388.8, 610.5", \ + " 161.7, 180.5, 218.5, 286.5, 394.3, 615.9", \ + " 169.9, 188.7, 226.8, 294.8, 402.6, 624.2", \ + " 179.3, 198.2, 236.4, 304.5, 412.4, 634.0", \ + " 188.3, 207.8, 247.1, 315.9, 423.9, 645.6", \ + " 196.9, 217.1, 257.6, 328.2, 437.2, 659.0", \ + " 205.6, 226.8, 269.3, 342.0, 452.5, 675.2", \ + " 213.2, 236.1, 281.8, 358.6, 471.6, 695.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.2, 84.5, 150.0, 287.1, 531.0, 1060.1", \ + " 56.2, 84.6, 150.0, 287.1, 531.0, 1060.1", \ + " 56.2, 84.6, 150.1, 287.1, 531.0, 1060.1", \ + " 56.3, 84.6, 150.1, 287.1, 531.0, 1060.1", \ + " 56.5, 84.8, 150.3, 287.2, 531.1, 1060.1", \ + " 58.0, 86.1, 151.2, 287.7, 531.4, 1060.2", \ + " 61.3, 89.9, 155.0, 290.6, 532.9, 1060.8", \ + " 65.4, 94.4, 160.5, 296.2, 537.2, 1063.0", \ + " 72.7, 102.3, 169.1, 304.4, 544.0, 1067.1", \ + " 85.7, 116.3, 184.7, 319.6, 556.1, 1074.5" ); }} +timing() { /* ring osc delay or4v0x2, path b to z 148.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 137.9 ; */ +/* intrinsic_fall : 161.3 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.6, 134.9, 173.0, 251.5, 388.0, 676.1", \ + " 123.9, 141.2, 179.3, 257.8, 394.3, 682.5", \ + " 128.9, 146.2, 184.3, 262.8, 399.3, 687.5", \ + " 135.9, 153.3, 191.3, 269.8, 406.3, 694.5", \ + " 148.9, 166.2, 204.2, 282.7, 419.2, 707.4", \ + " 168.5, 185.6, 223.3, 301.6, 438.1, 726.3", \ + " 194.3, 211.7, 249.3, 327.3, 463.6, 751.7", \ + " 223.4, 241.4, 279.7, 357.5, 493.6, 781.6", \ + " 257.6, 276.4, 315.5, 393.3, 529.2, 817.1", \ + " 298.4, 318.2, 358.7, 436.8, 572.5, 860.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.8, 97.3, 184.1, 386.5, 753.9, 1540.9", \ + " 64.8, 97.3, 184.1, 386.5, 753.9, 1540.9", \ + " 64.8, 97.3, 184.1, 386.5, 753.9, 1540.9", \ + " 64.7, 97.3, 184.1, 386.5, 753.9, 1540.9", \ + " 64.6, 97.3, 184.1, 386.5, 753.9, 1540.8", \ + " 65.1, 97.5, 184.3, 386.5, 753.9, 1540.8", \ + " 70.5, 101.9, 186.9, 387.5, 754.2, 1540.9", \ + " 77.9, 109.0, 192.1, 390.4, 755.4, 1541.4", \ + " 87.2, 118.2, 199.4, 394.8, 757.6, 1542.4", \ + " 99.2, 130.4, 209.8, 401.8, 761.6, 1544.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 135.2, 154.0, 192.1, 260.1, 367.9, 589.5", \ + " 141.3, 160.1, 198.2, 266.2, 374.0, 595.6", \ + " 145.8, 164.6, 202.7, 270.7, 378.5, 600.1", \ + " 151.3, 170.1, 208.1, 276.1, 383.9, 605.6", \ + " 159.7, 178.5, 216.6, 284.7, 392.5, 614.2", \ + " 169.1, 188.2, 226.7, 294.9, 402.8, 624.5", \ + " 178.5, 198.4, 238.3, 307.8, 416.0, 637.7", \ + " 189.5, 210.1, 251.3, 322.7, 432.3, 654.2", \ + " 203.2, 225.0, 268.3, 341.6, 452.6, 675.8", \ + " 220.4, 243.9, 290.4, 367.5, 480.4, 704.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.2, 84.6, 150.1, 287.1, 531.0, 1060.1", \ + " 56.3, 84.6, 150.1, 287.1, 531.0, 1060.1", \ + " 56.3, 84.6, 150.1, 287.1, 531.0, 1060.1", \ + " 56.4, 84.7, 150.2, 287.1, 531.1, 1060.1", \ + " 56.9, 85.2, 150.6, 287.4, 531.2, 1060.2", \ + " 60.0, 87.9, 152.5, 288.5, 531.7, 1060.4", \ + " 63.7, 92.5, 157.8, 292.8, 534.3, 1061.3", \ + " 69.2, 98.3, 164.2, 299.4, 539.6, 1064.4", \ + " 78.7, 108.2, 174.1, 308.2, 546.9, 1069.0", \ + " 93.7, 124.0, 191.0, 323.4, 558.3, 1076.2" ); }} +timing() { /* ring osc delay or4v0x2, path c to z 129.0 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 120.6 ; */ +/* intrinsic_fall : 140.6 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.8, 117.2, 153.8, 231.1, 366.8, 654.3", \ + " 107.6, 124.0, 160.6, 237.9, 373.6, 661.1", \ + " 112.8, 129.2, 165.8, 243.1, 378.8, 666.3", \ + " 120.0, 136.4, 173.0, 250.2, 385.9, 673.5", \ + " 133.1, 149.4, 185.9, 263.1, 398.9, 686.4", \ + " 151.1, 167.4, 203.8, 280.8, 416.5, 704.0", \ + " 172.1, 189.0, 225.8, 302.7, 438.2, 725.7", \ + " 195.6, 213.3, 250.7, 327.6, 463.0, 750.4", \ + " 223.1, 241.6, 280.0, 356.9, 492.3, 779.7", \ + " 255.3, 275.0, 315.1, 392.5, 527.7, 815.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.7, 90.6, 177.3, 380.7, 749.5, 1537.7", \ + " 58.7, 90.6, 177.3, 380.7, 749.5, 1537.7", \ + " 58.7, 90.6, 177.3, 380.7, 749.5, 1537.7", \ + " 58.7, 90.6, 177.3, 380.8, 749.5, 1537.7", \ + " 59.0, 90.9, 177.5, 380.8, 749.5, 1537.7", \ + " 62.0, 93.2, 178.9, 381.3, 749.7, 1537.7", \ + " 67.9, 98.8, 182.8, 383.3, 750.4, 1537.9", \ + " 75.4, 105.9, 188.3, 386.4, 751.9, 1538.6", \ + " 84.9, 115.4, 195.9, 391.2, 754.2, 1539.7", \ + " 97.3, 128.3, 207.3, 398.9, 758.7, 1541.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.9, 134.7, 172.8, 240.7, 348.5, 570.2", \ + " 121.1, 140.0, 178.0, 246.0, 353.8, 575.4", \ + " 125.3, 144.1, 182.1, 250.1, 357.9, 579.5", \ + " 130.5, 149.3, 187.3, 255.4, 363.1, 584.8", \ + " 139.1, 157.9, 196.0, 264.1, 371.9, 593.6", \ + " 148.8, 168.3, 207.1, 275.6, 383.5, 605.2", \ + " 160.7, 180.5, 220.7, 290.8, 399.2, 621.0", \ + " 176.3, 196.8, 237.7, 309.1, 419.4, 641.4", \ + " 197.3, 219.0, 261.5, 333.9, 445.0, 668.9", \ + " 224.8, 248.2, 293.6, 368.7, 480.8, 705.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.2, 84.5, 150.1, 287.1, 531.0, 1060.1", \ + " 56.2, 84.5, 150.1, 287.1, 531.0, 1060.1", \ + " 56.2, 84.5, 150.1, 287.1, 531.0, 1060.1", \ + " 56.3, 84.7, 150.2, 287.2, 531.0, 1060.1", \ + " 57.6, 85.8, 150.9, 287.6, 531.3, 1060.2", \ + " 61.8, 89.8, 154.3, 289.6, 532.3, 1060.6", \ + " 65.7, 94.3, 159.8, 294.7, 535.8, 1061.9", \ + " 72.3, 100.5, 165.4, 300.8, 541.0, 1065.6", \ + " 82.8, 111.0, 174.8, 307.9, 547.3, 1070.1", \ + " 97.4, 126.2, 190.2, 320.4, 556.2, 1076.3" ); }} +timing() { /* ring osc delay or4v0x2, path d to z 98.6 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 95.6 ; */ +/* intrinsic_fall : 107.0 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.81 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.3, 92.1, 128.1, 204.7, 339.9, 627.0", \ + " 83.0, 98.7, 134.6, 211.3, 346.5, 633.7", \ + " 88.5, 104.2, 140.0, 216.7, 352.0, 639.1", \ + " 96.0, 111.7, 147.5, 224.1, 359.5, 646.6", \ + " 108.5, 124.2, 159.8, 236.3, 371.7, 658.9", \ + " 121.8, 138.1, 174.2, 250.5, 385.8, 673.0", \ + " 136.7, 153.7, 190.3, 267.0, 402.1, 689.2", \ + " 153.4, 171.3, 208.8, 285.5, 420.7, 707.7", \ + " 172.6, 191.6, 230.7, 307.9, 443.2, 730.1", \ + " 194.1, 214.6, 256.3, 335.2, 470.8, 758.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.9, 84.8, 172.5, 377.4, 747.2, 1536.0", \ + " 53.0, 84.9, 172.6, 377.4, 747.2, 1536.0", \ + " 53.0, 84.9, 172.6, 377.5, 747.2, 1536.0", \ + " 53.2, 85.1, 172.7, 377.5, 747.2, 1536.0", \ + " 55.8, 87.1, 173.7, 377.7, 747.2, 1536.0", \ + " 60.8, 91.8, 176.8, 379.1, 747.5, 1536.0", \ + " 66.8, 97.6, 181.5, 381.6, 748.7, 1536.2", \ + " 74.6, 105.4, 187.7, 385.4, 750.4, 1537.1", \ + " 85.3, 116.7, 197.4, 392.0, 753.9, 1538.4", \ + " 99.8, 132.2, 212.6, 403.7, 761.6, 1542.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.0, 100.9, 138.8, 206.6, 314.4, 536.0", \ + " 86.5, 105.2, 143.1, 210.9, 318.6, 540.3", \ + " 90.9, 109.6, 147.4, 215.2, 322.9, 544.6", \ + " 97.5, 115.9, 153.6, 221.4, 329.1, 550.8", \ + " 108.4, 126.7, 164.3, 232.1, 339.9, 561.6", \ + " 120.4, 138.9, 177.3, 245.7, 353.6, 575.3", \ + " 136.2, 154.5, 192.6, 262.5, 371.1, 592.9", \ + " 155.8, 174.6, 212.5, 282.0, 392.8, 615.0", \ + " 180.1, 200.1, 239.0, 308.3, 418.8, 643.7", \ + " 209.9, 231.6, 272.9, 343.7, 454.4, 679.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.8, 83.3, 149.2, 286.6, 530.8, 1060.0", \ + " 54.3, 82.9, 148.9, 286.4, 530.7, 1060.0", \ + " 53.8, 82.6, 148.7, 286.3, 530.6, 1060.0", \ + " 53.6, 82.4, 148.5, 286.1, 530.5, 1059.9", \ + " 56.1, 84.2, 149.4, 286.5, 530.7, 1060.0", \ + " 58.9, 87.3, 152.6, 289.2, 532.1, 1060.5", \ + " 63.6, 90.2, 155.7, 292.8, 535.8, 1062.3", \ + " 71.1, 96.3, 158.9, 296.3, 539.5, 1066.4", \ + " 82.2, 106.9, 166.7, 300.2, 543.9, 1070.3", \ + " 97.5, 122.6, 180.9, 310.0, 549.9, 1075.7" ); }} +} +} +cell(or4v0x3) { /* 2008-01-06:07h56 */ +area : 12 ; /* tracks */ +cell_leakage_power : 1709 ; /* or4v0x3 */ +cell_footprint : or4 ; +pin(a) { /* or4v0x3 FO4 effort 2.97 */ +direction : input ; +capacitance : 7.73 ; +rise_capacitance : 7.44 ; +fall_capacitance : 8.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v0x3 */ +} +pin(b) { /* or4v0x3 FO4 effort 2.77 */ +direction : input ; +capacitance : 7.33 ; +rise_capacitance : 7.39 ; +fall_capacitance : 7.26 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v0x3 */ +} +pin(c) { /* or4v0x3 FO4 effort 2.46 */ +direction : input ; +capacitance : 6.99 ; +rise_capacitance : 7.33 ; +fall_capacitance : 6.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v0x3 */ +} +pin(d) { /* or4v0x3 FO4 effort 2.03 */ +direction : input ; +capacitance : 6.89 ; +rise_capacitance : 7.47 ; +fall_capacitance : 6.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 360 ; +max_fanout : 8 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v0x3 55.29 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_390_5x10) { +values( " 28.80, 28.39, 28.25, 28.26, 28.28", \ + " 28.44, 28.03, 27.89, 27.91, 27.92", \ + " 28.31, 27.90, 27.76, 27.78, 27.79", \ + " 28.20, 27.79, 27.65, 27.66, 27.68", \ + " 28.11, 27.70, 27.55, 27.56, 27.58", \ + " 28.27, 27.82, 27.63, 27.62, 27.64", \ + " 28.93, 28.36, 28.03, 27.93, 27.91", \ + " 30.25, 29.51, 29.01, 28.78, 28.70", \ + " 32.83, 31.86, 31.12, 30.72, 30.54", \ + " 37.75, 36.46, 35.37, 34.70, 34.37" ); }} +internal_power(b_z_p) { /* or4v0x3 47.47 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 24.58, 24.24, 24.18, 24.24, 24.27", \ + " 24.36, 24.02, 23.95, 24.02, 24.05", \ + " 24.26, 23.91, 23.84, 23.91, 23.94", \ + " 24.16, 23.81, 23.74, 23.80, 23.84", \ + " 24.12, 23.75, 23.65, 23.71, 23.75", \ + " 24.39, 23.95, 23.77, 23.79, 23.82", \ + " 25.16, 24.57, 24.25, 24.17, 24.17", \ + " 26.67, 25.89, 25.38, 25.16, 25.10", \ + " 29.57, 28.53, 27.74, 27.33, 27.17", \ + " 34.83, 33.44, 32.26, 31.56, 31.23" ); }} +internal_power(c_z_p) { /* or4v0x3 39.29 nW/MHz */ +related_pin : "c" ; +power(pwr_x3_390_5x10) { +values( " 20.22, 19.96, 19.99, 20.10, 20.14", \ + " 20.06, 19.80, 19.83, 19.95, 19.99", \ + " 19.98, 19.71, 19.74, 19.85, 19.90", \ + " 19.91, 19.63, 19.64, 19.76, 19.81", \ + " 19.97, 19.65, 19.61, 19.71, 19.77", \ + " 20.44, 20.00, 19.84, 19.89, 19.94", \ + " 21.43, 20.82, 20.50, 20.45, 20.47", \ + " 23.27, 22.46, 21.93, 21.74, 21.70", \ + " 26.57, 25.48, 24.66, 24.27, 24.13", \ + " 32.10, 30.67, 29.46, 28.77, 28.46" ); }} +internal_power(d_z_p) { /* or4v0x3 30.27 nW/MHz */ +related_pin : "d" ; +power(pwr_x3_390_5x10) { +values( " 15.41, 15.32, 15.48, 15.61, 15.63", \ + " 15.15, 15.05, 15.21, 15.35, 15.38", \ + " 15.09, 14.98, 15.13, 15.29, 15.33", \ + " 15.16, 15.01, 15.14, 15.30, 15.36", \ + " 15.60, 15.35, 15.37, 15.52, 15.59", \ + " 16.44, 16.04, 15.94, 16.03, 16.10", \ + " 17.88, 17.31, 17.05, 17.05, 17.10", \ + " 20.26, 19.47, 19.00, 18.86, 18.86", \ + " 24.18, 23.09, 22.33, 21.99, 21.89", \ + " 30.46, 28.97, 27.80, 27.17, 26.92" ); }} +timing() { /* ring osc delay or4v0x3, path a to z 155.8 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 153.4 ; */ +/* intrinsic_fall : 173.4 ; */ +/* rise_resistance : 1.55 ; */ +/* fall_resistance : 1.27 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 131.5, 151.2, 193.0, 277.2, 421.8, 725.3", \ + " 137.3, 157.0, 198.8, 283.0, 427.6, 731.1", \ + " 142.3, 162.0, 203.8, 288.0, 432.6, 736.1", \ + " 149.4, 169.0, 210.9, 295.0, 439.6, 743.1", \ + " 162.5, 182.1, 223.9, 308.1, 452.7, 756.2", \ + " 182.7, 202.1, 243.7, 327.7, 472.2, 775.8", \ + " 212.6, 231.9, 272.8, 356.3, 500.6, 804.1", \ + " 247.4, 267.3, 308.7, 391.7, 535.7, 838.9", \ + " 288.4, 309.1, 351.3, 434.3, 578.0, 881.0", \ + " 337.8, 359.6, 403.2, 486.4, 629.8, 932.7" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.6, 104.3, 196.2, 408.3, 793.0, 1617.8", \ + " 68.6, 104.3, 196.2, 408.3, 793.0, 1617.8", \ + " 68.5, 104.2, 196.2, 408.3, 793.0, 1617.8", \ + " 68.5, 104.2, 196.1, 408.2, 793.0, 1617.8", \ + " 68.2, 103.9, 195.9, 408.1, 793.0, 1617.8", \ + " 67.1, 103.1, 195.4, 407.8, 792.8, 1617.7", \ + " 71.1, 105.6, 196.3, 407.7, 792.5, 1617.6", \ + " 78.8, 112.8, 201.2, 410.0, 793.2, 1617.7", \ + " 88.6, 122.3, 208.7, 414.4, 795.2, 1618.5", \ + " 100.9, 134.7, 219.2, 421.4, 799.0, 1620.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.8, 165.2, 205.5, 276.8, 389.8, 622.5", \ + " 151.9, 172.3, 212.6, 283.9, 396.9, 629.6", \ + " 156.5, 176.9, 217.2, 288.5, 401.6, 634.2", \ + " 162.0, 182.4, 222.7, 294.0, 407.0, 639.7", \ + " 170.3, 190.7, 231.0, 302.3, 415.4, 648.0", \ + " 179.8, 200.3, 240.7, 312.1, 425.2, 657.9", \ + " 189.1, 210.1, 251.6, 323.6, 436.9, 669.5", \ + " 197.9, 219.7, 262.4, 336.2, 450.3, 683.1", \ + " 206.9, 229.7, 274.4, 350.3, 465.9, 699.4", \ + " 214.6, 239.2, 287.2, 367.2, 485.2, 719.8" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 85.2, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.3, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.5, 85.5, 154.3, 297.8, 553.8, 1109.6", \ + " 56.9, 86.7, 155.1, 298.3, 554.1, 1109.7", \ + " 60.1, 90.5, 158.7, 300.9, 555.5, 1110.1", \ + " 64.3, 95.0, 164.3, 306.3, 559.5, 1112.1", \ + " 71.6, 102.9, 172.9, 314.6, 566.1, 1116.0", \ + " 84.6, 116.9, 188.4, 329.5, 577.9, 1123.0" ); }} +timing() { /* ring osc delay or4v0x3, path b to z 144.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 141.2 ; */ +/* intrinsic_fall : 163.4 ; */ +/* rise_resistance : 1.52 ; */ +/* fall_resistance : 1.27 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 120.1, 138.8, 179.0, 261.3, 404.6, 707.0", \ + " 126.4, 145.2, 185.3, 267.7, 410.9, 713.3", \ + " 131.4, 150.2, 190.4, 272.7, 415.9, 718.3", \ + " 138.5, 157.2, 197.3, 279.7, 422.9, 725.3", \ + " 151.4, 170.1, 210.2, 292.5, 435.8, 738.2", \ + " 171.0, 189.5, 229.4, 311.5, 454.7, 757.2", \ + " 197.6, 216.3, 256.1, 337.9, 480.9, 783.3", \ + " 227.8, 247.2, 287.6, 369.2, 512.0, 814.3", \ + " 263.1, 283.5, 324.8, 406.4, 549.0, 851.1", \ + " 305.5, 327.0, 369.8, 451.8, 594.1, 896.1" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.1, 97.7, 188.6, 400.7, 786.4, 1612.6", \ + " 63.0, 97.7, 188.5, 400.7, 786.4, 1612.6", \ + " 63.0, 97.7, 188.6, 400.7, 786.4, 1612.6", \ + " 63.1, 97.6, 188.6, 400.7, 786.4, 1612.6", \ + " 63.0, 97.6, 188.6, 400.7, 786.4, 1612.6", \ + " 63.2, 97.8, 188.7, 400.8, 786.4, 1612.6", \ + " 68.6, 102.0, 191.2, 401.7, 786.6, 1612.7", \ + " 76.3, 109.5, 196.6, 404.5, 787.8, 1613.1", \ + " 85.9, 119.0, 204.2, 409.1, 790.0, 1614.1", \ + " 98.3, 131.6, 215.0, 416.3, 793.9, 1615.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 135.8, 156.2, 196.5, 267.8, 380.8, 613.5", \ + " 142.0, 162.4, 202.7, 274.0, 387.0, 619.7", \ + " 146.5, 166.9, 207.2, 278.5, 391.5, 624.2", \ + " 152.0, 172.4, 212.7, 284.0, 397.0, 629.7", \ + " 160.5, 180.9, 221.2, 292.6, 405.6, 638.3", \ + " 170.1, 190.8, 231.4, 302.9, 416.0, 648.7", \ + " 179.8, 201.2, 243.3, 316.0, 429.3, 662.1", \ + " 191.1, 213.2, 256.7, 331.3, 446.0, 678.8", \ + " 205.0, 228.4, 273.9, 350.5, 466.6, 700.6", \ + " 222.3, 247.6, 296.4, 376.6, 494.5, 729.7" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.4, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.4, 154.1, 297.7, 553.8, 1109.6", \ + " 55.3, 85.4, 154.2, 297.8, 553.8, 1109.6", \ + " 55.9, 85.9, 154.5, 297.9, 553.9, 1109.6", \ + " 58.8, 88.4, 156.3, 299.0, 554.4, 1109.8", \ + " 62.7, 93.1, 161.5, 303.0, 556.8, 1110.6", \ + " 68.1, 98.9, 168.0, 309.6, 561.9, 1113.4", \ + " 77.7, 108.7, 177.9, 318.4, 569.0, 1117.9", \ + " 92.8, 124.6, 194.7, 333.3, 580.3, 1124.8" ); }} +timing() { /* ring osc delay or4v0x3, path c to z 125.5 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 123.3 ; */ +/* intrinsic_fall : 142.7 ; */ +/* rise_resistance : 1.50 ; */ +/* fall_resistance : 1.27 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.9, 120.6, 159.4, 240.4, 382.8, 684.6", \ + " 109.7, 127.5, 166.2, 247.2, 389.6, 691.5", \ + " 114.9, 132.6, 171.4, 252.4, 394.8, 696.7", \ + " 122.1, 139.8, 178.5, 259.5, 402.0, 703.8", \ + " 135.2, 152.9, 191.5, 272.4, 414.9, 716.8", \ + " 153.6, 171.2, 209.7, 290.5, 432.9, 734.7", \ + " 175.4, 193.7, 232.6, 313.2, 455.5, 757.3", \ + " 200.0, 219.1, 258.6, 339.3, 481.4, 783.1", \ + " 228.7, 248.7, 289.4, 370.1, 512.1, 813.7", \ + " 262.6, 283.9, 326.3, 407.6, 549.3, 850.9" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.2, 90.9, 181.7, 394.9, 782.0, 1609.5", \ + " 57.1, 90.9, 181.7, 394.9, 781.9, 1609.5", \ + " 57.1, 90.9, 181.7, 394.9, 782.0, 1609.5", \ + " 57.2, 90.9, 181.7, 395.0, 782.0, 1609.5", \ + " 57.3, 91.1, 181.9, 395.0, 782.0, 1609.5", \ + " 60.0, 93.2, 183.1, 395.5, 782.1, 1609.5", \ + " 66.3, 99.0, 187.1, 397.3, 782.8, 1609.7", \ + " 73.9, 106.5, 192.8, 400.6, 784.3, 1610.3", \ + " 83.7, 116.3, 200.7, 405.5, 786.6, 1611.4", \ + " 96.5, 129.7, 212.5, 413.4, 791.1, 1613.3" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 116.6, 137.0, 177.3, 248.6, 361.7, 594.3", \ + " 122.0, 142.3, 182.6, 254.0, 367.0, 599.6", \ + " 126.1, 146.5, 186.7, 258.1, 371.1, 603.8", \ + " 131.4, 151.7, 192.0, 263.3, 376.4, 609.0", \ + " 140.1, 160.4, 200.7, 272.1, 385.2, 617.9", \ + " 150.1, 171.1, 212.1, 283.8, 397.0, 629.6", \ + " 162.3, 183.7, 226.1, 299.4, 412.9, 645.7", \ + " 178.2, 200.3, 243.5, 318.2, 433.4, 666.4", \ + " 199.4, 222.7, 267.5, 343.3, 459.5, 694.1", \ + " 227.0, 252.1, 299.9, 378.3, 495.4, 731.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.2, 85.3, 154.1, 297.7, 553.8, 1109.6", \ + " 55.3, 85.4, 154.2, 297.8, 553.8, 1109.6", \ + " 56.4, 86.4, 154.8, 298.1, 554.0, 1109.6", \ + " 60.6, 90.4, 158.0, 299.9, 554.9, 1109.9", \ + " 64.7, 94.9, 163.6, 304.9, 558.2, 1111.1", \ + " 71.5, 101.3, 169.4, 311.1, 563.3, 1114.6", \ + " 82.1, 111.9, 178.8, 318.3, 569.6, 1119.0", \ + " 97.0, 127.3, 194.3, 330.6, 578.5, 1125.0" ); }} +timing() { /* ring osc delay or4v0x3, path d to z 95.0 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.0 ; */ +/* intrinsic_fall : 109.2 ; */ +/* rise_resistance : 1.49 ; */ +/* fall_resistance : 1.27 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.1, 95.2, 133.1, 213.5, 355.5, 657.0", \ + " 84.7, 101.8, 139.7, 220.1, 362.1, 663.6", \ + " 90.2, 107.2, 145.1, 225.5, 367.5, 669.0", \ + " 97.7, 114.7, 152.5, 233.0, 375.0, 676.5", \ + " 110.6, 127.5, 165.1, 245.5, 387.5, 689.1", \ + " 124.6, 142.2, 180.2, 260.4, 402.4, 703.9", \ + " 140.4, 158.7, 197.4, 277.8, 419.6, 721.0", \ + " 158.1, 177.4, 217.1, 297.6, 439.4, 740.6", \ + " 178.7, 199.2, 240.5, 321.4, 463.3, 764.4", \ + " 202.1, 224.1, 268.1, 350.7, 492.8, 794.2" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.4, 85.1, 176.8, 391.6, 779.6, 1607.8", \ + " 51.4, 85.1, 176.8, 391.6, 779.6, 1607.8", \ + " 51.4, 85.2, 176.9, 391.6, 779.6, 1607.8", \ + " 51.5, 85.3, 177.0, 391.6, 779.6, 1607.8", \ + " 53.8, 87.0, 177.8, 391.8, 779.7, 1607.8", \ + " 58.9, 91.7, 180.8, 393.0, 779.9, 1607.8", \ + " 65.1, 97.9, 185.8, 395.6, 781.0, 1607.9", \ + " 73.1, 106.0, 192.2, 399.5, 782.8, 1608.8", \ + " 83.9, 117.4, 202.1, 406.2, 786.1, 1610.1", \ + " 98.7, 133.2, 217.5, 417.8, 793.6, 1613.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.0, 103.4, 143.6, 214.8, 327.8, 560.5", \ + " 87.4, 107.8, 147.9, 219.1, 332.1, 564.7", \ + " 91.8, 112.0, 152.1, 223.3, 336.3, 569.0", \ + " 98.3, 118.3, 158.3, 229.5, 342.5, 575.1", \ + " 109.5, 129.3, 169.1, 240.3, 353.3, 586.0", \ + " 122.0, 142.0, 182.6, 254.2, 367.3, 600.0", \ + " 138.2, 158.0, 198.3, 271.5, 385.2, 617.9", \ + " 158.0, 178.5, 218.6, 291.5, 407.3, 640.3", \ + " 182.5, 204.2, 245.4, 318.0, 433.8, 669.4", \ + " 212.5, 236.0, 279.7, 353.7, 469.5, 706.1" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.9, 84.2, 153.3, 297.3, 553.6, 1109.5", \ + " 53.4, 83.8, 153.1, 297.1, 553.5, 1109.5", \ + " 53.0, 83.5, 152.9, 297.0, 553.4, 1109.4", \ + " 52.6, 83.2, 152.6, 296.8, 553.4, 1109.4", \ + " 54.9, 84.7, 153.3, 297.1, 553.5, 1109.5", \ + " 58.2, 88.1, 156.5, 299.5, 554.6, 1109.8", \ + " 63.2, 91.3, 159.9, 303.2, 558.3, 1111.4", \ + " 71.0, 97.7, 163.3, 307.0, 562.1, 1115.5", \ + " 82.5, 108.6, 171.2, 311.1, 566.6, 1119.4", \ + " 98.3, 124.8, 185.7, 320.8, 572.7, 1124.7" ); }} +} +} +cell(or4v3x2) { /* 2008-01-06:07h56 */ +area : 8 ; /* tracks */ +cell_leakage_power : 901 ; /* or4v3x2 */ +cell_footprint : or4 ; +pin(a) { /* or4v3x2 FO4 effort 2.68 */ +direction : input ; +capacitance : 4.11 ; +rise_capacitance : 4.02 ; +fall_capacitance : 4.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v3x2 */ +} +pin(b) { /* or4v3x2 FO4 effort 2.59 */ +direction : input ; +capacitance : 4.10 ; +rise_capacitance : 4.21 ; +fall_capacitance : 3.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v3x2 */ +} +pin(c) { /* or4v3x2 FO4 effort 2.38 */ +direction : input ; +capacitance : 4.04 ; +rise_capacitance : 4.31 ; +fall_capacitance : 3.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v3x2 */ +} +pin(d) { /* or4v3x2 FO4 effort 2.08 */ +direction : input ; +capacitance : 4.18 ; +rise_capacitance : 4.67 ; +fall_capacitance : 3.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v3x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 252 ; +max_fanout : 6 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v3x2 34.56 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 17.93, 17.65, 17.60, 17.66, 17.69", \ + " 17.73, 17.46, 17.41, 17.47, 17.50", \ + " 17.66, 17.39, 17.34, 17.40, 17.43", \ + " 17.62, 17.34, 17.28, 17.34, 17.38", \ + " 17.67, 17.37, 17.27, 17.32, 17.35", \ + " 17.91, 17.55, 17.39, 17.40, 17.43", \ + " 18.41, 17.97, 17.72, 17.66, 17.67", \ + " 19.40, 18.85, 18.47, 18.33, 18.29", \ + " 21.35, 20.66, 20.11, 19.84, 19.74", \ + " 25.08, 24.19, 23.39, 22.92, 22.71" ); }} +internal_power(b_z_p) { /* or4v3x2 30.87 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 15.98, 15.72, 15.70, 15.77, 15.80", \ + " 15.84, 15.59, 15.56, 15.63, 15.66", \ + " 15.78, 15.52, 15.49, 15.56, 15.60", \ + " 15.74, 15.48, 15.43, 15.50, 15.54", \ + " 15.80, 15.50, 15.42, 15.47, 15.51", \ + " 16.05, 15.69, 15.54, 15.56, 15.59", \ + " 16.63, 16.17, 15.91, 15.86, 15.87", \ + " 17.73, 17.16, 16.77, 16.63, 16.60", \ + " 19.84, 19.12, 18.54, 18.26, 18.16", \ + " 23.59, 22.67, 21.84, 21.36, 21.15" ); }} +internal_power(c_z_p) { /* or4v3x2 26.91 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 13.86, 13.64, 13.64, 13.72, 13.74", \ + " 13.77, 13.55, 13.55, 13.63, 13.65", \ + " 13.73, 13.51, 13.50, 13.58, 13.61", \ + " 13.72, 13.48, 13.46, 13.54, 13.58", \ + " 13.83, 13.54, 13.47, 13.54, 13.58", \ + " 14.17, 13.81, 13.66, 13.69, 13.73", \ + " 14.90, 14.43, 14.17, 14.13, 14.15", \ + " 16.20, 15.62, 15.21, 15.08, 15.06", \ + " 18.47, 17.73, 17.15, 16.87, 16.78", \ + " 22.21, 21.27, 20.44, 19.97, 19.77" ); }} +internal_power(d_z_p) { /* or4v3x2 22.69 nW/MHz */ +related_pin : "d" ; +power(pwr_x2_260_5x10) { +values( " 11.62, 11.47, 11.51, 11.58, 11.59", \ + " 11.48, 11.32, 11.36, 11.43, 11.45", \ + " 11.47, 11.29, 11.32, 11.41, 11.43", \ + " 11.54, 11.33, 11.35, 11.44, 11.47", \ + " 11.80, 11.55, 11.51, 11.59, 11.64", \ + " 12.36, 12.03, 11.91, 11.96, 12.01", \ + " 13.36, 12.92, 12.68, 12.66, 12.69", \ + " 14.95, 14.38, 14.01, 13.90, 13.89", \ + " 17.55, 16.81, 16.25, 16.00, 15.93", \ + " 21.71, 20.73, 19.91, 19.46, 19.28" ); }} +timing() { /* ring osc delay or4v3x2, path a to z 143.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 104.1 ; */ +/* intrinsic_fall : 202.7 ; */ +/* rise_resistance : 2.18 ; */ +/* fall_resistance : 1.90 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.5, 99.4, 137.3, 216.2, 353.0, 641.2", \ + " 89.5, 106.3, 144.2, 223.0, 359.8, 648.1", \ + " 95.1, 111.9, 149.7, 228.5, 365.4, 653.6", \ + " 103.0, 119.7, 157.4, 236.2, 373.0, 661.3", \ + " 117.1, 133.5, 170.8, 249.4, 386.2, 674.5", \ + " 134.4, 150.9, 187.8, 266.0, 402.7, 691.0", \ + " 153.2, 170.2, 207.4, 285.2, 421.7, 709.9", \ + " 172.7, 190.4, 228.1, 305.8, 442.0, 730.0", \ + " 192.7, 211.4, 250.0, 327.7, 463.7, 751.6", \ + " 212.4, 232.3, 272.5, 350.6, 486.5, 774.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.6, 91.7, 180.3, 384.7, 753.2, 1540.1", \ + " 58.3, 91.4, 180.1, 384.6, 753.1, 1540.1", \ + " 58.1, 91.2, 179.9, 384.5, 753.1, 1540.1", \ + " 57.6, 90.8, 179.7, 384.3, 753.0, 1540.0", \ + " 57.7, 90.6, 179.3, 384.0, 752.7, 1539.9", \ + " 61.6, 93.4, 180.4, 384.0, 752.6, 1539.8", \ + " 67.7, 98.8, 183.9, 385.4, 752.9, 1539.8", \ + " 75.3, 106.1, 189.3, 388.2, 754.0, 1540.2", \ + " 85.3, 116.0, 197.1, 393.0, 756.3, 1541.2", \ + " 98.5, 129.4, 208.8, 401.0, 760.9, 1543.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 173.0, 193.8, 235.4, 307.5, 418.1, 640.9", \ + " 179.8, 200.6, 242.2, 314.3, 424.9, 647.7", \ + " 184.3, 205.1, 246.7, 318.8, 429.4, 652.2", \ + " 189.8, 210.5, 252.1, 324.2, 434.8, 657.6", \ + " 198.1, 218.8, 260.4, 332.5, 443.1, 665.9", \ + " 208.1, 228.8, 270.4, 342.5, 453.1, 675.9", \ + " 219.6, 240.7, 282.7, 355.1, 465.8, 688.6", \ + " 232.7, 254.3, 297.5, 371.3, 482.6, 705.6", \ + " 251.8, 274.2, 318.8, 394.5, 507.3, 730.8", \ + " 281.7, 305.3, 352.4, 431.1, 546.0, 770.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.5, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.7, 99.0, 166.1, 303.3, 544.8, 1069.8", \ + " 70.3, 99.5, 166.5, 303.5, 545.0, 1069.8", \ + " 73.6, 102.7, 169.1, 305.1, 545.8, 1070.1", \ + " 77.8, 107.3, 174.4, 310.1, 549.3, 1071.7", \ + " 84.6, 114.4, 182.0, 317.8, 555.6, 1075.6", \ + " 96.7, 127.0, 195.5, 330.7, 566.3, 1082.2" ); }} +timing() { /* ring osc delay or4v3x2, path b to z 138.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 100.8 ; */ +/* intrinsic_fall : 194.1 ; */ +/* rise_resistance : 2.15 ; */ +/* fall_resistance : 1.90 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.5, 96.7, 133.3, 210.9, 346.9, 634.6", \ + " 87.5, 103.7, 140.4, 218.0, 353.9, 641.6", \ + " 93.0, 109.2, 145.8, 223.4, 359.4, 647.0", \ + " 100.6, 116.7, 153.2, 230.8, 366.8, 654.5", \ + " 113.8, 129.7, 166.0, 243.5, 379.5, 667.2", \ + " 129.3, 145.4, 181.6, 258.8, 394.7, 682.4", \ + " 145.7, 162.4, 198.9, 275.9, 411.7, 699.3", \ + " 162.1, 179.6, 216.7, 293.6, 429.2, 716.7", \ + " 178.1, 196.6, 234.6, 311.5, 447.0, 734.4", \ + " 191.9, 211.6, 251.4, 328.8, 464.1, 751.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.2, 87.5, 175.4, 380.0, 749.1, 1537.1", \ + " 55.2, 87.4, 175.4, 380.0, 749.1, 1537.1", \ + " 55.0, 87.4, 175.4, 380.0, 749.1, 1537.1", \ + " 54.9, 87.3, 175.3, 379.9, 749.1, 1537.1", \ + " 55.7, 87.7, 175.5, 379.9, 749.1, 1537.1", \ + " 59.9, 91.0, 177.2, 380.4, 749.1, 1537.1", \ + " 65.9, 96.5, 180.9, 382.1, 749.7, 1537.2", \ + " 73.5, 103.8, 186.3, 385.0, 751.0, 1537.8", \ + " 83.5, 113.8, 194.3, 389.8, 753.3, 1538.8", \ + " 96.7, 127.4, 206.2, 398.0, 758.0, 1541.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 166.1, 186.9, 228.5, 300.6, 411.2, 634.0", \ + " 171.6, 192.4, 234.0, 306.1, 416.7, 639.5", \ + " 175.9, 196.7, 238.3, 310.4, 420.9, 643.8", \ + " 181.2, 202.0, 243.6, 315.7, 426.2, 649.1", \ + " 189.7, 210.4, 252.0, 324.1, 434.7, 657.5", \ + " 200.5, 221.2, 262.8, 335.0, 445.6, 668.4", \ + " 213.5, 234.9, 277.3, 350.0, 460.7, 683.6", \ + " 230.8, 252.7, 296.5, 371.1, 482.7, 705.7", \ + " 258.3, 281.0, 326.1, 402.2, 515.7, 739.5", \ + " 301.4, 325.3, 372.5, 451.2, 566.1, 791.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.1, 303.2, 544.8, 1069.7", \ + " 69.8, 99.1, 166.2, 303.3, 544.9, 1069.8", \ + " 71.2, 100.3, 167.1, 303.8, 545.1, 1069.9", \ + " 76.2, 105.2, 171.3, 306.7, 546.7, 1070.5", \ + " 81.1, 110.6, 177.9, 313.0, 551.4, 1072.8", \ + " 88.9, 118.5, 185.8, 321.2, 558.3, 1077.4", \ + " 101.1, 131.0, 198.6, 332.6, 567.9, 1083.8" ); }} +timing() { /* ring osc delay or4v3x2, path c to z 124.2 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 93.3 ; */ +/* intrinsic_fall : 173.5 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.90 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 89.2, 124.9, 201.7, 337.2, 624.5", \ + " 81.1, 96.6, 132.3, 209.1, 344.6, 631.9", \ + " 86.6, 102.1, 137.8, 214.6, 350.1, 637.5", \ + " 94.1, 109.5, 145.1, 222.0, 357.5, 644.9", \ + " 106.4, 121.7, 157.2, 233.9, 369.5, 656.8", \ + " 119.4, 135.2, 170.8, 247.3, 382.8, 670.2", \ + " 132.7, 149.1, 185.1, 261.6, 396.9, 684.2", \ + " 145.3, 162.6, 199.2, 275.6, 410.9, 698.0", \ + " 156.3, 174.7, 212.4, 288.9, 423.9, 711.1", \ + " 163.2, 182.9, 222.6, 299.7, 434.8, 721.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 51.5, 83.3, 171.3, 376.7, 746.7, 1535.4", \ + " 51.5, 83.3, 171.4, 376.7, 746.7, 1535.4", \ + " 51.5, 83.3, 171.4, 376.7, 746.7, 1535.4", \ + " 51.6, 83.5, 171.5, 376.7, 746.7, 1535.4", \ + " 53.7, 85.0, 172.2, 376.9, 746.7, 1535.4", \ + " 58.2, 88.9, 174.6, 377.9, 747.0, 1535.4", \ + " 64.3, 94.5, 178.6, 379.8, 747.7, 1535.7", \ + " 72.1, 102.0, 184.1, 382.8, 749.0, 1536.3", \ + " 82.4, 112.4, 192.5, 387.9, 751.5, 1537.3", \ + " 95.9, 126.6, 205.2, 396.7, 756.6, 1539.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.0, 167.8, 209.4, 281.5, 392.0, 614.9", \ + " 151.6, 172.4, 214.0, 286.1, 396.7, 619.5", \ + " 155.5, 176.3, 217.9, 290.0, 400.5, 623.4", \ + " 160.6, 181.4, 223.0, 295.1, 405.7, 628.5", \ + " 169.7, 190.5, 232.0, 304.1, 414.7, 637.6", \ + " 182.8, 203.5, 245.1, 317.3, 428.0, 650.8", \ + " 200.2, 221.7, 264.4, 337.3, 448.2, 671.0", \ + " 225.4, 247.3, 290.9, 365.7, 477.5, 700.5", \ + " 262.9, 285.5, 330.1, 405.8, 519.4, 743.4", \ + " 316.8, 340.5, 387.0, 464.3, 578.5, 804.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.5, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.5, 98.9, 166.0, 303.2, 544.8, 1069.7", \ + " 69.6, 98.9, 166.1, 303.2, 544.8, 1069.7", \ + " 69.9, 99.2, 166.3, 303.4, 544.9, 1069.8", \ + " 72.6, 101.4, 167.8, 304.3, 545.4, 1070.0", \ + " 77.8, 107.0, 173.0, 308.0, 547.4, 1070.7", \ + " 83.2, 112.3, 179.3, 314.3, 552.4, 1073.4", \ + " 91.4, 120.2, 186.3, 321.3, 558.6, 1078.0", \ + " 103.5, 132.4, 197.9, 330.5, 566.5, 1083.6" ); }} +timing() { /* ring osc delay or4v3x2, path d to z 101.5 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.7 ; */ +/* intrinsic_fall : 141.2 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.90 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.8, 78.0, 113.3, 189.7, 324.9, 612.1", \ + " 70.2, 85.3, 120.5, 197.0, 332.3, 619.4", \ + " 75.8, 90.9, 126.1, 202.6, 337.9, 625.0", \ + " 83.1, 98.1, 133.2, 209.7, 345.0, 632.2", \ + " 93.3, 108.6, 143.6, 220.0, 355.3, 642.5", \ + " 103.0, 118.8, 154.3, 230.6, 365.8, 653.0", \ + " 112.3, 128.9, 164.8, 241.3, 376.4, 663.4", \ + " 120.2, 137.8, 174.6, 250.9, 386.2, 673.1", \ + " 125.1, 143.9, 182.4, 259.2, 394.3, 681.2", \ + " 123.9, 144.3, 185.3, 263.5, 399.2, 686.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.3, 80.1, 168.9, 375.1, 745.5, 1534.5", \ + " 48.3, 80.2, 168.9, 375.1, 745.5, 1534.5", \ + " 48.4, 80.3, 169.0, 375.1, 745.5, 1534.5", \ + " 49.3, 80.9, 169.2, 375.1, 745.5, 1534.5", \ + " 53.0, 83.9, 170.8, 375.5, 745.6, 1534.5", \ + " 57.8, 88.4, 173.8, 376.9, 745.9, 1534.6", \ + " 64.0, 94.3, 178.2, 379.1, 746.9, 1534.9", \ + " 72.4, 102.6, 184.5, 382.8, 748.5, 1535.6", \ + " 83.7, 114.4, 194.7, 389.3, 751.9, 1536.9", \ + " 98.7, 130.5, 210.0, 401.1, 759.5, 1540.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 114.6, 135.5, 177.1, 249.2, 359.7, 582.5", \ + " 117.9, 138.7, 180.3, 252.3, 362.9, 585.7", \ + " 121.9, 142.7, 184.3, 256.3, 366.9, 589.7", \ + " 128.4, 149.1, 190.6, 262.7, 373.2, 596.1", \ + " 141.1, 161.7, 203.0, 275.0, 385.6, 608.4", \ + " 159.4, 179.8, 221.0, 293.0, 403.6, 626.5", \ + " 182.8, 203.4, 245.4, 318.0, 428.8, 651.7", \ + " 213.6, 234.3, 276.1, 350.1, 461.9, 685.0", \ + " 255.2, 276.6, 318.8, 392.4, 505.8, 730.1", \ + " 312.1, 334.7, 378.5, 452.7, 565.8, 792.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.2, 98.5, 165.7, 303.0, 544.7, 1069.7", \ + " 69.0, 98.3, 165.6, 303.0, 544.7, 1069.7", \ + " 68.8, 98.2, 165.5, 302.9, 544.7, 1069.7", \ + " 68.4, 98.0, 165.4, 302.8, 544.6, 1069.7", \ + " 67.9, 97.7, 165.3, 302.8, 544.5, 1069.6", \ + " 71.1, 100.0, 166.6, 303.4, 544.9, 1069.8", \ + " 75.8, 104.6, 171.0, 307.0, 547.0, 1070.6", \ + " 82.2, 109.5, 175.4, 311.7, 551.5, 1073.6", \ + " 91.7, 118.0, 181.2, 316.6, 556.4, 1078.1", \ + " 105.3, 131.4, 192.6, 323.7, 562.4, 1083.1" ); }} +} +} +cell(or4v4x05) { /* 2008-01-06:07h56 */ +area : 8 ; /* tracks */ +cell_leakage_power : 624 ; /* or4v4x05 */ +cell_footprint : or4 ; +pin(a) { /* or4v4x05 FO4 effort 2.88 */ +direction : input ; +capacitance : 2.24 ; +rise_capacitance : 2.23 ; +fall_capacitance : 2.24 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of or4v4x05 */ +} +pin(b) { /* or4v4x05 FO4 effort 2.82 */ +direction : input ; +capacitance : 2.27 ; +rise_capacitance : 2.37 ; +fall_capacitance : 2.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of or4v4x05 */ +} +pin(c) { /* or4v4x05 FO4 effort 2.62 */ +direction : input ; +capacitance : 2.23 ; +rise_capacitance : 2.39 ; +fall_capacitance : 2.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of or4v4x05 */ +} +pin(d) { /* or4v4x05 FO4 effort 2.37 */ +direction : input ; +capacitance : 2.33 ; +rise_capacitance : 2.62 ; +fall_capacitance : 2.02 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin d of or4v4x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 108 ; +max_fanout : 2 ; +function : "(a+b+c+d)" ; +internal_power(a_z_p) { /* or4v4x05 17.17 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 8.88, 8.78, 8.76, 8.79, 8.80", \ + " 8.77, 8.67, 8.65, 8.68, 8.69", \ + " 8.74, 8.63, 8.61, 8.64, 8.65", \ + " 8.73, 8.61, 8.58, 8.61, 8.63", \ + " 8.76, 8.63, 8.58, 8.60, 8.62", \ + " 8.86, 8.70, 8.63, 8.65, 8.67", \ + " 9.09, 8.91, 8.80, 8.79, 8.80", \ + " 9.60, 9.37, 9.21, 9.16, 9.16", \ + " 10.63, 10.35, 10.12, 10.01, 9.98", \ + " 12.59, 12.23, 11.91, 11.72, 11.65" ); }} +internal_power(b_z_p) { /* or4v4x05 15.57 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 8.05, 7.95, 7.94, 7.97, 7.98", \ + " 7.97, 7.86, 7.85, 7.88, 7.89", \ + " 7.94, 7.83, 7.81, 7.84, 7.86", \ + " 7.93, 7.81, 7.79, 7.82, 7.83", \ + " 7.96, 7.82, 7.78, 7.81, 7.83", \ + " 8.06, 7.91, 7.84, 7.85, 7.87", \ + " 8.33, 8.15, 8.04, 8.02, 8.04", \ + " 8.90, 8.67, 8.50, 8.45, 8.45", \ + " 9.97, 9.68, 9.45, 9.34, 9.32", \ + " 11.86, 11.50, 11.17, 10.99, 10.92" ); }} +internal_power(c_z_p) { /* or4v4x05 13.85 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_113_5x10) { +values( " 7.12, 7.03, 7.02, 7.05, 7.05", \ + " 7.07, 6.98, 6.96, 6.99, 7.00", \ + " 7.05, 6.95, 6.94, 6.97, 6.98", \ + " 7.06, 6.95, 6.92, 6.95, 6.97", \ + " 7.10, 6.97, 6.94, 6.96, 6.98", \ + " 7.25, 7.10, 7.04, 7.05, 7.07", \ + " 7.61, 7.42, 7.31, 7.30, 7.31", \ + " 8.27, 8.03, 7.86, 7.82, 7.81", \ + " 9.38, 9.09, 8.85, 8.75, 8.73", \ + " 11.20, 10.84, 10.51, 10.33, 10.27" ); }} +internal_power(d_z_p) { /* or4v4x05 12.10 nW/MHz */ +related_pin : "d" ; +power(pwr_x05_113_5x10) { +values( " 6.20, 6.13, 6.12, 6.13, 6.14", \ + " 6.13, 6.04, 6.04, 6.06, 6.06", \ + " 6.13, 6.03, 6.03, 6.05, 6.06", \ + " 6.17, 6.06, 6.05, 6.08, 6.09", \ + " 6.29, 6.17, 6.14, 6.17, 6.19", \ + " 6.54, 6.40, 6.35, 6.37, 6.39", \ + " 7.03, 6.85, 6.75, 6.75, 6.76", \ + " 7.81, 7.58, 7.43, 7.39, 7.39", \ + " 9.06, 8.77, 8.55, 8.46, 8.44", \ + " 11.06, 10.69, 10.37, 10.20, 10.14" ); }} +timing() { /* ring osc delay or4v4x05, path a to z 153.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.5 ; */ +/* intrinsic_fall : 233.4 ; */ +/* rise_resistance : 5.03 ; */ +/* fall_resistance : 4.58 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 78.1, 115.4, 195.1, 334.2, 628.0", \ + " 69.8, 85.5, 122.8, 202.5, 341.6, 635.4", \ + " 75.8, 91.4, 128.6, 208.2, 347.3, 641.2", \ + " 83.6, 99.0, 136.0, 215.5, 354.6, 648.5", \ + " 95.0, 110.3, 146.9, 226.3, 365.4, 659.3", \ + " 106.1, 121.8, 158.4, 237.5, 376.5, 670.4", \ + " 116.7, 133.0, 169.7, 248.7, 387.5, 681.3", \ + " 125.1, 142.1, 179.3, 258.0, 396.8, 690.5", \ + " 129.1, 147.3, 185.4, 264.1, 402.8, 696.5", \ + " 125.4, 144.9, 184.7, 263.8, 402.7, 696.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.1, 87.9, 179.9, 390.7, 768.1, 1572.3", \ + " 53.7, 87.5, 179.6, 390.5, 768.0, 1572.2", \ + " 53.4, 87.2, 179.4, 390.3, 767.9, 1572.2", \ + " 53.6, 87.3, 179.2, 390.1, 767.8, 1572.2", \ + " 56.4, 89.0, 179.7, 390.0, 767.6, 1572.1", \ + " 60.7, 92.6, 181.6, 390.5, 767.7, 1572.0", \ + " 66.6, 97.7, 185.0, 391.9, 768.2, 1572.2", \ + " 74.3, 104.8, 190.1, 394.6, 769.3, 1572.7", \ + " 84.5, 114.8, 198.0, 399.3, 771.6, 1573.9", \ + " 98.1, 128.8, 210.0, 407.6, 776.5, 1576.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 203.7, 225.7, 270.3, 346.9, 462.2, 690.9", \ + " 209.5, 231.6, 276.1, 352.7, 468.0, 696.7", \ + " 213.8, 235.8, 280.4, 357.0, 472.3, 701.0", \ + " 219.1, 241.1, 285.7, 362.3, 477.5, 706.2", \ + " 227.3, 249.3, 293.8, 370.4, 485.7, 714.4", \ + " 237.4, 259.4, 303.9, 380.5, 495.8, 724.6", \ + " 250.6, 272.6, 317.2, 393.9, 509.3, 738.0", \ + " 267.2, 289.8, 335.5, 413.2, 529.0, 757.8", \ + " 295.1, 318.3, 365.1, 444.6, 561.8, 791.1", \ + " 343.9, 368.0, 416.6, 498.3, 617.3, 847.9" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.8, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.7, 569.0, 1101.7", \ + " 83.1, 113.4, 183.1, 323.8, 569.1, 1101.7", \ + " 85.7, 115.7, 184.7, 324.8, 569.6, 1101.9", \ + " 90.1, 120.4, 189.8, 329.0, 572.4, 1103.1", \ + " 95.8, 126.5, 196.5, 336.1, 578.3, 1106.8", \ + " 105.7, 136.6, 207.1, 346.4, 587.2, 1112.7" ); }} +timing() { /* ring osc delay or4v4x05, path b to z 149.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.4 ; */ +/* intrinsic_fall : 224.8 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 4.58 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 77.7, 114.2, 193.0, 331.5, 624.9", \ + " 69.8, 85.1, 121.5, 200.3, 338.9, 632.3", \ + " 75.6, 90.7, 127.1, 205.9, 344.5, 638.0", \ + " 83.0, 98.0, 134.3, 213.0, 351.6, 645.1", \ + " 93.5, 108.6, 144.6, 223.3, 361.9, 655.4", \ + " 103.5, 118.9, 155.0, 233.5, 372.1, 665.6", \ + " 112.5, 128.4, 164.7, 243.1, 381.6, 675.0", \ + " 118.6, 135.4, 172.2, 250.4, 388.8, 682.2", \ + " 119.6, 137.5, 175.2, 253.4, 391.7, 685.1", \ + " 111.1, 130.4, 169.8, 248.5, 386.9, 680.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 52.2, 85.3, 176.8, 387.5, 765.5, 1570.4", \ + " 52.0, 85.2, 176.7, 387.5, 765.4, 1570.3", \ + " 51.9, 85.1, 176.6, 387.4, 765.4, 1570.3", \ + " 52.2, 85.3, 176.6, 387.4, 765.4, 1570.3", \ + " 55.1, 87.3, 177.4, 387.5, 765.4, 1570.3", \ + " 59.3, 90.8, 179.5, 388.3, 765.6, 1570.4", \ + " 65.0, 95.8, 182.9, 389.7, 766.2, 1570.6", \ + " 72.6, 102.8, 187.8, 392.3, 767.3, 1571.2", \ + " 82.7, 112.7, 195.6, 396.8, 769.5, 1572.3", \ + " 96.0, 126.5, 207.3, 404.9, 774.2, 1574.8" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 197.1, 219.1, 263.7, 340.4, 455.6, 684.3", \ + " 201.5, 223.5, 268.1, 344.7, 460.0, 688.7", \ + " 205.4, 227.4, 272.0, 348.6, 463.9, 692.6", \ + " 210.5, 232.5, 277.1, 353.7, 469.0, 697.7", \ + " 218.8, 240.8, 285.4, 362.0, 477.3, 706.0", \ + " 230.0, 252.0, 296.5, 373.1, 488.4, 717.1", \ + " 245.8, 268.0, 312.7, 389.5, 504.9, 733.7", \ + " 267.9, 290.8, 337.0, 415.2, 531.1, 760.0", \ + " 305.7, 329.2, 376.4, 456.3, 574.1, 803.5", \ + " 367.2, 391.5, 440.2, 522.0, 641.1, 872.3" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.8, 113.2, 182.8, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.8, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.3, 183.0, 323.7, 569.0, 1101.7", \ + " 83.4, 113.8, 183.4, 323.9, 569.1, 1101.7", \ + " 87.8, 117.6, 186.2, 325.6, 570.1, 1102.1", \ + " 92.9, 123.3, 192.7, 331.3, 573.9, 1103.8", \ + " 98.9, 129.5, 199.5, 338.9, 580.5, 1108.2", \ + " 108.2, 139.0, 209.1, 347.9, 588.8, 1114.0" ); }} +timing() { /* ring osc delay or4v4x05, path c to z 136.2 */ +related_pin : "c" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.2 ; */ +/* intrinsic_fall : 203.0 ; */ +/* rise_resistance : 4.96 ; */ +/* fall_resistance : 4.58 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.3, 74.1, 109.9, 188.1, 326.3, 619.6", \ + " 66.9, 81.6, 117.4, 195.6, 333.9, 627.1", \ + " 72.5, 87.2, 122.9, 201.2, 339.4, 632.7", \ + " 79.5, 94.1, 129.7, 208.0, 346.3, 639.5", \ + " 88.6, 103.4, 139.0, 217.2, 355.5, 648.8", \ + " 96.9, 112.1, 147.8, 225.9, 364.2, 657.5", \ + " 103.7, 119.5, 155.3, 233.4, 371.6, 664.9", \ + " 107.0, 123.7, 160.1, 237.9, 376.1, 669.3", \ + " 104.1, 121.9, 159.4, 237.3, 375.3, 668.5", \ + " 90.0, 109.4, 148.7, 227.1, 365.3, 658.6" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.5, 82.3, 174.1, 385.4, 763.9, 1569.3", \ + " 49.5, 82.4, 174.1, 385.4, 763.9, 1569.3", \ + " 49.7, 82.5, 174.1, 385.4, 763.9, 1569.3", \ + " 50.6, 83.1, 174.3, 385.4, 763.9, 1569.3", \ + " 53.8, 85.6, 175.5, 385.8, 764.0, 1569.3", \ + " 57.9, 89.2, 177.7, 386.6, 764.3, 1569.4", \ + " 63.7, 94.2, 181.1, 388.1, 764.9, 1569.7", \ + " 71.4, 101.4, 186.1, 390.7, 765.9, 1570.2", \ + " 81.6, 111.5, 194.1, 395.3, 768.2, 1571.2", \ + " 95.0, 125.5, 206.2, 403.7, 773.0, 1573.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 176.4, 198.5, 243.0, 319.6, 434.9, 663.6", \ + " 180.1, 202.1, 246.7, 323.3, 438.6, 667.3", \ + " 183.7, 205.7, 250.3, 326.9, 442.2, 670.9", \ + " 188.7, 210.7, 255.2, 331.8, 447.1, 675.8", \ + " 197.8, 219.8, 264.3, 340.9, 456.2, 684.9", \ + " 212.0, 233.9, 278.4, 355.0, 470.4, 699.1", \ + " 234.1, 256.4, 301.2, 378.1, 493.5, 722.3", \ + " 266.0, 288.8, 335.0, 413.3, 529.3, 758.2", \ + " 314.6, 337.9, 384.8, 464.5, 582.3, 811.7", \ + " 385.9, 410.0, 458.2, 539.1, 657.8, 889.2" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.8, 113.2, 182.8, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.8, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 82.9, 113.2, 182.9, 323.6, 569.0, 1101.7", \ + " 83.0, 113.3, 183.1, 323.7, 569.0, 1101.7", \ + " 83.9, 114.2, 183.7, 324.1, 569.3, 1101.8", \ + " 89.2, 118.9, 187.3, 326.3, 570.4, 1102.3", \ + " 94.1, 124.4, 193.6, 332.0, 574.4, 1104.0", \ + " 100.4, 130.6, 199.8, 339.0, 580.5, 1108.4", \ + " 110.0, 140.0, 208.7, 346.6, 587.8, 1113.8" ); }} +timing() { /* ring osc delay or4v4x05, path d to z 116.7 */ +related_pin : "d" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.5 ; */ +/* intrinsic_fall : 171.2 ; */ +/* rise_resistance : 4.95 ; */ +/* fall_resistance : 4.58 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.9, 68.5, 103.9, 181.9, 319.9, 613.0", \ + " 61.4, 75.9, 111.4, 189.4, 327.4, 620.5", \ + " 66.8, 81.3, 116.7, 194.8, 332.9, 626.0", \ + " 73.0, 87.4, 122.8, 200.9, 339.0, 632.1", \ + " 80.2, 95.0, 130.5, 208.5, 346.6, 639.8", \ + " 86.2, 101.4, 137.1, 215.1, 353.2, 646.4", \ + " 90.1, 106.0, 141.9, 220.0, 358.0, 651.1", \ + " 89.8, 106.7, 143.3, 221.2, 359.5, 652.4", \ + " 82.1, 100.3, 138.4, 216.6, 354.7, 647.9", \ + " 61.6, 81.5, 121.8, 201.1, 339.9, 633.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 47.4, 80.5, 172.6, 384.5, 763.2, 1568.8", \ + " 47.4, 80.6, 172.7, 384.5, 763.2, 1568.8", \ + " 47.9, 80.9, 172.8, 384.5, 763.2, 1568.8", \ + " 49.8, 82.0, 173.2, 384.5, 763.2, 1568.8", \ + " 53.3, 85.0, 174.8, 385.0, 763.3, 1568.8", \ + " 57.7, 88.7, 177.2, 386.1, 763.7, 1568.8", \ + " 63.8, 94.2, 181.0, 387.8, 764.4, 1569.2", \ + " 71.9, 102.1, 186.7, 390.9, 765.7, 1569.8", \ + " 82.9, 113.3, 196.0, 396.6, 768.7, 1571.1", \ + " 97.3, 128.7, 209.9, 407.1, 775.2, 1574.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.3, 166.4, 211.0, 287.6, 402.8, 631.5", \ + " 146.4, 168.4, 213.0, 289.5, 404.8, 633.5", \ + " 150.3, 172.3, 216.9, 293.4, 408.7, 637.4", \ + " 156.9, 178.9, 223.4, 300.0, 415.2, 643.9", \ + " 170.1, 192.1, 236.5, 313.1, 428.4, 657.1", \ + " 190.6, 212.3, 256.6, 333.1, 448.4, 677.1", \ + " 219.8, 241.8, 286.2, 362.8, 478.2, 706.9", \ + " 258.0, 280.0, 325.1, 403.0, 518.9, 747.7", \ + " 310.9, 333.3, 378.5, 456.9, 574.7, 804.1", \ + " 385.6, 408.9, 455.0, 533.7, 651.7, 883.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.7, 113.0, 182.7, 323.6, 569.0, 1101.7", \ + " 82.6, 112.9, 182.7, 323.5, 568.9, 1101.7", \ + " 82.5, 112.9, 182.7, 323.5, 568.9, 1101.7", \ + " 82.4, 112.8, 182.6, 323.5, 568.9, 1101.7", \ + " 81.9, 112.5, 182.5, 323.4, 568.8, 1101.6", \ + " 82.1, 112.7, 182.7, 323.5, 568.9, 1101.7", \ + " 87.1, 116.8, 185.7, 325.3, 569.9, 1102.1", \ + " 92.6, 122.0, 191.1, 330.3, 573.7, 1103.7", \ + " 100.4, 128.7, 196.2, 336.0, 579.1, 1108.4", \ + " 111.6, 139.3, 204.9, 341.8, 585.1, 1113.5" ); }} +} +} +cell(xaoi21v0x05) { /* 2008-01-06:07h57 characteristic delay 15.5 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1016 ; /* xaoi21v0x05 */ +cell_footprint : xaoi21 ; +pin(a1) { /* xaoi21v0x05 FO4 effort 1.83 logical effort 1.66 */ +direction : input ; +capacitance : 3.48 ; +rise_capacitance : 3.47 ; +fall_capacitance : 3.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaoi21v0x05 */ +} +pin(a2) { /* xaoi21v0x05 FO4 effort 1.84 logical effort 1.72 */ +direction : input ; +capacitance : 3.66 ; +rise_capacitance : 3.67 ; +fall_capacitance : 3.66 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaoi21v0x05 */ +} +pin(b) { /* xaoi21v0x05 FO4 effort 1.77 logical effort 2.02 */ +direction : input ; +capacitance : 4.83 ; +rise_capacitance : 4.72 ; +fall_capacitance : 4.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaoi21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 78 ; +max_fanout : 2 ; +function : "((a1*a2)^b)'" ; +internal_power(a1_z_n) { /* xaoi21v0x05 17.66 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 8.89, 8.89, 8.90, 8.89, 8.88", \ + " 8.76, 8.77, 8.79, 8.80, 8.79", \ + " 8.75, 8.76, 8.79, 8.80, 8.80", \ + " 8.80, 8.81, 8.83, 8.85, 8.85", \ + " 9.00, 8.99, 8.99, 9.00, 9.00", \ + " 9.43, 9.40, 9.35, 9.31, 9.28", \ + " 10.28, 10.19, 10.04, 9.90, 9.80", \ + " 11.76, 11.59, 11.29, 10.96, 10.72", \ + " 14.30, 14.01, 13.48, 12.84, 12.33", \ + " 18.49, 18.07, 17.23, 16.12, 15.14" ); }} +internal_power(a1_z_p) { /* xaoi21v0x05 20.26 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 10.11, 10.16, 10.25, 10.32, 10.35", \ + " 9.95, 10.01, 10.11, 10.19, 10.23", \ + " 9.94, 9.99, 10.09, 10.18, 10.22", \ + " 9.99, 10.04, 10.13, 10.22, 10.27", \ + " 10.21, 10.24, 10.31, 10.39, 10.44", \ + " 10.68, 10.68, 10.71, 10.77, 10.82", \ + " 11.60, 11.56, 11.52, 11.52, 11.52", \ + " 13.21, 13.10, 12.96, 12.84, 12.77", \ + " 15.92, 15.73, 15.43, 15.13, 14.91", \ + " 20.36, 20.07, 19.57, 18.98, 18.50" ); }} +internal_power(a2_z_n) { /* xaoi21v0x05 16.18 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 8.11, 8.12, 8.13, 8.13, 8.12", \ + " 7.98, 8.00, 8.04, 8.06, 8.06", \ + " 7.97, 8.00, 8.04, 8.07, 8.08", \ + " 8.03, 8.05, 8.09, 8.13, 8.14", \ + " 8.25, 8.25, 8.26, 8.29, 8.30", \ + " 8.70, 8.66, 8.61, 8.59, 8.58", \ + " 9.54, 9.44, 9.30, 9.17, 9.09", \ + " 10.97, 10.80, 10.50, 10.18, 9.96", \ + " 13.39, 13.11, 12.58, 11.97, 11.49", \ + " 17.37, 16.95, 16.12, 15.05, 14.13" ); }} +internal_power(a2_z_p) { /* xaoi21v0x05 18.75 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 9.33, 9.39, 9.48, 9.55, 9.57", \ + " 9.17, 9.24, 9.34, 9.42, 9.46", \ + " 9.16, 9.22, 9.33, 9.42, 9.46", \ + " 9.22, 9.28, 9.37, 9.47, 9.52", \ + " 9.47, 9.50, 9.57, 9.66, 9.72", \ + " 9.96, 9.96, 9.99, 10.05, 10.10", \ + " 10.88, 10.83, 10.79, 10.79, 10.80", \ + " 12.43, 12.33, 12.18, 12.06, 12.00", \ + " 15.03, 14.84, 14.54, 14.25, 14.04", \ + " 19.26, 18.97, 18.47, 17.90, 17.45" ); }} +internal_power(b_z_p) { /* xaoi21v0x05 21.33 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 10.66, 10.56, 10.35, 10.12, 9.97", \ + " 10.59, 10.51, 10.32, 10.10, 9.94", \ + " 10.68, 10.61, 10.44, 10.22, 10.04", \ + " 10.88, 10.82, 10.66, 10.44, 10.25", \ + " 11.35, 11.29, 11.14, 10.91, 10.69", \ + " 12.15, 12.08, 11.93, 11.68, 11.42", \ + " 13.49, 13.42, 13.25, 12.97, 12.66", \ + " 15.63, 15.54, 15.35, 15.03, 14.65", \ + " 19.13, 18.96, 18.69, 18.31, 17.85", \ + " 24.85, 24.55, 24.04, 23.51, 22.94" ); }} +internal_power(b_z_n) { /* xaoi21v0x05 16.26 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 8.12, 8.13, 8.12, 8.08, 8.05", \ + " 7.93, 7.97, 8.00, 8.00, 7.98", \ + " 7.95, 7.99, 8.02, 8.04, 8.02", \ + " 8.09, 8.10, 8.13, 8.14, 8.13", \ + " 8.46, 8.45, 8.44, 8.43, 8.41", \ + " 9.17, 9.13, 9.05, 8.98, 8.92", \ + " 10.43, 10.33, 10.16, 9.98, 9.83", \ + " 12.52, 12.34, 12.04, 11.68, 11.38", \ + " 15.96, 15.67, 15.16, 14.54, 13.99", \ + " 21.55, 21.13, 20.31, 19.28, 18.34" ); }} +timing() { /* ring osc delay xaoi21v0x05, path a1 to z 98.7 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.5 ; */ +/* intrinsic_fall : 72.5 ; */ +/* rise_resistance : 3.92 ; */ +/* fall_resistance : 4.44 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.2, 57.0, 82.0, 135.6, 228.2, 421.9", \ + " 55.1, 64.9, 89.8, 143.4, 236.0, 429.7", \ + " 61.3, 71.0, 95.9, 149.5, 242.0, 435.7", \ + " 69.8, 79.4, 104.1, 157.6, 250.1, 443.8", \ + " 82.6, 93.3, 118.7, 172.0, 264.4, 458.1", \ + " 97.3, 109.5, 138.0, 192.6, 285.0, 478.6", \ + " 115.5, 129.3, 161.7, 222.4, 315.7, 509.5", \ + " 138.2, 153.7, 190.4, 259.2, 360.0, 554.9", \ + " 167.7, 185.2, 226.6, 304.7, 419.2, 622.0", \ + " 206.4, 226.5, 273.8, 362.5, 492.8, 719.2" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.3, 142.1, 225.3, 419.0, 767.2, 1508.7", \ + " 115.6, 144.5, 226.5, 419.5, 767.4, 1508.8", \ + " 121.0, 149.1, 229.4, 420.5, 767.7, 1508.8", \ + " 129.7, 157.0, 235.5, 423.6, 768.5, 1509.0", \ + " 147.5, 173.4, 249.0, 432.8, 772.7, 1509.7", \ + " 174.7, 199.1, 271.4, 450.2, 784.2, 1512.8", \ + " 212.9, 238.6, 307.7, 480.6, 807.7, 1525.7", \ + " 262.5, 290.0, 362.6, 529.1, 848.5, 1554.9", \ + " 331.0, 360.5, 437.6, 605.3, 915.8, 1609.6", \ + " 428.1, 460.2, 542.5, 720.0, 1024.2, 1703.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.1, 73.4, 101.3, 161.3, 266.6, 489.6", \ + " 66.4, 77.8, 105.8, 165.8, 271.1, 494.1", \ + " 69.4, 80.8, 108.9, 168.9, 274.3, 497.3", \ + " 73.4, 84.9, 113.1, 173.2, 278.6, 501.6", \ + " 79.0, 91.4, 120.4, 180.7, 286.2, 509.3", \ + " 85.0, 98.4, 129.7, 191.5, 297.2, 520.5", \ + " 91.4, 106.1, 140.4, 206.7, 313.6, 537.2", \ + " 97.1, 113.8, 152.0, 224.3, 337.0, 561.6", \ + " 100.9, 120.0, 163.7, 244.2, 366.3, 597.4", \ + " 100.6, 122.6, 173.4, 265.4, 400.3, 648.1" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 115.5, 143.5, 220.7, 398.5, 719.3, 1406.8", \ + " 116.9, 144.6, 221.5, 399.0, 719.4, 1406.8", \ + " 120.0, 147.3, 223.2, 399.8, 719.8, 1406.8", \ + " 125.4, 152.0, 226.9, 402.0, 720.5, 1406.9", \ + " 137.4, 162.8, 235.6, 408.1, 723.7, 1407.2", \ + " 158.7, 181.8, 251.2, 419.8, 731.4, 1409.8", \ + " 188.3, 212.6, 278.9, 441.4, 747.2, 1418.2", \ + " 230.7, 254.8, 322.3, 478.6, 776.4, 1437.0", \ + " 293.2, 317.2, 384.3, 541.5, 828.1, 1474.8", \ + " 384.4, 409.3, 477.0, 634.2, 917.1, 1544.9" ); }} +timing() { /* ring osc delay xaoi21v0x05, path a1 to z 117.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 101.6 ; */ +/* intrinsic_fall : 93.6 ; */ +/* rise_resistance : 5.90 ; */ +/* fall_resistance : 3.75 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.9, 107.2, 144.5, 224.5, 364.5, 660.6", \ + " 96.1, 111.3, 148.7, 228.7, 368.7, 664.9", \ + " 99.1, 114.3, 151.6, 231.6, 371.7, 667.9", \ + " 103.1, 118.2, 155.3, 235.4, 375.5, 671.7", \ + " 109.2, 124.2, 161.3, 241.3, 381.5, 677.8", \ + " 115.6, 130.7, 168.0, 247.9, 388.1, 684.4", \ + " 122.6, 137.9, 175.1, 255.2, 395.3, 691.7", \ + " 129.7, 145.3, 182.8, 262.6, 402.8, 699.1", \ + " 135.8, 151.9, 190.2, 270.3, 410.4, 706.8", \ + " 139.0, 155.8, 195.4, 277.0, 417.7, 714.1" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.0, 125.4, 216.6, 426.1, 802.0, 1602.9", \ + " 92.1, 125.5, 216.7, 426.1, 802.0, 1602.9", \ + " 92.2, 125.6, 216.7, 426.1, 802.0, 1602.9", \ + " 92.7, 126.0, 216.9, 426.2, 802.0, 1602.9", \ + " 94.9, 127.8, 218.0, 426.5, 802.0, 1602.9", \ + " 97.9, 130.6, 220.0, 427.6, 802.4, 1602.9", \ + " 101.5, 134.0, 223.0, 429.3, 803.2, 1603.2", \ + " 107.2, 139.6, 227.3, 432.1, 804.5, 1603.8", \ + " 115.5, 148.0, 235.0, 437.5, 807.7, 1604.9", \ + " 126.9, 160.0, 247.2, 448.0, 815.1, 1608.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.5, 81.8, 106.0, 156.7, 245.1, 432.1", \ + " 79.2, 89.5, 113.8, 164.5, 252.9, 440.0", \ + " 85.3, 95.6, 119.9, 170.6, 259.1, 446.2", \ + " 93.4, 103.7, 128.0, 178.8, 267.3, 454.4", \ + " 106.3, 116.8, 141.2, 192.0, 280.6, 467.7", \ + " 121.4, 132.3, 157.3, 208.3, 296.8, 484.0", \ + " 140.0, 151.5, 177.2, 228.8, 317.3, 504.5", \ + " 163.3, 175.5, 202.2, 254.2, 343.1, 530.2", \ + " 193.2, 206.4, 234.7, 287.7, 376.6, 564.1", \ + " 232.4, 246.9, 277.5, 332.6, 422.1, 609.5" ); } +fall_transition(x05_97_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 54.2, 74.6, 128.4, 251.1, 472.1, 944.3", \ + " 54.4, 74.7, 128.4, 251.1, 472.1, 944.3", \ + " 54.6, 74.9, 128.5, 251.1, 472.1, 944.3", \ + " 55.2, 75.4, 128.8, 251.2, 472.2, 944.3", \ + " 58.2, 77.8, 130.1, 251.7, 472.3, 944.3", \ + " 62.6, 82.1, 133.4, 253.3, 472.7, 944.3", \ + " 68.0, 87.5, 138.0, 256.1, 474.1, 944.7", \ + " 75.0, 94.6, 144.2, 260.2, 476.1, 945.5", \ + " 84.6, 104.5, 153.5, 266.5, 479.5, 946.8", \ + " 97.9, 118.2, 167.3, 277.5, 486.1, 949.7" ); }} +timing() { /* ring osc delay xaoi21v0x05, path a2 to z 98.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.5 ; */ +/* intrinsic_fall : 74.5 ; */ +/* rise_resistance : 3.90 ; */ +/* fall_resistance : 4.44 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.7, 53.4, 78.1, 131.4, 223.6, 416.9", \ + " 51.4, 61.1, 85.8, 139.2, 231.4, 424.8", \ + " 57.6, 67.2, 91.8, 145.2, 237.4, 430.8", \ + " 65.8, 75.4, 100.0, 153.2, 245.5, 438.9", \ + " 77.4, 88.5, 114.2, 167.4, 259.7, 453.1", \ + " 90.6, 103.3, 132.7, 187.7, 280.1, 473.5", \ + " 106.9, 121.3, 154.8, 216.7, 310.6, 504.3", \ + " 126.9, 143.2, 181.4, 251.9, 354.1, 549.4", \ + " 151.9, 170.7, 214.4, 295.0, 411.6, 615.9", \ + " 183.7, 205.5, 256.2, 348.8, 482.2, 711.6" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.2, 134.3, 218.3, 412.9, 761.5, 1503.3", \ + " 107.5, 136.7, 219.4, 413.3, 761.7, 1503.4", \ + " 113.1, 141.4, 222.3, 414.1, 762.0, 1503.4", \ + " 122.1, 149.5, 228.5, 417.3, 762.7, 1503.6", \ + " 140.0, 166.0, 242.1, 426.5, 766.8, 1504.1", \ + " 167.3, 191.7, 264.4, 444.0, 778.3, 1507.1", \ + " 203.9, 230.5, 300.5, 474.2, 801.9, 1520.1", \ + " 252.0, 280.2, 354.7, 522.4, 842.7, 1549.4", \ + " 319.0, 349.1, 428.0, 598.3, 910.0, 1604.5", \ + " 414.3, 447.2, 531.0, 711.5, 1018.1, 1698.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.9, 70.2, 98.1, 158.1, 263.4, 486.4", \ + " 64.6, 76.0, 104.0, 164.0, 269.3, 492.3", \ + " 69.2, 80.6, 108.7, 168.7, 274.1, 497.1", \ + " 75.4, 86.9, 115.1, 175.2, 280.6, 503.7", \ + " 84.3, 97.0, 126.2, 186.7, 292.2, 515.3", \ + " 93.2, 107.6, 140.3, 202.6, 308.6, 531.9", \ + " 102.6, 118.9, 156.0, 224.8, 332.4, 556.6", \ + " 112.0, 130.5, 172.9, 250.6, 365.7, 592.0", \ + " 120.8, 142.0, 190.7, 279.7, 408.9, 643.1", \ + " 127.7, 152.0, 208.4, 311.4, 458.8, 715.7" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 110.1, 136.9, 210.7, 381.1, 689.5, 1350.2", \ + " 111.9, 138.3, 211.7, 381.6, 689.6, 1350.2", \ + " 116.3, 141.7, 213.6, 382.5, 689.8, 1350.2", \ + " 124.2, 148.6, 218.5, 384.5, 690.4, 1350.2", \ + " 139.9, 163.2, 230.5, 392.2, 692.7, 1350.3", \ + " 164.5, 185.9, 250.3, 407.7, 701.8, 1351.2", \ + " 195.5, 219.7, 282.5, 434.7, 722.2, 1359.2", \ + " 237.5, 262.6, 330.0, 478.4, 758.6, 1382.6", \ + " 297.8, 324.0, 393.8, 548.4, 819.9, 1430.1", \ + " 385.1, 413.2, 486.2, 646.4, 920.4, 1515.0" ); }} +timing() { /* ring osc delay xaoi21v0x05, path a2 to z 116.3 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.4 ; */ +/* intrinsic_fall : 88.6 ; */ +/* rise_resistance : 5.90 ; */ +/* fall_resistance : 3.74 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.7, 103.9, 141.2, 221.1, 361.0, 657.1", \ + " 94.3, 109.5, 146.7, 226.7, 366.6, 662.7", \ + " 99.0, 114.0, 151.2, 231.2, 371.2, 667.4", \ + " 105.1, 120.0, 157.1, 237.0, 377.1, 673.4", \ + " 113.9, 128.8, 165.7, 245.5, 385.7, 682.0", \ + " 122.7, 137.7, 174.9, 254.6, 394.7, 691.0", \ + " 132.6, 147.6, 184.6, 264.6, 404.6, 700.9", \ + " 143.2, 158.5, 195.4, 275.0, 415.3, 711.6", \ + " 154.3, 170.1, 207.5, 287.0, 427.0, 723.5", \ + " 164.8, 181.3, 219.9, 300.3, 440.3, 736.8" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.1, 125.5, 216.7, 426.1, 802.0, 1602.9", \ + " 92.1, 125.5, 216.7, 426.1, 802.0, 1602.9", \ + " 92.3, 125.7, 216.8, 426.2, 802.0, 1602.9", \ + " 92.8, 126.1, 217.0, 426.2, 802.0, 1602.9", \ + " 95.3, 128.2, 218.3, 426.6, 802.1, 1602.9", \ + " 98.7, 131.3, 220.5, 427.9, 802.4, 1602.9", \ + " 102.2, 134.6, 223.6, 429.7, 803.6, 1603.3", \ + " 108.1, 140.0, 227.4, 432.3, 804.9, 1604.2", \ + " 116.7, 148.4, 234.5, 436.9, 807.6, 1605.3", \ + " 129.1, 161.0, 246.4, 446.1, 813.8, 1608.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 77.5, 101.6, 152.1, 240.3, 427.3", \ + " 74.9, 85.1, 109.2, 159.7, 248.0, 435.0", \ + " 80.9, 91.0, 115.1, 165.8, 254.1, 441.1", \ + " 88.6, 98.8, 122.9, 173.6, 262.0, 449.1", \ + " 100.4, 110.9, 135.2, 185.9, 274.4, 461.4", \ + " 113.9, 124.8, 149.7, 200.7, 289.2, 476.3", \ + " 130.5, 141.9, 167.6, 219.1, 307.7, 494.8", \ + " 150.9, 163.0, 189.8, 241.8, 330.6, 517.7", \ + " 176.4, 189.5, 218.0, 271.3, 360.2, 547.6", \ + " 208.5, 222.9, 253.9, 309.7, 399.7, 587.1" ); } +fall_transition(x05_97_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 52.9, 73.4, 127.5, 250.5, 471.8, 944.0", \ + " 53.0, 73.5, 127.5, 250.5, 471.8, 944.0", \ + " 53.3, 73.7, 127.6, 250.6, 471.8, 944.0", \ + " 54.2, 74.4, 128.0, 250.7, 471.8, 944.0", \ + " 57.5, 77.2, 129.7, 251.3, 471.9, 944.0", \ + " 61.5, 81.3, 133.0, 253.1, 472.5, 944.1", \ + " 66.8, 86.4, 137.3, 255.9, 474.0, 944.5", \ + " 74.0, 93.7, 143.8, 260.1, 476.1, 945.4", \ + " 83.9, 104.0, 153.7, 267.1, 480.0, 946.9", \ + " 97.8, 118.3, 168.4, 279.6, 488.0, 950.5" ); }} +timing() { /* ring osc delay xaoi21v0x05, path b to z 104.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.8 ; */ +/* intrinsic_fall : 74.2 ; */ +/* rise_resistance : 5.81 ; */ +/* fall_resistance : 4.07 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.0, 84.5, 119.2, 196.9, 335.6, 630.8", \ + " 77.9, 91.7, 126.9, 204.8, 343.5, 638.8", \ + " 82.2, 96.2, 131.7, 209.9, 348.7, 644.0", \ + " 86.5, 100.7, 136.5, 214.9, 353.8, 649.1", \ + " 91.3, 105.6, 141.9, 220.6, 359.6, 654.9", \ + " 96.1, 110.3, 146.2, 225.5, 364.6, 660.0", \ + " 99.8, 114.1, 150.2, 229.1, 368.6, 664.0", \ + " 101.1, 115.8, 152.2, 231.3, 370.5, 666.1", \ + " 98.5, 113.6, 150.4, 230.0, 369.4, 664.8", \ + " 88.6, 104.5, 142.2, 222.5, 362.6, 658.0" ); } +rise_transition(x05_97_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 66.1, 96.0, 175.6, 354.6, 672.5, 1347.8", \ + " 66.1, 96.0, 175.6, 354.6, 672.5, 1347.8", \ + " 66.2, 96.1, 175.7, 354.6, 672.5, 1347.8", \ + " 66.6, 96.2, 175.7, 354.6, 672.5, 1347.8", \ + " 66.1, 96.6, 175.9, 354.6, 672.5, 1347.8", \ + " 67.2, 95.6, 175.3, 354.7, 672.5, 1347.8", \ + " 69.6, 97.3, 174.6, 354.0, 672.5, 1347.8", \ + " 73.4, 100.1, 176.2, 353.0, 671.9, 1347.8", \ + " 79.0, 104.7, 179.0, 354.9, 670.4, 1347.6", \ + " 87.1, 111.9, 183.8, 358.5, 672.8, 1346.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 65.1, 86.8, 141.1, 242.9, 463.4", \ + " 65.5, 73.2, 95.0, 149.2, 250.8, 471.1", \ + " 72.0, 79.7, 101.4, 155.4, 256.7, 476.7", \ + " 80.6, 88.4, 109.9, 163.5, 264.4, 483.9", \ + " 95.1, 102.7, 124.0, 176.8, 276.8, 495.5", \ + " 113.1, 121.5, 142.7, 194.3, 293.0, 510.4", \ + " 134.5, 143.7, 166.7, 218.5, 315.6, 530.9", \ + " 161.1, 171.0, 195.1, 249.3, 346.7, 559.7", \ + " 196.0, 206.7, 232.1, 287.4, 388.5, 601.7", \ + " 242.7, 254.5, 281.8, 338.4, 440.5, 661.3" ); } +fall_transition(x05_97_6x10) { /* 14%-86%, scaled to 0%-100% */ +values( " 122.3, 147.0, 203.4, 355.6, 647.1, 1272.1", \ + " 113.0, 137.0, 201.2, 355.2, 647.0, 1272.1", \ + " 109.9, 133.6, 199.2, 355.0, 646.9, 1272.1", \ + " 107.8, 131.4, 196.7, 354.7, 646.7, 1272.1", \ + " 106.9, 131.3, 196.7, 353.4, 646.0, 1272.0", \ + " 106.5, 132.9, 200.0, 355.3, 644.4, 1271.6", \ + " 110.9, 136.7, 204.5, 361.2, 647.0, 1269.3", \ + " 117.4, 144.0, 211.5, 368.6, 655.2, 1272.1", \ + " 126.9, 154.4, 222.8, 377.7, 666.7, 1283.9", \ + " 140.3, 169.0, 238.7, 393.4, 678.2, 1304.5" ); }} +timing() { /* ring osc delay xaoi21v0x05, path b to z 65.3 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.8 ; */ +/* intrinsic_fall : 60.1 ; */ +/* rise_resistance : 3.23 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.8, 34.4, 51.7, 90.2, 155.9, 292.0", \ + " 35.6, 42.0, 59.2, 97.8, 163.5, 299.7", \ + " 41.6, 48.2, 65.1, 103.6, 169.3, 305.5", \ + " 47.7, 55.4, 73.0, 111.4, 177.1, 313.4", \ + " 56.1, 65.1, 85.6, 124.8, 190.9, 327.2", \ + " 65.7, 75.9, 99.5, 143.5, 210.3, 347.0", \ + " 77.2, 89.0, 116.1, 166.3, 238.8, 376.5", \ + " 90.9, 104.5, 135.5, 192.7, 276.2, 419.1", \ + " 107.5, 123.2, 159.1, 224.5, 319.9, 480.7", \ + " 127.9, 146.2, 187.9, 263.5, 372.1, 558.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.4, 84.3, 157.6, 317.6, 596.3, 1186.1", \ + " 64.2, 89.6, 160.6, 318.5, 596.6, 1186.3", \ + " 72.1, 96.6, 165.9, 321.7, 597.4, 1186.5", \ + " 84.1, 107.1, 174.3, 327.8, 600.8, 1186.9", \ + " 106.9, 127.7, 191.2, 341.0, 610.2, 1190.6", \ + " 131.4, 158.1, 218.5, 363.2, 627.7, 1201.6", \ + " 164.9, 194.6, 263.0, 400.7, 658.6, 1224.5", \ + " 209.7, 243.0, 321.1, 461.3, 709.9, 1265.3", \ + " 271.2, 308.5, 397.5, 556.1, 795.7, 1335.2", \ + " 357.0, 399.0, 500.6, 682.9, 936.1, 1454.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.9, 53.8, 76.4, 126.1, 214.1, 400.9", \ + " 51.0, 60.1, 83.0, 133.1, 221.2, 408.1", \ + " 55.4, 64.7, 87.7, 137.9, 226.1, 413.1", \ + " 60.5, 70.2, 93.5, 143.8, 232.1, 419.2", \ + " 66.4, 77.5, 102.8, 153.4, 241.9, 429.0", \ + " 72.6, 85.2, 113.3, 166.7, 255.4, 442.7", \ + " 79.1, 93.8, 126.0, 184.9, 275.8, 463.3", \ + " 85.6, 103.0, 140.6, 206.9, 305.3, 493.8", \ + " 91.6, 112.5, 157.2, 233.8, 343.0, 540.1", \ + " 96.4, 121.6, 175.3, 265.9, 390.0, 606.1" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.5, 75.1, 133.7, 266.7, 504.4, 1010.5", \ + " 54.1, 75.5, 133.8, 266.7, 504.4, 1010.5", \ + " 56.2, 76.8, 134.3, 266.9, 504.5, 1010.5", \ + " 61.0, 80.7, 136.8, 267.7, 504.6, 1010.5", \ + " 72.7, 90.1, 143.6, 272.1, 506.2, 1010.5", \ + " 86.4, 106.0, 156.9, 281.4, 512.2, 1012.2", \ + " 104.9, 125.8, 180.7, 300.1, 525.6, 1019.5", \ + " 131.3, 153.5, 211.6, 333.9, 551.6, 1036.6", \ + " 170.5, 194.1, 255.3, 385.1, 599.3, 1071.4", \ + " 229.3, 254.2, 319.1, 455.5, 679.9, 1137.0" ); }} +timing() { /* ring osc delay xaoi21v0x05, path b to z 69.5 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.8 ; */ +/* intrinsic_fall : 60.1 ; */ +/* rise_resistance : 3.23 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 37.5, 58.8, 105.5, 188.4, 368.8", \ + " 37.4, 44.9, 65.9, 112.7, 195.6, 376.1", \ + " 43.6, 50.9, 71.4, 118.2, 201.1, 381.6", \ + " 49.8, 58.3, 78.9, 125.5, 208.3, 388.9", \ + " 58.6, 68.3, 91.4, 138.1, 220.8, 401.4", \ + " 68.7, 79.7, 105.6, 156.0, 238.2, 418.9", \ + " 81.0, 93.5, 122.7, 179.3, 264.0, 444.3", \ + " 95.8, 110.1, 143.2, 206.2, 300.5, 480.4", \ + " 114.0, 130.6, 168.5, 239.0, 345.1, 533.5", \ + " 136.9, 156.1, 199.9, 280.1, 399.2, 608.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.6, 105.0, 200.6, 405.3, 765.9, 1535.2", \ + " 74.6, 109.3, 202.7, 405.6, 766.0, 1535.2", \ + " 82.0, 115.6, 207.3, 408.1, 766.3, 1535.2", \ + " 93.4, 125.4, 214.7, 413.2, 768.6, 1535.2", \ + " 115.2, 144.5, 229.8, 424.6, 775.9, 1536.8", \ + " 140.8, 174.5, 254.7, 444.0, 790.0, 1544.1", \ + " 173.1, 211.0, 295.7, 477.6, 815.7, 1560.6", \ + " 217.0, 257.8, 355.0, 533.0, 859.9, 1591.8", \ + " 277.7, 322.1, 429.7, 622.3, 936.3, 1648.1", \ + " 362.6, 411.4, 531.5, 749.8, 1065.0, 1749.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.2, 54.3, 77.2, 127.1, 215.2, 402.0", \ + " 51.4, 60.8, 84.0, 134.2, 222.5, 409.4", \ + " 55.9, 65.3, 88.7, 139.1, 227.4, 414.4", \ + " 60.9, 70.8, 94.3, 144.9, 233.3, 420.4", \ + " 66.8, 78.0, 103.5, 154.3, 242.9, 430.1", \ + " 72.8, 85.5, 113.9, 167.4, 256.3, 443.7", \ + " 79.1, 94.0, 126.3, 185.5, 276.5, 464.1", \ + " 85.2, 102.8, 140.6, 207.3, 305.9, 494.5", \ + " 90.6, 111.8, 156.8, 233.9, 343.3, 540.7", \ + " 94.1, 119.8, 174.1, 265.4, 390.1, 606.5" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.3, 76.2, 135.1, 268.2, 505.8, 1011.7", \ + " 54.7, 76.4, 135.2, 268.2, 505.8, 1011.7", \ + " 56.6, 77.5, 135.5, 268.2, 505.8, 1011.7", \ + " 61.2, 81.3, 137.8, 268.9, 505.9, 1011.7", \ + " 72.8, 90.3, 144.3, 273.0, 507.4, 1011.8", \ + " 86.4, 106.2, 157.2, 282.2, 513.2, 1013.3", \ + " 104.7, 125.8, 180.9, 300.6, 526.5, 1020.6", \ + " 130.6, 153.1, 211.7, 334.2, 552.3, 1037.5", \ + " 168.7, 192.9, 255.1, 385.3, 599.7, 1072.2", \ + " 225.6, 251.5, 318.0, 455.5, 680.2, 1137.6" ); }} +timing() { /* ring osc delay xaoi21v0x05, path b to z 69.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.8 ; */ +/* intrinsic_fall : 60.1 ; */ +/* rise_resistance : 3.23 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 37.6, 58.8, 105.6, 188.5, 369.7", \ + " 37.4, 44.9, 65.9, 112.7, 195.7, 376.9", \ + " 43.6, 50.9, 71.5, 118.2, 201.1, 382.4", \ + " 49.8, 58.3, 78.9, 125.5, 208.4, 389.7", \ + " 58.6, 68.3, 91.4, 138.2, 220.9, 402.2", \ + " 68.7, 79.7, 105.6, 156.0, 238.3, 419.5", \ + " 81.0, 93.5, 122.7, 179.3, 264.0, 444.7", \ + " 95.8, 110.1, 143.2, 206.2, 300.5, 480.6", \ + " 114.0, 130.6, 168.5, 239.0, 345.1, 533.6", \ + " 136.9, 156.1, 199.9, 280.1, 399.2, 608.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.6, 104.9, 200.5, 405.3, 766.0, 1535.5", \ + " 74.5, 109.3, 202.6, 405.6, 766.1, 1535.5", \ + " 82.0, 115.6, 207.2, 408.1, 766.3, 1535.5", \ + " 93.4, 125.3, 214.7, 413.2, 768.6, 1535.6", \ + " 115.2, 144.5, 229.8, 424.5, 775.9, 1537.2", \ + " 140.8, 174.5, 254.7, 443.9, 790.0, 1544.5", \ + " 173.1, 210.9, 295.7, 477.6, 815.6, 1561.0", \ + " 217.0, 257.8, 355.0, 533.0, 859.8, 1592.0", \ + " 277.7, 322.1, 429.6, 622.2, 936.3, 1648.2", \ + " 362.6, 411.4, 531.5, 749.8, 1065.0, 1749.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.2, 54.4, 77.2, 127.1, 215.2, 402.0", \ + " 51.4, 60.8, 84.0, 134.2, 222.5, 409.4", \ + " 55.9, 65.3, 88.7, 139.1, 227.4, 414.4", \ + " 60.9, 70.8, 94.3, 144.9, 233.3, 420.4", \ + " 66.8, 78.0, 103.5, 154.3, 242.9, 430.1", \ + " 72.8, 85.5, 113.9, 167.4, 256.3, 443.7", \ + " 79.1, 94.0, 126.3, 185.5, 276.5, 464.1", \ + " 85.2, 102.8, 140.6, 207.3, 305.9, 494.5", \ + " 90.6, 111.8, 156.8, 233.9, 343.3, 540.7", \ + " 94.1, 119.8, 174.1, 265.4, 390.1, 606.5" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.3, 76.2, 135.1, 268.2, 505.8, 1011.7", \ + " 54.7, 76.4, 135.2, 268.2, 505.8, 1011.7", \ + " 56.6, 77.5, 135.5, 268.2, 505.8, 1011.7", \ + " 61.2, 81.3, 137.8, 268.9, 505.9, 1011.7", \ + " 72.8, 90.3, 144.3, 273.0, 507.4, 1011.8", \ + " 86.4, 106.2, 157.2, 282.2, 513.2, 1013.3", \ + " 104.7, 125.8, 180.9, 300.6, 526.5, 1020.6", \ + " 130.6, 153.1, 211.7, 334.2, 552.3, 1037.5", \ + " 168.7, 192.9, 255.1, 385.3, 599.7, 1072.2", \ + " 225.6, 251.5, 318.0, 455.5, 680.2, 1137.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 47.8 ; */ +/* intrinsic_fall : 60.1 ; */ +/* rise_resistance : 3.23 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.8, 37.5, 58.8, 105.5, 188.4, 368.8", \ + " 37.4, 44.9, 65.9, 112.7, 195.6, 376.1", \ + " 43.6, 50.9, 71.4, 118.2, 201.1, 381.6", \ + " 49.8, 58.3, 78.9, 125.5, 208.3, 388.9", \ + " 58.6, 68.3, 91.4, 138.1, 220.8, 401.4", \ + " 68.7, 79.7, 105.6, 156.0, 238.2, 418.9", \ + " 81.0, 93.5, 122.7, 179.3, 264.0, 444.3", \ + " 95.8, 110.1, 143.2, 206.2, 300.5, 480.4", \ + " 114.0, 130.6, 168.5, 239.0, 345.1, 533.5", \ + " 136.9, 156.1, 199.9, 280.1, 399.2, 608.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 68.6, 105.0, 200.6, 405.3, 765.9, 1535.2", \ + " 74.6, 109.3, 202.7, 405.6, 766.0, 1535.2", \ + " 82.0, 115.6, 207.3, 408.1, 766.3, 1535.2", \ + " 93.4, 125.4, 214.7, 413.2, 768.6, 1535.2", \ + " 115.2, 144.5, 229.8, 424.6, 775.9, 1536.8", \ + " 140.8, 174.5, 254.7, 444.0, 790.0, 1544.1", \ + " 173.1, 211.0, 295.7, 477.6, 815.7, 1560.6", \ + " 217.0, 257.8, 355.0, 533.0, 859.9, 1591.8", \ + " 277.7, 322.1, 429.7, 622.3, 936.3, 1648.1", \ + " 362.6, 411.4, 531.5, 749.8, 1065.0, 1749.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.2, 54.3, 77.2, 127.1, 215.2, 402.0", \ + " 51.4, 60.8, 84.0, 134.2, 222.5, 409.4", \ + " 55.9, 65.3, 88.7, 139.1, 227.4, 414.4", \ + " 60.9, 70.8, 94.3, 144.9, 233.3, 420.4", \ + " 66.8, 78.0, 103.5, 154.3, 242.9, 430.1", \ + " 72.8, 85.5, 113.9, 167.4, 256.3, 443.7", \ + " 79.1, 94.0, 126.3, 185.5, 276.5, 464.1", \ + " 85.2, 102.8, 140.6, 207.3, 305.9, 494.5", \ + " 90.6, 111.8, 156.8, 233.9, 343.3, 540.7", \ + " 94.1, 119.8, 174.1, 265.4, 390.1, 606.5" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 54.3, 76.2, 135.1, 268.2, 505.8, 1011.7", \ + " 54.7, 76.4, 135.2, 268.2, 505.8, 1011.7", \ + " 56.6, 77.5, 135.5, 268.2, 505.8, 1011.7", \ + " 61.2, 81.3, 137.8, 268.9, 505.9, 1011.7", \ + " 72.8, 90.3, 144.3, 273.0, 507.4, 1011.8", \ + " 86.4, 106.2, 157.2, 282.2, 513.2, 1013.3", \ + " 104.7, 125.8, 180.9, 300.6, 526.5, 1020.6", \ + " 130.6, 153.1, 211.7, 334.2, 552.3, 1037.5", \ + " 168.7, 192.9, 255.1, 385.3, 599.7, 1072.2", \ + " 225.6, 251.5, 318.0, 455.5, 680.2, 1137.6" ); }} +} +} +cell(xaoi21v0x1) { /* 2008-01-06:07h57 characteristic delay 15.4 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1640 ; /* xaoi21v0x1 */ +cell_footprint : xaoi21 ; +pin(a1) { /* xaoi21v0x1 FO4 effort 1.77 logical effort 1.55 */ +direction : input ; +capacitance : 5.17 ; +rise_capacitance : 5.16 ; +fall_capacitance : 5.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaoi21v0x1 */ +} +pin(a2) { /* xaoi21v0x1 FO4 effort 1.76 logical effort 1.58 */ +direction : input ; +capacitance : 5.34 ; +rise_capacitance : 5.35 ; +fall_capacitance : 5.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaoi21v0x1 */ +} +pin(b) { /* xaoi21v0x1 FO4 effort 1.89 logical effort 2.23 */ +direction : input ; +capacitance : 7.45 ; +rise_capacitance : 7.32 ; +fall_capacitance : 7.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaoi21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 123 ; +max_fanout : 4 ; +function : "((a1*a2)^b)'" ; +internal_power(a1_z_n) { /* xaoi21v0x1 27.76 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 13.94, 13.95, 13.96, 13.95, 13.94", \ + " 13.76, 13.78, 13.81, 13.82, 13.82", \ + " 13.75, 13.77, 13.80, 13.83, 13.84", \ + " 13.84, 13.85, 13.88, 13.91, 13.91", \ + " 14.15, 14.14, 14.13, 14.14, 14.14", \ + " 14.82, 14.77, 14.69, 14.62, 14.57", \ + " 16.12, 15.99, 15.78, 15.55, 15.38", \ + " 18.40, 18.16, 17.73, 17.21, 16.81", \ + " 22.30, 21.91, 21.16, 20.18, 19.34", \ + " 28.74, 28.19, 27.01, 25.35, 23.79" ); }} +internal_power(a1_z_p) { /* xaoi21v0x1 30.72 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 15.32, 15.40, 15.51, 15.59, 15.62", \ + " 15.11, 15.19, 15.31, 15.41, 15.45", \ + " 15.09, 15.16, 15.29, 15.40, 15.45", \ + " 15.18, 15.24, 15.36, 15.47, 15.53", \ + " 15.52, 15.56, 15.65, 15.75, 15.82", \ + " 16.26, 16.26, 16.29, 16.36, 16.41", \ + " 17.67, 17.62, 17.56, 17.53, 17.52", \ + " 20.13, 20.00, 19.80, 19.61, 19.47", \ + " 24.29, 24.06, 23.65, 23.19, 22.82", \ + " 31.08, 30.72, 30.05, 29.19, 28.43" ); }} +internal_power(a2_z_n) { /* xaoi21v0x1 25.23 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 12.63, 12.64, 12.66, 12.66, 12.65", \ + " 12.44, 12.47, 12.52, 12.57, 12.58", \ + " 12.44, 12.47, 12.53, 12.59, 12.61", \ + " 12.54, 12.56, 12.61, 12.67, 12.71", \ + " 12.89, 12.88, 12.89, 12.92, 12.94", \ + " 13.58, 13.53, 13.45, 13.40, 13.38", \ + " 14.89, 14.75, 14.53, 14.30, 14.16", \ + " 17.11, 16.87, 16.43, 15.92, 15.53", \ + " 20.86, 20.47, 19.71, 18.75, 17.95", \ + " 27.02, 26.45, 25.28, 23.65, 22.16" ); }} +internal_power(a2_z_p) { /* xaoi21v0x1 28.14 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 14.01, 14.09, 14.20, 14.28, 14.31", \ + " 13.78, 13.86, 14.00, 14.10, 14.15", \ + " 13.77, 13.85, 13.98, 14.10, 14.17", \ + " 13.88, 13.95, 14.07, 14.20, 14.27", \ + " 14.26, 14.30, 14.39, 14.51, 14.59", \ + " 15.03, 15.03, 15.07, 15.14, 15.19", \ + " 16.46, 16.40, 16.33, 16.31, 16.30", \ + " 18.87, 18.73, 18.53, 18.33, 18.20", \ + " 22.87, 22.64, 22.23, 21.77, 21.42", \ + " 29.40, 29.03, 28.36, 27.51, 26.78" ); }} +internal_power(b_z_p) { /* xaoi21v0x1 31.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 15.75, 15.64, 15.39, 15.10, 14.88", \ + " 15.67, 15.57, 15.36, 15.07, 14.84", \ + " 15.80, 15.73, 15.53, 15.25, 15.01", \ + " 16.10, 16.03, 15.86, 15.58, 15.32", \ + " 16.80, 16.73, 16.56, 16.28, 15.99", \ + " 17.99, 17.92, 17.74, 17.44, 17.11", \ + " 20.00, 19.91, 19.72, 19.38, 18.99", \ + " 23.20, 23.09, 22.86, 22.47, 21.99", \ + " 28.39, 28.20, 27.87, 27.40, 26.81", \ + " 36.86, 36.50, 35.86, 35.19, 34.46" ); }} +internal_power(b_z_n) { /* xaoi21v0x1 24.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 12.03, 12.06, 12.07, 12.03, 11.97", \ + " 11.74, 11.80, 11.87, 11.90, 11.87", \ + " 11.77, 11.82, 11.90, 11.95, 11.94", \ + " 11.96, 12.00, 12.06, 12.11, 12.11", \ + " 12.52, 12.52, 12.53, 12.55, 12.54", \ + " 13.59, 13.53, 13.45, 13.38, 13.32", \ + " 15.46, 15.34, 15.13, 14.90, 14.72", \ + " 18.57, 18.35, 17.95, 17.48, 17.09", \ + " 23.67, 23.31, 22.64, 21.80, 21.06", \ + " 31.95, 31.40, 30.32, 28.94, 27.65" ); }} +timing() { /* ring osc delay xaoi21v0x1, path a1 to z 97.5 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.0 ; */ +/* intrinsic_fall : 67.8 ; */ +/* rise_resistance : 2.65 ; */ +/* fall_resistance : 2.61 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.6, 60.3, 82.8, 131.1, 215.1, 391.6", \ + " 59.4, 68.2, 90.6, 139.0, 222.9, 399.4", \ + " 65.7, 74.4, 96.7, 145.1, 228.9, 405.4", \ + " 74.3, 82.9, 105.1, 153.3, 237.1, 413.6", \ + " 88.3, 97.6, 120.0, 168.0, 251.6, 428.0", \ + " 104.4, 115.1, 140.4, 189.2, 272.6, 448.7", \ + " 124.8, 136.8, 165.6, 220.1, 304.1, 479.9", \ + " 150.5, 164.0, 196.5, 258.6, 349.9, 526.0", \ + " 184.5, 199.7, 236.3, 306.7, 411.0, 594.8", \ + " 230.3, 247.6, 289.2, 368.9, 487.6, 694.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.6, 147.5, 218.9, 385.2, 685.2, 1326.2", \ + " 124.3, 149.4, 219.9, 385.6, 685.3, 1326.2", \ + " 129.1, 153.7, 222.7, 386.5, 685.6, 1326.3", \ + " 137.3, 161.1, 228.6, 389.6, 686.3, 1326.4", \ + " 154.0, 176.7, 241.7, 398.9, 690.7, 1326.9", \ + " 180.0, 201.6, 263.8, 416.3, 702.4, 1330.2", \ + " 218.3, 240.4, 299.7, 446.9, 726.2, 1343.5", \ + " 267.4, 291.4, 354.0, 495.8, 767.6, 1373.1", \ + " 334.3, 360.3, 427.8, 572.4, 836.0, 1428.5", \ + " 428.6, 456.9, 529.8, 685.1, 945.9, 1524.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.1, 65.9, 87.7, 134.7, 217.1, 391.6", \ + " 61.6, 70.4, 92.3, 139.3, 221.7, 396.2", \ + " 64.6, 73.5, 95.4, 142.5, 225.0, 399.4", \ + " 68.5, 77.5, 99.6, 146.7, 229.2, 403.8", \ + " 73.7, 83.4, 106.7, 154.2, 236.8, 411.4", \ + " 78.9, 89.6, 114.9, 164.6, 247.6, 422.4", \ + " 83.8, 95.8, 123.8, 177.9, 263.4, 438.7", \ + " 87.2, 100.8, 132.6, 192.4, 284.5, 462.3", \ + " 87.1, 102.9, 139.7, 207.5, 308.6, 496.5", \ + " 80.6, 99.0, 142.2, 221.0, 334.8, 539.3" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 106.4, 128.4, 188.8, 327.9, 578.8, 1117.0", \ + " 108.2, 129.8, 189.8, 328.5, 579.1, 1117.0", \ + " 111.4, 132.7, 192.0, 329.6, 579.5, 1117.0", \ + " 117.1, 137.8, 196.1, 332.5, 580.7, 1117.2", \ + " 129.7, 149.3, 205.6, 339.5, 585.2, 1118.2", \ + " 151.1, 169.5, 222.6, 352.7, 594.6, 1122.7", \ + " 180.7, 199.9, 252.5, 376.8, 613.1, 1134.0", \ + " 223.4, 242.4, 295.5, 417.4, 646.1, 1157.3", \ + " 286.0, 305.2, 358.2, 481.7, 703.2, 1201.4", \ + " 376.7, 397.0, 451.4, 575.4, 798.6, 1280.4" ); }} +timing() { /* ring osc delay xaoi21v0x1, path a1 to z 122.4 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.1 ; */ +/* intrinsic_fall : 98.8 ; */ +/* rise_resistance : 4.20 ; */ +/* fall_resistance : 2.88 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.2, 101.2, 136.3, 212.2, 345.4, 627.3", \ + " 91.6, 105.5, 140.6, 216.5, 349.7, 631.7", \ + " 94.5, 108.4, 143.4, 219.4, 352.7, 634.7", \ + " 98.2, 112.1, 147.0, 223.0, 356.4, 638.4", \ + " 103.5, 117.4, 152.3, 228.3, 361.6, 643.7", \ + " 108.6, 122.6, 157.6, 233.6, 367.0, 649.2", \ + " 113.6, 127.7, 162.7, 238.8, 372.2, 654.4", \ + " 117.6, 131.9, 167.2, 243.1, 376.7, 658.8", \ + " 118.9, 133.8, 169.8, 246.1, 379.6, 661.9", \ + " 114.8, 130.4, 167.7, 245.5, 379.8, 662.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.5, 127.7, 215.4, 415.6, 774.0, 1536.9", \ + " 95.6, 127.8, 215.4, 415.6, 774.0, 1536.9", \ + " 95.7, 127.9, 215.5, 415.6, 774.0, 1536.9", \ + " 96.4, 128.4, 215.7, 415.7, 774.0, 1536.9", \ + " 98.6, 130.2, 216.8, 416.1, 774.0, 1536.9", \ + " 101.3, 132.8, 218.6, 417.1, 774.4, 1536.9", \ + " 105.0, 136.1, 221.4, 418.5, 775.1, 1537.2", \ + " 110.9, 141.7, 225.6, 421.3, 776.4, 1537.8", \ + " 119.3, 150.1, 233.3, 426.7, 779.7, 1539.1", \ + " 130.9, 162.0, 245.3, 437.1, 787.2, 1543.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.0, 87.2, 111.8, 163.6, 254.3, 446.1", \ + " 84.7, 94.9, 119.5, 171.4, 262.0, 453.9", \ + " 90.8, 101.0, 125.6, 177.6, 268.3, 460.2", \ + " 99.1, 109.3, 133.9, 185.9, 276.6, 468.6", \ + " 112.6, 122.9, 147.5, 199.6, 290.4, 482.4", \ + " 128.8, 139.5, 164.6, 216.7, 307.5, 499.5", \ + " 149.2, 160.4, 186.1, 238.7, 329.5, 521.5", \ + " 175.1, 186.9, 213.5, 266.4, 357.6, 549.6", \ + " 209.1, 221.8, 249.7, 303.5, 394.7, 586.9", \ + " 254.8, 268.6, 298.6, 354.1, 445.8, 638.1" ); } +fall_transition(x1_130_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 61.8, 82.5, 137.6, 263.6, 490.4, 974.6", \ + " 61.9, 82.6, 137.7, 263.6, 490.4, 974.6", \ + " 62.1, 82.7, 137.7, 263.6, 490.4, 974.6", \ + " 62.6, 83.2, 138.0, 263.7, 490.4, 974.6", \ + " 65.1, 85.2, 139.1, 264.1, 490.5, 974.6", \ + " 69.4, 89.2, 142.1, 265.5, 490.8, 974.7", \ + " 74.5, 94.2, 146.4, 268.1, 492.1, 974.9", \ + " 81.1, 100.8, 151.9, 271.7, 493.9, 975.7", \ + " 90.0, 110.0, 160.4, 277.3, 496.9, 976.9", \ + " 102.4, 122.8, 173.0, 287.2, 502.8, 979.4" ); }} +timing() { /* ring osc delay xaoi21v0x1, path a2 to z 96.7 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 69.8 ; */ +/* rise_resistance : 2.64 ; */ +/* fall_resistance : 2.61 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.2, 55.8, 78.0, 126.1, 209.7, 386.0", \ + " 54.9, 63.5, 85.8, 133.9, 217.6, 393.9", \ + " 61.1, 69.7, 91.8, 139.9, 223.6, 400.0", \ + " 69.5, 78.1, 100.1, 148.1, 231.7, 408.1", \ + " 82.3, 92.0, 114.8, 162.6, 246.1, 422.4", \ + " 96.9, 108.0, 134.1, 183.5, 266.8, 442.9", \ + " 115.3, 127.9, 157.7, 213.5, 298.0, 474.0", \ + " 138.3, 152.5, 186.4, 250.2, 343.1, 519.6", \ + " 168.0, 184.3, 222.9, 295.7, 402.2, 587.8", \ + " 206.8, 225.8, 270.4, 353.9, 475.8, 686.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.1, 138.2, 210.3, 377.4, 678.1, 1319.6", \ + " 114.8, 140.1, 211.2, 377.8, 678.2, 1319.7", \ + " 119.8, 144.5, 214.0, 378.5, 678.4, 1319.7", \ + " 128.3, 152.2, 220.0, 381.7, 679.0, 1319.8", \ + " 145.3, 168.1, 233.4, 391.0, 683.3, 1320.1", \ + " 171.6, 193.0, 255.5, 408.6, 695.1, 1323.3", \ + " 208.5, 231.5, 291.3, 439.2, 719.0, 1336.6", \ + " 256.0, 280.7, 345.2, 487.9, 760.5, 1366.3", \ + " 321.5, 348.2, 417.3, 564.5, 829.0, 1422.1", \ + " 414.7, 443.6, 517.7, 675.7, 938.9, 1518.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.8, 62.6, 84.4, 131.4, 213.8, 388.3", \ + " 59.7, 68.5, 90.4, 137.5, 219.9, 394.4", \ + " 64.4, 73.2, 95.2, 142.2, 224.7, 399.2", \ + " 70.4, 79.4, 101.6, 148.7, 231.2, 405.7", \ + " 78.4, 88.6, 112.3, 160.0, 242.7, 417.3", \ + " 86.2, 97.8, 124.6, 175.3, 258.7, 433.7", \ + " 93.8, 107.1, 137.9, 195.1, 281.7, 457.9", \ + " 100.5, 115.7, 151.2, 216.6, 312.6, 492.1", \ + " 105.2, 122.7, 163.7, 239.5, 348.6, 540.9", \ + " 105.6, 125.9, 173.7, 262.0, 388.2, 604.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 101.5, 122.5, 180.3, 313.6, 554.6, 1071.9", \ + " 103.8, 124.3, 181.6, 314.2, 554.8, 1071.9", \ + " 108.6, 128.4, 184.1, 315.4, 555.2, 1071.9", \ + " 116.9, 135.9, 190.0, 318.6, 556.1, 1071.9", \ + " 133.1, 151.2, 203.1, 328.1, 560.6, 1072.3", \ + " 157.9, 175.0, 224.2, 345.4, 572.7, 1075.5", \ + " 188.5, 207.8, 258.4, 374.8, 596.5, 1088.9", \ + " 231.1, 251.1, 304.6, 421.6, 636.8, 1118.6", \ + " 292.1, 313.2, 368.9, 491.9, 703.2, 1173.2", \ + " 379.9, 402.9, 462.0, 589.9, 809.3, 1266.9" ); }} +timing() { /* ring osc delay xaoi21v0x1, path a2 to z 120.6 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.5 ; */ +/* intrinsic_fall : 92.7 ; */ +/* rise_resistance : 4.20 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.9, 97.9, 132.9, 208.7, 341.8, 623.7", \ + " 89.7, 103.6, 138.6, 214.5, 347.7, 629.6", \ + " 94.2, 108.1, 143.0, 218.9, 352.2, 634.2", \ + " 99.8, 113.5, 148.4, 224.3, 357.7, 639.7", \ + " 107.1, 120.9, 155.6, 231.5, 364.9, 647.1", \ + " 114.1, 127.9, 163.0, 238.8, 372.2, 654.3", \ + " 121.5, 135.3, 170.1, 246.3, 379.6, 661.8", \ + " 128.6, 142.7, 177.5, 253.2, 387.0, 669.1", \ + " 134.6, 149.1, 184.4, 260.2, 393.6, 676.0", \ + " 137.3, 152.5, 188.9, 265.6, 399.4, 681.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.5, 127.8, 215.4, 415.6, 774.0, 1536.9", \ + " 95.6, 127.9, 215.4, 415.6, 774.0, 1536.9", \ + " 95.9, 128.0, 215.5, 415.6, 774.0, 1536.9", \ + " 96.7, 128.6, 215.8, 415.7, 774.0, 1536.9", \ + " 99.2, 130.7, 217.2, 416.2, 774.0, 1536.9", \ + " 102.1, 133.5, 219.2, 417.4, 774.6, 1536.9", \ + " 105.4, 136.5, 221.9, 419.0, 775.5, 1537.4", \ + " 111.3, 141.7, 225.5, 421.4, 776.8, 1538.2", \ + " 120.0, 150.2, 232.6, 426.0, 779.6, 1539.5", \ + " 132.3, 162.5, 244.3, 435.3, 786.0, 1543.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.9, 81.9, 106.2, 157.9, 248.4, 440.2", \ + " 79.4, 89.4, 113.8, 165.5, 256.0, 447.8", \ + " 85.3, 95.4, 119.8, 171.6, 262.2, 454.0", \ + " 93.3, 103.3, 127.7, 179.5, 270.2, 462.1", \ + " 105.7, 115.9, 140.4, 192.3, 283.0, 474.9", \ + " 120.1, 130.7, 155.8, 207.9, 298.6, 490.5", \ + " 138.3, 149.4, 175.0, 227.6, 318.4, 510.3", \ + " 161.1, 172.9, 199.5, 252.4, 343.6, 535.5", \ + " 190.7, 203.3, 231.4, 285.4, 376.6, 568.8", \ + " 229.2, 243.0, 273.3, 329.7, 421.8, 614.0" ); } +fall_transition(x1_130_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 60.2, 81.1, 136.6, 262.9, 490.0, 974.4", \ + " 60.3, 81.2, 136.6, 263.0, 490.0, 974.4", \ + " 60.5, 81.4, 136.7, 263.0, 490.0, 974.4", \ + " 61.3, 82.0, 137.0, 263.1, 490.0, 974.4", \ + " 64.3, 84.4, 138.5, 263.6, 490.1, 974.4", \ + " 68.1, 88.3, 141.6, 265.2, 490.6, 974.4", \ + " 73.1, 93.0, 145.7, 267.8, 491.9, 974.7", \ + " 79.7, 99.7, 151.3, 271.6, 493.8, 975.6", \ + " 89.1, 109.3, 160.4, 277.8, 497.3, 976.9", \ + " 101.9, 122.7, 174.1, 289.3, 504.6, 980.2" ); }} +timing() { /* ring osc delay xaoi21v0x1, path b to z 104.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 91.0 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 4.15 ; */ +/* fall_resistance : 2.37 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.5, 90.3, 123.4, 197.2, 329.0, 610.0", \ + " 84.3, 97.4, 130.9, 205.0, 336.9, 617.9", \ + " 88.9, 102.1, 135.9, 210.4, 342.4, 623.4", \ + " 93.7, 107.1, 141.2, 216.0, 348.1, 629.2", \ + " 99.4, 113.1, 147.6, 222.7, 355.1, 636.3", \ + " 105.9, 119.3, 153.5, 229.1, 361.8, 643.0", \ + " 112.2, 125.7, 160.0, 235.2, 368.2, 649.6", \ + " 117.7, 131.4, 165.9, 241.3, 374.0, 655.6", \ + " 121.4, 135.4, 170.3, 246.1, 378.9, 660.3", \ + " 121.5, 136.1, 171.7, 248.1, 381.6, 662.9" ); } +rise_transition(x1_130_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 71.7, 100.0, 175.8, 346.2, 648.9, 1292.1", \ + " 71.7, 100.0, 175.8, 346.2, 648.9, 1292.1", \ + " 71.8, 100.0, 175.8, 346.2, 648.9, 1292.1", \ + " 72.1, 100.2, 175.8, 346.2, 648.9, 1292.1", \ + " 72.5, 101.0, 175.9, 346.2, 648.9, 1292.1", \ + " 72.5, 99.3, 175.5, 346.2, 648.9, 1292.1", \ + " 74.8, 101.1, 174.5, 345.5, 649.0, 1292.1", \ + " 78.5, 104.0, 176.2, 344.2, 648.2, 1292.1", \ + " 83.9, 108.6, 179.3, 346.2, 646.3, 1291.8", \ + " 91.7, 115.6, 184.3, 350.2, 649.0, 1290.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 55.2, 72.2, 114.6, 194.1, 366.4", \ + " 57.3, 63.3, 80.4, 122.6, 201.9, 374.0", \ + " 63.6, 69.6, 86.6, 128.6, 207.6, 379.4", \ + " 71.7, 77.8, 94.6, 136.2, 214.8, 386.1", \ + " 85.2, 91.3, 107.6, 148.4, 226.2, 396.6", \ + " 100.2, 107.1, 124.5, 164.3, 240.9, 410.1", \ + " 117.9, 125.4, 144.0, 185.8, 261.4, 428.8", \ + " 139.5, 147.6, 167.2, 210.3, 288.8, 455.0", \ + " 166.9, 175.8, 196.7, 240.8, 321.0, 492.1", \ + " 202.5, 212.4, 235.1, 280.8, 361.7, 538.0" ); } +fall_transition(x1_130_6x10) { /* 14%-86%, scaled to 0%-100% */ +values( " 109.4, 128.7, 175.6, 292.5, 519.9, 1009.8", \ + " 102.5, 120.9, 172.3, 292.0, 519.8, 1009.8", \ + " 100.4, 118.6, 169.1, 291.6, 519.6, 1009.8", \ + " 99.3, 117.5, 167.8, 290.3, 519.2, 1009.7", \ + " 99.0, 118.2, 169.0, 290.1, 517.5, 1009.4", \ + " 99.8, 119.1, 172.5, 293.5, 517.7, 1007.6", \ + " 104.8, 124.9, 176.5, 299.5, 522.6, 1007.2", \ + " 111.9, 132.7, 185.3, 305.4, 531.6, 1013.6", \ + " 122.0, 143.7, 197.2, 317.1, 540.4, 1028.3", \ + " 136.1, 158.9, 213.8, 333.9, 554.3, 1044.5" ); }} +timing() { /* ring osc delay xaoi21v0x1, path b to z 69.5 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 65.8 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.9, 30.5, 45.4, 77.7, 132.0, 245.4", \ + " 32.8, 38.1, 52.7, 85.1, 139.5, 253.0", \ + " 38.0, 44.0, 58.3, 90.6, 145.1, 258.8", \ + " 43.2, 50.0, 65.9, 98.1, 152.7, 266.6", \ + " 50.2, 58.1, 76.4, 110.9, 165.9, 280.1", \ + " 57.7, 66.8, 87.8, 127.5, 184.4, 299.2", \ + " 66.1, 76.7, 100.9, 146.3, 211.1, 327.2", \ + " 75.2, 87.4, 115.4, 167.1, 242.2, 366.8", \ + " 85.0, 99.1, 131.6, 191.0, 277.5, 420.8", \ + " 95.0, 111.5, 149.4, 218.4, 317.7, 484.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 53.1, 76.2, 138.0, 270.0, 499.0, 983.7", \ + " 60.7, 82.3, 141.7, 271.5, 499.5, 984.1", \ + " 69.1, 89.5, 147.2, 275.2, 501.0, 984.5", \ + " 81.7, 100.6, 156.1, 281.9, 505.4, 985.7", \ + " 103.9, 122.4, 174.1, 296.2, 516.2, 991.7", \ + " 127.8, 151.3, 203.0, 320.1, 535.4, 1005.0", \ + " 161.0, 186.9, 248.0, 360.3, 568.6, 1030.5", \ + " 205.6, 234.5, 303.1, 424.4, 623.7, 1074.1", \ + " 267.3, 299.6, 377.4, 516.8, 715.2, 1148.6", \ + " 353.7, 389.9, 478.7, 639.3, 861.3, 1277.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.7, 59.9, 83.1, 134.1, 224.5, 416.1", \ + " 56.4, 65.8, 89.5, 140.9, 231.5, 423.3", \ + " 60.9, 70.4, 94.2, 145.8, 236.5, 428.4", \ + " 66.6, 76.1, 100.0, 151.9, 242.7, 434.7", \ + " 73.6, 84.5, 109.7, 161.7, 252.7, 444.9", \ + " 81.4, 93.5, 121.5, 175.5, 266.7, 459.0", \ + " 90.5, 104.5, 135.9, 195.1, 287.7, 480.3", \ + " 101.0, 117.4, 153.5, 219.3, 318.8, 511.8", \ + " 113.4, 132.8, 175.3, 250.3, 359.6, 559.9", \ + " 127.8, 151.1, 201.8, 289.3, 412.3, 630.2" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 61.4, 83.7, 143.8, 280.3, 524.2, 1043.2", \ + " 62.0, 84.1, 144.0, 280.3, 524.2, 1043.2", \ + " 63.4, 84.7, 144.3, 280.5, 524.2, 1043.2", \ + " 67.8, 88.4, 146.3, 280.9, 524.3, 1043.2", \ + " 78.0, 96.9, 152.6, 284.8, 525.5, 1043.2", \ + " 92.8, 112.8, 164.6, 293.3, 530.8, 1044.4", \ + " 110.6, 131.8, 187.6, 310.4, 543.0, 1050.9", \ + " 135.9, 158.2, 217.0, 341.4, 566.7, 1066.4", \ + " 174.0, 197.3, 258.7, 390.5, 610.6, 1098.1", \ + " 231.9, 256.0, 320.0, 457.5, 686.5, 1158.4" ); }} +timing() { /* ring osc delay xaoi21v0x1, path b to z 74.4 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 65.8 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 33.8, 52.4, 92.3, 166.8, 334.7", \ + " 34.7, 41.0, 59.2, 99.1, 173.4, 341.0", \ + " 40.2, 46.8, 64.5, 104.2, 178.3, 345.5", \ + " 45.6, 53.1, 71.5, 111.1, 184.7, 351.2", \ + " 53.0, 61.6, 82.5, 123.0, 195.7, 361.0", \ + " 61.0, 70.8, 94.2, 139.7, 211.2, 374.7", \ + " 70.3, 81.6, 107.9, 159.3, 234.8, 395.2", \ + " 80.7, 93.6, 123.6, 181.1, 265.8, 425.9", \ + " 92.3, 107.2, 141.7, 206.3, 302.3, 473.5", \ + " 105.0, 122.4, 162.4, 236.0, 345.3, 534.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.7, 100.5, 184.0, 360.7, 672.5, 1342.6", \ + " 74.1, 105.2, 186.4, 361.1, 672.1, 1341.7", \ + " 81.8, 111.6, 191.0, 363.7, 672.3, 1340.9", \ + " 93.6, 121.7, 198.6, 369.0, 674.7, 1339.7", \ + " 116.5, 141.6, 214.6, 380.7, 682.1, 1340.7", \ + " 140.0, 173.2, 240.7, 401.1, 696.4, 1346.8", \ + " 171.3, 205.9, 283.6, 436.7, 723.0, 1362.1", \ + " 214.9, 251.6, 339.4, 494.7, 769.7, 1392.4", \ + " 275.9, 315.3, 411.9, 587.0, 850.6, 1450.8", \ + " 361.6, 404.6, 511.9, 708.0, 985.4, 1559.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 60.5, 83.9, 135.2, 225.6, 417.2", \ + " 57.0, 66.6, 90.6, 142.2, 232.8, 424.6", \ + " 61.5, 71.2, 95.3, 147.1, 237.9, 429.8", \ + " 67.1, 76.8, 101.0, 153.1, 244.0, 436.0", \ + " 74.1, 85.1, 110.5, 162.8, 253.9, 446.1", \ + " 81.7, 93.9, 122.1, 176.4, 267.7, 460.1", \ + " 90.6, 104.6, 136.3, 195.8, 288.6, 481.2", \ + " 100.7, 117.2, 153.6, 219.8, 319.4, 512.7", \ + " 112.4, 132.0, 174.9, 250.4, 360.1, 560.6", \ + " 125.6, 149.3, 200.6, 288.9, 412.4, 630.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.2, 84.7, 145.1, 281.7, 525.4, 1044.3", \ + " 62.7, 85.0, 145.2, 281.7, 525.4, 1044.3", \ + " 63.8, 85.5, 145.4, 281.7, 525.4, 1044.3", \ + " 68.0, 88.9, 147.2, 282.0, 525.4, 1044.3", \ + " 78.0, 97.1, 153.1, 285.6, 526.5, 1044.3", \ + " 92.8, 112.9, 164.8, 293.9, 531.6, 1045.4", \ + " 110.4, 131.8, 187.7, 310.7, 543.7, 1051.8", \ + " 135.4, 158.0, 217.1, 341.6, 567.2, 1067.2", \ + " 172.5, 196.4, 258.6, 390.7, 610.9, 1098.8", \ + " 228.4, 253.6, 319.1, 457.6, 686.8, 1158.9" ); }} +timing() { /* ring osc delay xaoi21v0x1, path b to z 74.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 65.8 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 33.8, 52.4, 92.3, 167.6, 336.3", \ + " 34.7, 41.0, 59.2, 99.2, 174.1, 342.5", \ + " 40.2, 46.8, 64.5, 104.3, 178.9, 347.0", \ + " 45.6, 53.1, 71.6, 111.1, 185.2, 352.7", \ + " 53.0, 61.6, 82.5, 123.0, 196.0, 362.4", \ + " 61.0, 70.9, 94.2, 139.8, 211.4, 376.1", \ + " 70.3, 81.6, 107.9, 159.3, 234.8, 396.5", \ + " 80.7, 93.6, 123.6, 181.1, 265.9, 426.8", \ + " 92.3, 107.2, 141.7, 206.3, 302.3, 473.7", \ + " 105.0, 122.4, 162.4, 236.0, 345.3, 534.6" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.7, 100.5, 183.9, 360.7, 673.1, 1343.0", \ + " 74.1, 105.2, 186.3, 361.1, 672.7, 1342.1", \ + " 81.8, 111.6, 191.0, 363.7, 672.9, 1341.3", \ + " 93.5, 121.6, 198.6, 369.0, 675.3, 1340.1", \ + " 116.5, 141.5, 214.5, 380.7, 682.5, 1341.0", \ + " 139.9, 173.2, 240.7, 401.0, 696.6, 1347.1", \ + " 171.3, 205.9, 283.6, 436.6, 723.1, 1362.3", \ + " 214.9, 251.6, 339.4, 494.7, 769.7, 1392.8", \ + " 275.8, 315.3, 411.8, 586.9, 850.6, 1451.0", \ + " 361.6, 404.6, 511.9, 708.0, 985.4, 1559.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 60.5, 84.0, 135.2, 225.6, 417.2", \ + " 57.0, 66.6, 90.6, 142.2, 232.8, 424.7", \ + " 61.5, 71.2, 95.3, 147.1, 237.9, 429.8", \ + " 67.1, 76.8, 101.0, 153.1, 244.0, 436.0", \ + " 74.1, 85.1, 110.5, 162.8, 253.9, 446.1", \ + " 81.7, 93.9, 122.1, 176.4, 267.7, 460.1", \ + " 90.6, 104.6, 136.3, 195.8, 288.6, 481.2", \ + " 100.7, 117.2, 153.6, 219.8, 319.4, 512.7", \ + " 112.4, 132.0, 174.9, 250.4, 360.1, 560.6", \ + " 125.6, 149.3, 200.6, 288.9, 412.4, 630.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.2, 84.7, 145.1, 281.7, 525.4, 1044.3", \ + " 62.7, 85.0, 145.2, 281.7, 525.4, 1044.3", \ + " 63.8, 85.5, 145.4, 281.7, 525.4, 1044.3", \ + " 68.0, 88.9, 147.2, 282.0, 525.4, 1044.3", \ + " 78.0, 97.1, 153.1, 285.6, 526.5, 1044.3", \ + " 92.8, 112.9, 164.8, 293.9, 531.6, 1045.4", \ + " 110.4, 131.8, 187.7, 310.7, 543.7, 1051.8", \ + " 135.4, 158.0, 217.1, 341.6, 567.2, 1067.2", \ + " 172.5, 196.4, 258.6, 390.7, 610.9, 1098.8", \ + " 228.4, 253.6, 319.1, 457.6, 686.8, 1158.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 44.0 ; */ +/* intrinsic_fall : 65.8 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 33.8, 52.4, 92.3, 166.8, 334.7", \ + " 34.7, 41.0, 59.2, 99.1, 173.4, 341.0", \ + " 40.2, 46.8, 64.5, 104.2, 178.3, 345.5", \ + " 45.6, 53.1, 71.5, 111.1, 184.7, 351.2", \ + " 53.0, 61.6, 82.5, 123.0, 195.7, 361.0", \ + " 61.0, 70.8, 94.2, 139.7, 211.2, 374.7", \ + " 70.3, 81.6, 107.9, 159.3, 234.8, 395.2", \ + " 80.7, 93.6, 123.6, 181.1, 265.8, 425.9", \ + " 92.3, 107.2, 141.7, 206.3, 302.3, 473.5", \ + " 105.0, 122.4, 162.4, 236.0, 345.3, 534.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 67.7, 100.5, 184.0, 360.7, 672.5, 1342.6", \ + " 74.1, 105.2, 186.4, 361.1, 672.1, 1341.7", \ + " 81.8, 111.6, 191.0, 363.7, 672.3, 1340.9", \ + " 93.6, 121.7, 198.6, 369.0, 674.7, 1339.7", \ + " 116.5, 141.6, 214.6, 380.7, 682.1, 1340.7", \ + " 140.0, 173.2, 240.7, 401.1, 696.4, 1346.8", \ + " 171.3, 205.9, 283.6, 436.7, 723.0, 1362.1", \ + " 214.9, 251.6, 339.4, 494.7, 769.7, 1392.4", \ + " 275.9, 315.3, 411.9, 587.0, 850.6, 1450.8", \ + " 361.6, 404.6, 511.9, 708.0, 985.4, 1559.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 60.5, 83.9, 135.2, 225.6, 417.2", \ + " 57.0, 66.6, 90.6, 142.2, 232.8, 424.6", \ + " 61.5, 71.2, 95.3, 147.1, 237.9, 429.8", \ + " 67.1, 76.8, 101.0, 153.1, 244.0, 436.0", \ + " 74.1, 85.1, 110.5, 162.8, 253.9, 446.1", \ + " 81.7, 93.9, 122.1, 176.4, 267.7, 460.1", \ + " 90.6, 104.6, 136.3, 195.8, 288.6, 481.2", \ + " 100.7, 117.2, 153.6, 219.8, 319.4, 512.7", \ + " 112.4, 132.0, 174.9, 250.4, 360.1, 560.6", \ + " 125.6, 149.3, 200.6, 288.9, 412.4, 630.7" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 62.2, 84.7, 145.1, 281.7, 525.4, 1044.3", \ + " 62.7, 85.0, 145.2, 281.7, 525.4, 1044.3", \ + " 63.8, 85.5, 145.4, 281.7, 525.4, 1044.3", \ + " 68.0, 88.9, 147.2, 282.0, 525.4, 1044.3", \ + " 78.0, 97.1, 153.1, 285.6, 526.5, 1044.3", \ + " 92.8, 112.9, 164.8, 293.9, 531.6, 1045.4", \ + " 110.4, 131.8, 187.7, 310.7, 543.7, 1051.8", \ + " 135.4, 158.0, 217.1, 341.6, 567.2, 1067.2", \ + " 172.5, 196.4, 258.6, 390.7, 610.9, 1098.8", \ + " 228.4, 253.6, 319.1, 457.6, 686.8, 1158.9" ); }} +} +} +cell(xaoi21v0x2) { /* 2008-01-06:07h57 characteristic delay 15.1 ps */ +area : 19 ; /* tracks */ +cell_leakage_power : 3326 ; /* xaoi21v0x2 */ +cell_footprint : xaoi21 ; +pin(a1) { /* xaoi21v0x2 FO4 effort 1.81 logical effort 1.56 */ +direction : input ; +capacitance : 10.73 ; +rise_capacitance : 10.67 ; +fall_capacitance : 10.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaoi21v0x2 */ +} +pin(a2) { /* xaoi21v0x2 FO4 effort 1.74 logical effort 1.47 */ +direction : input ; +capacitance : 10.31 ; +rise_capacitance : 10.32 ; +fall_capacitance : 10.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaoi21v0x2 */ +} +pin(b) { /* xaoi21v0x2 FO4 effort 1.79 logical effort 2.25 */ +direction : input ; +capacitance : 15.55 ; +rise_capacitance : 15.30 ; +fall_capacitance : 15.79 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaoi21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 248 ; +max_fanout : 6 ; +function : "((a1*a2)^b)'" ; +internal_power(a1_z_n) { /* xaoi21v0x2 58.47 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 29.41, 29.41, 29.42, 29.42, 29.40", \ + " 29.01, 29.05, 29.11, 29.15, 29.15", \ + " 28.98, 29.03, 29.09, 29.16, 29.18", \ + " 29.14, 29.17, 29.24, 29.30, 29.33", \ + " 29.76, 29.75, 29.75, 29.77, 29.78", \ + " 31.10, 31.01, 30.87, 30.75, 30.67", \ + " 33.72, 33.48, 33.08, 32.65, 32.34", \ + " 38.33, 37.89, 37.06, 36.07, 35.28", \ + " 46.24, 45.50, 44.05, 42.14, 40.49", \ + " 59.36, 58.29, 56.02, 52.74, 49.64" ); }} +internal_power(a1_z_p) { /* xaoi21v0x2 65.82 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 32.98, 33.08, 33.23, 33.33, 33.36", \ + " 32.52, 32.63, 32.81, 32.94, 32.99", \ + " 32.47, 32.58, 32.77, 32.92, 32.99", \ + " 32.63, 32.73, 32.91, 33.08, 33.16", \ + " 33.31, 33.37, 33.51, 33.66, 33.74", \ + " 34.78, 34.78, 34.83, 34.91, 34.96", \ + " 37.62, 37.53, 37.42, 37.32, 37.26", \ + " 42.58, 42.36, 41.99, 41.59, 41.28", \ + " 50.99, 50.59, 49.84, 48.93, 48.17", \ + " 64.77, 64.15, 62.91, 61.23, 59.70" ); }} +internal_power(a2_z_n) { /* xaoi21v0x2 52.96 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 26.49, 26.51, 26.53, 26.54, 26.52", \ + " 26.13, 26.19, 26.28, 26.36, 26.39", \ + " 26.14, 26.20, 26.31, 26.41, 26.47", \ + " 26.35, 26.39, 26.48, 26.60, 26.67", \ + " 27.04, 27.03, 27.04, 27.10, 27.16", \ + " 28.45, 28.35, 28.19, 28.09, 28.06", \ + " 31.09, 30.84, 30.41, 29.97, 29.69", \ + " 35.61, 35.15, 34.30, 33.29, 32.53", \ + " 43.23, 42.49, 41.02, 39.13, 37.52", \ + " 55.82, 54.72, 52.43, 49.20, 46.22" ); }} +internal_power(a2_z_p) { /* xaoi21v0x2 60.25 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 30.05, 30.16, 30.31, 30.42, 30.45", \ + " 29.62, 29.75, 29.93, 30.09, 30.15", \ + " 29.61, 29.73, 29.93, 30.11, 30.19", \ + " 29.83, 29.94, 30.12, 30.31, 30.42", \ + " 30.60, 30.66, 30.80, 30.97, 31.08", \ + " 32.15, 32.15, 32.19, 32.28, 32.35", \ + " 35.03, 34.93, 34.80, 34.70, 34.66", \ + " 39.90, 39.67, 39.28, 38.87, 38.58", \ + " 48.02, 47.61, 46.86, 45.96, 45.22", \ + " 61.29, 60.65, 59.40, 57.75, 56.28" ); }} +internal_power(b_z_p) { /* xaoi21v0x2 55.73 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 27.61, 27.44, 27.03, 26.49, 26.07", \ + " 27.35, 27.21, 26.86, 26.36, 25.93", \ + " 27.65, 27.51, 27.19, 26.71, 26.27", \ + " 28.30, 28.17, 27.86, 27.38, 26.91", \ + " 29.83, 29.68, 29.36, 28.85, 28.32", \ + " 32.42, 32.24, 31.88, 31.31, 30.70", \ + " 36.76, 36.54, 36.10, 35.44, 34.69", \ + " 43.66, 43.34, 42.80, 41.99, 41.06", \ + " 54.80, 54.23, 53.39, 52.37, 51.20", \ + " 72.85, 71.88, 70.26, 68.72, 67.22" ); }} +internal_power(b_z_n) { /* xaoi21v0x2 42.21 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 20.89, 21.00, 21.07, 21.03, 20.94", \ + " 20.29, 20.44, 20.64, 20.74, 20.72", \ + " 20.42, 20.54, 20.73, 20.86, 20.87", \ + " 20.93, 20.98, 21.10, 21.22, 21.24", \ + " 22.28, 22.22, 22.18, 22.19, 22.16", \ + " 24.69, 24.50, 24.24, 24.02, 23.86", \ + " 28.88, 28.52, 27.94, 27.33, 26.88", \ + " 35.70, 35.10, 34.08, 32.90, 31.95", \ + " 46.76, 45.83, 44.18, 42.17, 40.43", \ + " 64.44, 63.13, 60.59, 57.35, 54.41" ); }} +timing() { /* ring osc delay xaoi21v0x2, path a1 to z 100.8 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.1 ; */ +/* intrinsic_fall : 68.0 ; */ +/* rise_resistance : 1.32 ; */ +/* fall_resistance : 1.24 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.6, 65.3, 87.6, 135.7, 218.9, 393.7", \ + " 64.4, 73.1, 95.4, 143.5, 226.7, 401.5", \ + " 70.7, 79.3, 101.6, 149.6, 232.8, 407.6", \ + " 79.3, 87.9, 110.0, 157.9, 241.0, 415.8", \ + " 94.0, 103.0, 125.0, 172.7, 255.6, 430.2", \ + " 111.3, 121.6, 146.0, 194.0, 276.6, 451.0", \ + " 133.0, 144.6, 172.5, 225.6, 308.5, 482.4", \ + " 160.4, 173.4, 204.8, 265.4, 354.9, 528.8", \ + " 196.6, 211.2, 246.6, 315.2, 417.4, 598.4", \ + " 245.5, 262.1, 302.2, 379.7, 496.1, 699.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 131.4, 156.8, 227.4, 392.0, 690.1, 1327.8", \ + " 133.4, 158.3, 228.2, 392.4, 690.2, 1327.8", \ + " 137.8, 162.2, 230.6, 393.2, 690.4, 1327.8", \ + " 145.5, 169.2, 236.2, 396.1, 691.1, 1327.9", \ + " 161.4, 184.1, 248.9, 405.0, 695.2, 1328.4", \ + " 186.7, 208.3, 270.5, 422.2, 706.8, 1331.6", \ + " 225.3, 246.7, 306.0, 452.5, 730.4, 1344.8", \ + " 274.7, 298.3, 360.0, 501.2, 771.7, 1374.2", \ + " 341.7, 367.3, 434.1, 577.4, 840.0, 1429.5", \ + " 435.5, 463.5, 535.6, 689.8, 949.3, 1525.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.3, 65.6, 86.4, 130.9, 209.0, 374.4", \ + " 61.7, 70.1, 90.9, 135.5, 213.7, 379.1", \ + " 64.7, 73.2, 94.1, 138.7, 216.9, 382.3", \ + " 68.6, 77.2, 98.2, 142.9, 221.1, 386.6", \ + " 73.7, 83.0, 105.3, 150.4, 228.6, 394.2", \ + " 78.8, 89.0, 113.2, 160.7, 239.3, 405.1", \ + " 83.6, 95.0, 121.9, 173.6, 255.1, 421.3", \ + " 86.5, 99.6, 130.2, 187.5, 275.5, 444.6", \ + " 85.8, 101.0, 136.4, 201.6, 298.5, 478.1", \ + " 78.2, 95.9, 137.4, 213.6, 323.0, 519.0" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 104.6, 124.9, 181.2, 311.9, 548.6, 1057.1", \ + " 106.4, 126.4, 182.3, 312.6, 548.9, 1057.1", \ + " 109.7, 129.4, 184.6, 313.8, 549.5, 1057.2", \ + " 115.5, 134.6, 188.8, 316.9, 550.9, 1057.5", \ + " 128.3, 146.4, 198.7, 324.2, 555.7, 1058.9", \ + " 150.0, 167.0, 216.0, 337.8, 565.5, 1063.9", \ + " 179.9, 197.6, 246.7, 362.5, 584.7, 1075.9", \ + " 223.0, 240.5, 289.8, 404.2, 618.5, 1100.1", \ + " 285.8, 303.6, 352.9, 468.6, 676.8, 1145.4", \ + " 376.5, 395.5, 446.4, 562.7, 773.1, 1226.1" ); }} +timing() { /* ring osc delay xaoi21v0x2, path a1 to z 127.4 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 102.5 ; */ +/* intrinsic_fall : 101.9 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.0, 107.9, 142.8, 218.5, 351.6, 633.4", \ + " 98.3, 112.2, 147.1, 222.8, 355.9, 637.8", \ + " 101.2, 115.0, 149.9, 225.7, 358.9, 640.8", \ + " 104.7, 118.5, 153.4, 229.3, 362.5, 644.4", \ + " 109.3, 123.2, 158.1, 234.0, 367.4, 649.4", \ + " 113.6, 127.5, 162.6, 238.7, 372.1, 654.2", \ + " 117.4, 131.4, 166.5, 242.8, 376.3, 658.6", \ + " 119.5, 133.9, 169.3, 245.5, 379.3, 661.7", \ + " 118.4, 133.2, 169.2, 246.0, 379.8, 662.4", \ + " 111.0, 126.5, 163.5, 241.3, 375.8, 658.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.0, 123.3, 211.3, 411.9, 770.3, 1533.3", \ + " 91.0, 123.3, 211.3, 411.9, 770.3, 1533.3", \ + " 91.2, 123.4, 211.3, 411.9, 770.3, 1533.3", \ + " 91.6, 123.7, 211.5, 411.9, 770.4, 1533.3", \ + " 93.0, 124.9, 212.2, 412.2, 770.4, 1533.3", \ + " 94.8, 126.5, 213.3, 412.8, 770.7, 1533.3", \ + " 97.4, 128.8, 215.1, 413.7, 771.1, 1533.5", \ + " 101.7, 132.7, 217.9, 415.5, 771.9, 1533.8", \ + " 108.3, 138.9, 223.1, 418.9, 773.8, 1534.5", \ + " 118.0, 148.2, 231.7, 425.5, 778.3, 1537.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.3, 89.5, 112.1, 160.4, 244.7, 423.0", \ + " 88.0, 97.2, 119.8, 168.1, 252.4, 430.8", \ + " 94.2, 103.4, 126.1, 174.3, 258.7, 437.1", \ + " 102.5, 111.8, 134.4, 182.7, 267.1, 445.5", \ + " 116.3, 125.5, 148.2, 196.5, 280.9, 459.3", \ + " 132.8, 142.4, 165.5, 213.8, 298.1, 476.5", \ + " 153.8, 163.7, 187.3, 236.0, 320.4, 498.7", \ + " 180.5, 191.0, 215.1, 264.2, 348.8, 527.1", \ + " 216.0, 227.1, 252.3, 302.1, 386.7, 565.2", \ + " 263.7, 275.9, 302.8, 354.0, 439.1, 617.7" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 63.8, 82.7, 133.4, 249.7, 459.8, 909.3", \ + " 63.9, 82.8, 133.4, 249.7, 459.8, 909.3", \ + " 64.1, 82.9, 133.5, 249.7, 459.8, 909.3", \ + " 64.6, 83.4, 133.7, 249.8, 459.8, 909.3", \ + " 67.2, 85.5, 135.0, 250.3, 460.0, 909.4", \ + " 71.5, 89.5, 138.1, 252.0, 460.5, 909.4", \ + " 76.6, 94.5, 142.4, 254.7, 461.9, 909.8", \ + " 83.4, 101.1, 148.0, 258.5, 464.0, 910.7", \ + " 92.6, 110.4, 156.4, 264.2, 467.2, 912.1", \ + " 105.2, 123.4, 169.1, 274.3, 473.4, 914.9" ); }} +timing() { /* ring osc delay xaoi21v0x2, path a2 to z 100.0 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.6 ; */ +/* intrinsic_fall : 69.6 ; */ +/* rise_resistance : 1.31 ; */ +/* fall_resistance : 1.24 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.5, 60.1, 82.2, 129.9, 212.8, 387.4", \ + " 59.2, 67.8, 89.9, 137.7, 220.7, 395.3", \ + " 65.4, 74.0, 96.0, 143.8, 226.7, 401.4", \ + " 73.9, 82.4, 104.3, 152.0, 234.9, 409.5", \ + " 87.7, 97.0, 119.2, 166.6, 249.3, 423.9", \ + " 103.6, 114.2, 139.3, 187.7, 270.2, 444.5", \ + " 123.3, 135.4, 164.2, 218.5, 301.7, 475.7", \ + " 148.1, 161.7, 194.4, 256.6, 347.5, 521.8", \ + " 180.2, 195.8, 233.0, 303.8, 408.1, 590.6", \ + " 222.6, 240.5, 283.3, 364.4, 483.7, 690.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.3, 147.0, 218.2, 383.7, 682.4, 1320.6", \ + " 123.5, 148.6, 218.9, 384.0, 682.5, 1320.6", \ + " 128.1, 152.6, 221.4, 384.7, 682.7, 1320.7", \ + " 136.0, 159.8, 227.1, 387.6, 683.3, 1320.7", \ + " 152.3, 175.0, 240.0, 396.7, 687.4, 1321.1", \ + " 177.8, 199.4, 261.8, 414.0, 699.0, 1324.2", \ + " 215.4, 237.8, 297.2, 444.4, 722.7, 1337.4", \ + " 263.1, 287.5, 351.0, 492.9, 764.1, 1367.0", \ + " 328.7, 355.0, 423.2, 569.0, 832.5, 1422.7", \ + " 421.5, 450.0, 523.3, 680.0, 941.9, 1518.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.5, 61.8, 82.6, 127.1, 205.2, 370.6", \ + " 59.4, 67.8, 88.6, 133.2, 211.3, 376.8", \ + " 64.1, 72.5, 93.4, 138.0, 216.2, 381.6", \ + " 70.1, 78.7, 99.8, 144.5, 222.7, 388.1", \ + " 78.0, 87.8, 110.4, 155.7, 234.0, 399.6", \ + " 85.8, 96.9, 122.6, 171.0, 250.0, 415.9", \ + " 93.2, 106.0, 135.5, 190.3, 272.8, 439.9", \ + " 99.6, 114.2, 148.3, 211.2, 303.1, 473.8", \ + " 103.6, 120.4, 159.9, 232.9, 337.7, 522.0", \ + " 102.8, 122.3, 168.3, 253.6, 375.2, 583.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.2, 119.6, 173.3, 298.5, 525.8, 1014.4", \ + " 102.7, 121.6, 174.7, 299.2, 526.1, 1014.4", \ + " 107.7, 125.9, 177.6, 300.5, 526.6, 1014.5", \ + " 116.0, 133.5, 183.7, 304.1, 527.7, 1014.6", \ + " 132.5, 149.0, 197.1, 314.0, 532.8, 1015.3", \ + " 157.5, 173.3, 218.6, 331.8, 545.5, 1019.4", \ + " 188.9, 206.5, 253.7, 361.9, 570.0, 1033.9", \ + " 232.3, 250.7, 300.2, 409.8, 611.2, 1064.7", \ + " 294.2, 313.6, 365.2, 480.3, 678.9, 1120.6", \ + " 382.6, 403.9, 459.0, 578.9, 785.7, 1216.0" ); }} +timing() { /* ring osc delay xaoi21v0x2, path a2 to z 124.8 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.4 ; */ +/* intrinsic_fall : 95.0 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.33 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.2, 104.1, 138.9, 214.7, 347.7, 629.6", \ + " 96.0, 109.9, 144.7, 220.5, 353.6, 635.5", \ + " 100.5, 114.3, 149.1, 225.0, 358.2, 640.1", \ + " 105.6, 119.4, 154.2, 230.1, 363.4, 645.4", \ + " 112.1, 125.9, 160.8, 236.7, 370.1, 652.2", \ + " 118.2, 132.0, 167.1, 243.2, 376.6, 658.8", \ + " 124.3, 138.3, 173.3, 249.6, 383.1, 665.4", \ + " 129.7, 143.9, 179.0, 255.2, 389.1, 671.4", \ + " 133.1, 147.7, 183.4, 259.8, 393.6, 676.2", \ + " 132.4, 147.7, 184.3, 261.3, 395.5, 678.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.0, 123.3, 211.3, 411.9, 770.3, 1533.3", \ + " 91.1, 123.3, 211.3, 411.9, 770.3, 1533.3", \ + " 91.2, 123.5, 211.4, 411.9, 770.4, 1533.3", \ + " 91.7, 123.9, 211.6, 412.0, 770.4, 1533.3", \ + " 93.3, 125.2, 212.4, 412.3, 770.4, 1533.3", \ + " 95.3, 126.9, 213.6, 413.0, 770.8, 1533.3", \ + " 97.7, 129.0, 215.3, 413.9, 771.3, 1533.6", \ + " 101.9, 132.7, 217.9, 415.5, 772.0, 1534.0", \ + " 108.7, 138.9, 222.7, 418.5, 773.7, 1534.7", \ + " 118.8, 148.5, 231.1, 424.5, 777.6, 1536.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.2, 83.3, 105.8, 153.8, 238.1, 416.4", \ + " 81.8, 90.9, 113.3, 161.5, 245.7, 424.0", \ + " 87.9, 97.0, 119.5, 167.6, 251.9, 430.2", \ + " 95.8, 105.0, 127.5, 175.6, 259.9, 438.2", \ + " 108.4, 117.7, 140.2, 188.4, 272.7, 451.0", \ + " 123.1, 132.7, 155.8, 204.0, 288.3, 466.5", \ + " 141.8, 151.7, 175.2, 224.0, 308.3, 486.5", \ + " 165.5, 175.9, 200.1, 249.2, 333.8, 512.0", \ + " 196.4, 207.5, 232.9, 282.9, 367.5, 546.0", \ + " 236.9, 249.1, 276.5, 328.5, 414.0, 592.5" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 62.1, 81.2, 132.2, 248.9, 459.3, 909.0", \ + " 62.2, 81.3, 132.2, 248.9, 459.3, 909.0", \ + " 62.4, 81.4, 132.3, 249.0, 459.3, 909.0", \ + " 63.2, 82.0, 132.7, 249.1, 459.4, 909.0", \ + " 66.2, 84.6, 134.3, 249.8, 459.5, 909.0", \ + " 70.1, 88.5, 137.5, 251.6, 460.2, 909.1", \ + " 75.1, 93.2, 141.6, 254.4, 461.7, 909.6", \ + " 81.9, 99.9, 147.2, 258.3, 463.8, 910.5", \ + " 91.5, 109.7, 156.4, 264.8, 467.7, 912.0", \ + " 104.7, 123.4, 170.2, 276.5, 475.4, 915.8" ); }} +timing() { /* ring osc delay xaoi21v0x2, path b to z 92.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 73.9 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 2.08 ; */ +/* fall_resistance : 1.12 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 75.0, 108.1, 182.0, 313.8, 594.8", \ + " 68.6, 81.6, 115.1, 189.4, 321.4, 602.4", \ + " 72.8, 85.8, 119.5, 194.1, 326.3, 607.4", \ + " 77.1, 90.1, 124.0, 198.9, 331.2, 612.3", \ + " 82.6, 95.3, 129.4, 204.5, 337.0, 618.2", \ + " 88.4, 101.1, 134.5, 209.7, 342.3, 623.6", \ + " 93.9, 106.7, 140.1, 214.6, 347.4, 628.7", \ + " 98.2, 111.3, 144.9, 219.5, 351.6, 633.0", \ + " 100.3, 113.8, 147.8, 222.9, 355.1, 635.9", \ + " 97.9, 112.2, 146.9, 223.0, 356.1, 636.7" ); } +rise_transition(x2_260_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 67.2, 95.9, 172.4, 343.0, 645.7, 1288.9", \ + " 67.2, 95.9, 172.4, 343.0, 645.7, 1288.9", \ + " 67.3, 96.0, 172.4, 343.0, 645.7, 1288.9", \ + " 68.3, 96.2, 172.4, 343.0, 645.7, 1288.9", \ + " 66.9, 95.8, 172.9, 343.0, 645.7, 1288.9", \ + " 69.1, 95.8, 171.4, 343.2, 645.7, 1288.9", \ + " 72.2, 98.2, 171.6, 341.9, 645.8, 1288.9", \ + " 76.7, 102.0, 174.2, 341.6, 644.7, 1288.9", \ + " 83.2, 107.7, 178.7, 345.1, 643.7, 1288.3", \ + " 92.4, 116.4, 185.7, 352.1, 648.2, 1286.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.4, 50.2, 66.1, 106.2, 181.8, 345.4", \ + " 52.3, 58.1, 74.1, 114.1, 189.5, 352.9", \ + " 58.5, 64.3, 80.3, 120.0, 195.1, 358.3", \ + " 66.4, 72.4, 88.2, 127.5, 202.2, 365.0", \ + " 79.4, 85.6, 101.0, 139.6, 213.6, 375.6", \ + " 93.5, 100.4, 117.4, 155.3, 228.3, 389.2", \ + " 110.5, 118.0, 136.2, 176.2, 248.5, 408.0", \ + " 131.5, 139.8, 159.1, 200.2, 275.5, 434.2", \ + " 158.7, 167.9, 188.7, 230.9, 307.3, 471.2", \ + " 194.4, 204.7, 227.7, 271.8, 348.7, 516.4" ); } +fall_transition(x2_260_6x10) { /* 14%-86%, scaled to 0%-100% */ +values( " 98.9, 117.2, 158.8, 272.5, 489.3, 954.4", \ + " 89.2, 107.0, 156.2, 271.9, 489.1, 954.4", \ + " 86.2, 104.1, 153.2, 271.3, 488.7, 954.3", \ + " 84.8, 103.0, 152.3, 269.9, 488.1, 954.2", \ + " 84.4, 103.8, 153.8, 270.1, 486.2, 953.7", \ + " 86.1, 105.3, 156.9, 273.4, 486.7, 951.4", \ + " 91.0, 111.0, 161.2, 278.6, 491.4, 951.3", \ + " 98.1, 118.7, 169.7, 284.2, 499.2, 957.6", \ + " 108.0, 129.5, 181.4, 295.6, 506.8, 970.9", \ + " 122.3, 144.5, 197.9, 312.2, 520.8, 984.4" ); }} +timing() { /* ring osc delay xaoi21v0x2, path b to z 62.3 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.2 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 27.3, 41.6, 72.9, 126.4, 238.3", \ + " 29.6, 34.7, 48.8, 80.2, 133.8, 245.9", \ + " 33.9, 40.1, 54.3, 85.8, 139.5, 251.7", \ + " 38.3, 45.3, 61.6, 93.1, 147.1, 259.5", \ + " 44.3, 52.5, 71.2, 105.9, 160.2, 273.1", \ + " 50.9, 60.4, 81.8, 121.7, 178.5, 292.2", \ + " 58.5, 69.4, 94.1, 139.7, 204.7, 320.1", \ + " 66.8, 79.5, 108.1, 160.0, 235.0, 359.6", \ + " 76.0, 90.8, 124.0, 183.7, 269.8, 413.0", \ + " 85.9, 103.2, 142.2, 211.6, 310.2, 476.0" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.3, 71.8, 134.7, 266.2, 494.4, 977.1", \ + " 55.6, 78.1, 138.6, 267.8, 494.8, 977.4", \ + " 64.2, 85.2, 144.0, 271.7, 496.5, 977.7", \ + " 77.1, 96.3, 152.6, 278.3, 501.0, 978.9", \ + " 96.8, 118.3, 170.3, 292.4, 511.8, 985.0", \ + " 118.9, 144.5, 198.9, 315.9, 530.7, 998.5", \ + " 149.9, 177.8, 242.3, 355.7, 563.4, 1023.8", \ + " 192.1, 222.6, 295.1, 419.1, 618.0, 1066.9", \ + " 250.8, 284.4, 366.3, 509.4, 708.7, 1140.8", \ + " 333.8, 371.0, 463.5, 628.8, 853.0, 1268.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 50.0, 72.0, 120.1, 204.4, 382.8", \ + " 47.2, 56.0, 78.4, 126.8, 211.4, 389.9", \ + " 51.6, 60.5, 82.9, 131.6, 216.3, 394.9", \ + " 56.2, 65.9, 88.5, 137.3, 222.2, 400.9", \ + " 61.9, 72.6, 97.5, 146.8, 231.9, 410.9", \ + " 68.2, 80.2, 107.7, 160.1, 245.5, 424.8", \ + " 75.6, 89.4, 120.4, 178.0, 266.1, 445.7", \ + " 83.8, 100.2, 136.1, 200.4, 295.8, 476.8", \ + " 93.1, 112.8, 155.3, 228.8, 334.1, 524.3", \ + " 103.4, 127.3, 178.2, 264.4, 383.3, 591.3" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 56.7, 78.1, 135.2, 263.3, 490.7, 973.1", \ + " 56.7, 78.1, 135.1, 263.2, 490.7, 973.1", \ + " 59.6, 80.0, 135.8, 263.2, 490.6, 973.1", \ + " 64.5, 84.0, 138.7, 264.5, 490.6, 973.1", \ + " 76.2, 93.3, 145.6, 269.2, 492.8, 973.0", \ + " 87.7, 108.0, 158.5, 278.6, 499.1, 975.2", \ + " 104.6, 126.1, 181.3, 297.2, 512.7, 982.9", \ + " 129.8, 152.4, 210.4, 330.4, 538.3, 1000.1", \ + " 168.4, 191.9, 252.4, 379.0, 584.9, 1034.3", \ + " 227.7, 251.6, 314.7, 446.3, 662.1, 1098.2" ); }} +timing() { /* ring osc delay xaoi21v0x2, path b to z 67.0 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.2 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 30.1, 47.9, 87.5, 161.7, 327.7", \ + " 31.2, 37.2, 54.6, 94.1, 168.2, 333.8", \ + " 35.7, 42.6, 59.7, 99.0, 173.0, 338.2", \ + " 40.4, 48.1, 66.7, 105.5, 179.2, 343.8", \ + " 46.8, 55.6, 76.6, 117.1, 189.8, 353.4", \ + " 53.9, 64.0, 87.6, 133.1, 204.7, 366.8", \ + " 62.4, 73.9, 100.6, 151.7, 227.8, 387.0", \ + " 71.9, 85.3, 115.7, 173.0, 257.6, 417.4", \ + " 82.9, 98.5, 133.6, 198.1, 293.4, 464.5", \ + " 95.5, 113.7, 154.6, 228.4, 336.5, 524.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.9, 98.9, 183.2, 359.7, 671.1, 1338.2", \ + " 70.2, 103.2, 185.6, 360.0, 670.3, 1337.0", \ + " 77.7, 109.1, 189.9, 362.6, 670.3, 1335.9", \ + " 89.2, 118.7, 196.9, 367.5, 672.5, 1334.4", \ + " 112.7, 138.0, 212.1, 378.7, 679.4, 1335.1", \ + " 130.9, 167.5, 237.6, 398.3, 693.1, 1340.8", \ + " 159.9, 197.4, 279.6, 433.1, 719.0, 1355.6", \ + " 200.8, 239.9, 332.3, 490.1, 764.9, 1385.3", \ + " 258.7, 300.0, 401.5, 580.3, 844.6, 1442.9", \ + " 340.8, 385.1, 497.1, 698.2, 977.7, 1550.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.6, 50.5, 72.8, 121.0, 205.4, 383.8", \ + " 47.6, 56.7, 79.3, 128.0, 212.6, 391.1", \ + " 52.1, 61.1, 83.9, 132.7, 217.5, 396.1", \ + " 56.7, 66.5, 89.4, 138.4, 223.4, 402.2", \ + " 62.3, 73.1, 98.3, 147.7, 233.0, 412.0", \ + " 68.4, 80.5, 108.2, 160.8, 246.4, 425.7", \ + " 75.5, 89.5, 120.7, 178.6, 266.9, 446.5", \ + " 83.3, 99.9, 136.1, 200.7, 296.4, 477.6", \ + " 91.8, 111.8, 154.8, 228.8, 334.5, 524.8", \ + " 100.8, 125.1, 176.7, 263.7, 383.2, 591.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.2, 79.0, 136.4, 264.6, 491.9, 974.1", \ + " 57.2, 79.0, 136.3, 264.6, 491.9, 974.1", \ + " 59.9, 80.7, 136.8, 264.5, 491.8, 974.1", \ + " 64.6, 84.5, 139.5, 265.6, 491.7, 974.1", \ + " 76.2, 93.4, 146.1, 270.0, 493.8, 974.0", \ + " 87.6, 108.1, 158.8, 279.2, 499.9, 976.1", \ + " 104.4, 126.0, 181.5, 297.4, 513.2, 983.7", \ + " 129.1, 152.0, 210.4, 330.6, 538.7, 1000.7", \ + " 166.5, 190.8, 252.3, 379.2, 585.1, 1034.8", \ + " 223.6, 248.8, 313.7, 446.5, 662.5, 1098.6" ); }} +timing() { /* ring osc delay xaoi21v0x2, path b to z 67.0 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 39.2 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 30.1, 47.9, 87.6, 162.9, 329.3", \ + " 31.2, 37.2, 54.6, 94.1, 169.3, 335.4", \ + " 35.7, 42.6, 59.7, 99.1, 173.9, 339.8", \ + " 40.4, 48.1, 66.7, 105.6, 179.9, 345.4", \ + " 46.8, 55.6, 76.6, 117.2, 190.2, 354.9", \ + " 54.0, 64.0, 87.6, 133.2, 204.9, 368.3", \ + " 62.4, 73.9, 100.6, 151.8, 227.9, 388.4", \ + " 71.9, 85.3, 115.7, 173.0, 257.6, 418.4", \ + " 82.9, 98.5, 133.6, 198.1, 293.4, 464.7", \ + " 95.5, 113.7, 154.6, 228.4, 336.5, 524.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.9, 98.8, 183.2, 359.8, 671.5, 1338.7", \ + " 70.2, 103.2, 185.5, 360.0, 670.7, 1337.4", \ + " 77.6, 109.1, 189.8, 362.6, 670.8, 1336.3", \ + " 89.2, 118.6, 196.9, 367.5, 673.1, 1334.8", \ + " 112.7, 138.0, 212.1, 378.6, 679.9, 1335.4", \ + " 130.9, 167.5, 237.5, 398.3, 693.4, 1341.1", \ + " 159.9, 197.4, 279.5, 433.0, 719.1, 1355.8", \ + " 200.8, 239.8, 332.3, 490.0, 764.8, 1385.7", \ + " 258.7, 300.0, 401.4, 580.3, 844.6, 1443.2", \ + " 340.8, 385.1, 497.1, 698.1, 977.7, 1550.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.6, 50.5, 72.8, 121.0, 205.4, 383.8", \ + " 47.6, 56.7, 79.3, 128.0, 212.6, 391.1", \ + " 52.1, 61.1, 83.9, 132.7, 217.5, 396.1", \ + " 56.7, 66.5, 89.4, 138.4, 223.4, 402.2", \ + " 62.3, 73.1, 98.3, 147.7, 233.0, 412.0", \ + " 68.4, 80.5, 108.2, 160.8, 246.4, 425.7", \ + " 75.5, 89.5, 120.7, 178.6, 266.9, 446.5", \ + " 83.3, 99.9, 136.1, 200.7, 296.4, 477.6", \ + " 91.8, 111.8, 154.8, 228.8, 334.5, 524.8", \ + " 100.8, 125.1, 176.7, 263.7, 383.2, 591.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.3, 79.0, 136.4, 264.6, 491.9, 974.1", \ + " 57.2, 79.0, 136.3, 264.6, 491.9, 974.1", \ + " 59.9, 80.7, 136.8, 264.5, 491.8, 974.1", \ + " 64.6, 84.5, 139.5, 265.6, 491.7, 974.1", \ + " 76.2, 93.4, 146.1, 270.0, 493.8, 974.0", \ + " 87.6, 108.1, 158.8, 279.2, 499.9, 976.1", \ + " 104.4, 126.0, 181.5, 297.4, 513.2, 983.7", \ + " 129.1, 152.0, 210.4, 330.6, 538.7, 1000.7", \ + " 166.5, 190.8, 252.3, 379.2, 585.1, 1034.8", \ + " 223.6, 248.9, 313.7, 446.5, 662.5, 1098.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 39.2 ; */ +/* intrinsic_fall : 56.4 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 1.35 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.8, 30.1, 47.9, 87.5, 161.7, 327.7", \ + " 31.2, 37.2, 54.6, 94.1, 168.2, 333.8", \ + " 35.7, 42.6, 59.7, 99.0, 173.0, 338.2", \ + " 40.4, 48.1, 66.7, 105.5, 179.2, 343.8", \ + " 46.8, 55.6, 76.6, 117.1, 189.8, 353.4", \ + " 53.9, 64.0, 87.6, 133.1, 204.7, 366.8", \ + " 62.4, 73.9, 100.6, 151.7, 227.8, 387.0", \ + " 71.9, 85.3, 115.7, 173.0, 257.6, 417.4", \ + " 82.9, 98.5, 133.6, 198.1, 293.4, 464.5", \ + " 95.5, 113.7, 154.6, 228.4, 336.5, 524.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 63.9, 98.9, 183.2, 359.7, 671.1, 1338.2", \ + " 70.2, 103.2, 185.6, 360.0, 670.3, 1337.0", \ + " 77.7, 109.1, 189.9, 362.6, 670.3, 1335.9", \ + " 89.2, 118.7, 196.9, 367.5, 672.5, 1334.4", \ + " 112.7, 138.0, 212.1, 378.7, 679.4, 1335.1", \ + " 130.9, 167.5, 237.6, 398.3, 693.1, 1340.8", \ + " 159.9, 197.4, 279.6, 433.1, 719.0, 1355.6", \ + " 200.8, 239.9, 332.3, 490.1, 764.9, 1385.3", \ + " 258.7, 300.0, 401.5, 580.3, 844.6, 1442.9", \ + " 340.8, 385.1, 497.1, 698.2, 977.7, 1550.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.6, 50.5, 72.8, 121.0, 205.4, 383.8", \ + " 47.6, 56.7, 79.3, 128.0, 212.6, 391.1", \ + " 52.1, 61.1, 83.9, 132.7, 217.5, 396.1", \ + " 56.7, 66.5, 89.4, 138.4, 223.4, 402.2", \ + " 62.3, 73.1, 98.3, 147.7, 233.0, 412.0", \ + " 68.4, 80.5, 108.2, 160.8, 246.4, 425.7", \ + " 75.5, 89.5, 120.7, 178.6, 266.9, 446.5", \ + " 83.3, 99.9, 136.1, 200.7, 296.4, 477.6", \ + " 91.8, 111.8, 154.8, 228.8, 334.5, 524.8", \ + " 100.8, 125.1, 176.7, 263.7, 383.2, 591.6" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 57.2, 79.0, 136.4, 264.6, 491.9, 974.1", \ + " 57.2, 79.0, 136.3, 264.6, 491.9, 974.1", \ + " 59.9, 80.7, 136.8, 264.5, 491.8, 974.1", \ + " 64.6, 84.5, 139.5, 265.6, 491.7, 974.1", \ + " 76.2, 93.4, 146.1, 270.0, 493.8, 974.0", \ + " 87.6, 108.1, 158.8, 279.2, 499.9, 976.1", \ + " 104.4, 126.0, 181.5, 297.4, 513.2, 983.7", \ + " 129.1, 152.0, 210.4, 330.6, 538.7, 1000.7", \ + " 166.5, 190.8, 252.3, 379.2, 585.1, 1034.8", \ + " 223.6, 248.8, 313.7, 446.5, 662.5, 1098.6" ); }} +} +} +cell(xaon21v0x05) { /* 2008-01-06:07h58 characteristic delay 18.7 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1005 ; /* xaon21v0x05 */ +cell_footprint : xaon21 ; +pin(a1) { /* xaon21v0x05 FO4 effort 1.92 logical effort 1.77 */ +direction : input ; +capacitance : 3.74 ; +rise_capacitance : 3.73 ; +fall_capacitance : 3.74 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaon21v0x05 */ +} +pin(a2) { /* xaon21v0x05 FO4 effort 1.94 logical effort 1.82 */ +direction : input ; +capacitance : 3.88 ; +rise_capacitance : 3.89 ; +fall_capacitance : 3.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaon21v0x05 */ +} +pin(b) { /* xaon21v0x05 FO4 effort 2.29 logical effort 2.98 */ +direction : input ; +capacitance : 4.60 ; +rise_capacitance : 4.66 ; +fall_capacitance : 4.54 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaon21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 77 ; +max_fanout : 2 ; +function : "((a1*a2)^b)" ; +internal_power(a1_z_n) { /* xaon21v0x05 18.49 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 9.31, 9.31, 9.31, 9.31, 9.30", \ + " 9.17, 9.19, 9.20, 9.22, 9.22", \ + " 9.17, 9.18, 9.20, 9.22, 9.22", \ + " 9.22, 9.23, 9.24, 9.26, 9.27", \ + " 9.42, 9.41, 9.41, 9.42, 9.42", \ + " 9.85, 9.82, 9.78, 9.74, 9.72", \ + " 10.70, 10.62, 10.50, 10.36, 10.27", \ + " 12.19, 12.05, 11.79, 11.48, 11.25", \ + " 14.75, 14.52, 14.06, 13.47, 12.96", \ + " 19.01, 18.67, 17.95, 16.92, 15.96" ); }} +internal_power(a1_z_p) { /* xaon21v0x05 21.20 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 10.59, 10.64, 10.71, 10.76, 10.78", \ + " 10.45, 10.49, 10.57, 10.63, 10.66", \ + " 10.43, 10.48, 10.55, 10.62, 10.66", \ + " 10.48, 10.52, 10.60, 10.67, 10.71", \ + " 10.70, 10.73, 10.79, 10.85, 10.89", \ + " 11.17, 11.18, 11.21, 11.25, 11.29", \ + " 12.09, 12.07, 12.04, 12.03, 12.03", \ + " 13.71, 13.64, 13.52, 13.41, 13.33", \ + " 16.44, 16.31, 16.07, 15.79, 15.56", \ + " 20.93, 20.72, 20.32, 19.78, 19.31" ); }} +internal_power(a2_z_n) { /* xaon21v0x05 17.00 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 8.53, 8.53, 8.54, 8.54, 8.54", \ + " 8.40, 8.42, 8.45, 8.47, 8.48", \ + " 8.39, 8.41, 8.45, 8.48, 8.50", \ + " 8.45, 8.47, 8.50, 8.54, 8.56", \ + " 8.67, 8.67, 8.68, 8.70, 8.72", \ + " 9.12, 9.09, 9.05, 9.02, 9.02", \ + " 9.96, 9.88, 9.75, 9.63, 9.55", \ + " 11.40, 11.26, 11.00, 10.70, 10.48", \ + " 13.83, 13.60, 13.15, 12.58, 12.10", \ + " 17.85, 17.51, 16.81, 15.82, 14.92" ); }} +internal_power(a2_z_p) { /* xaon21v0x05 19.68 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 9.82, 9.86, 9.92, 9.97, 9.99", \ + " 9.66, 9.72, 9.79, 9.85, 9.88", \ + " 9.65, 9.71, 9.79, 9.86, 9.89", \ + " 9.72, 9.76, 9.84, 9.92, 9.96", \ + " 9.96, 9.99, 10.05, 10.12, 10.17", \ + " 10.45, 10.46, 10.49, 10.53, 10.57", \ + " 11.37, 11.34, 11.31, 11.30, 11.30", \ + " 12.93, 12.85, 12.74, 12.63, 12.55", \ + " 15.53, 15.40, 15.16, 14.89, 14.68", \ + " 19.80, 19.59, 19.19, 18.67, 18.23" ); }} +internal_power(b_z_n) { /* xaon21v0x05 14.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 7.42, 7.46, 7.52, 7.55, 7.56", \ + " 7.23, 7.28, 7.36, 7.43, 7.46", \ + " 7.27, 7.31, 7.38, 7.46, 7.50", \ + " 7.42, 7.44, 7.50, 7.57, 7.62", \ + " 7.81, 7.81, 7.82, 7.87, 7.91", \ + " 8.56, 8.51, 8.45, 8.43, 8.44", \ + " 9.87, 9.76, 9.60, 9.45, 9.39", \ + " 12.03, 11.86, 11.57, 11.23, 10.99", \ + " 15.55, 15.31, 14.85, 14.24, 13.73", \ + " 21.19, 20.87, 20.21, 19.27, 18.36" ); }} +internal_power(b_z_p) { /* xaon21v0x05 19.70 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 9.81, 9.74, 9.61, 9.45, 9.33", \ + " 9.71, 9.66, 9.54, 9.38, 9.25", \ + " 9.80, 9.75, 9.64, 9.48, 9.34", \ + " 10.01, 9.96, 9.85, 9.68, 9.53", \ + " 10.49, 10.44, 10.32, 10.14, 9.96", \ + " 11.33, 11.27, 11.13, 10.92, 10.71", \ + " 12.76, 12.68, 12.51, 12.26, 11.99", \ + " 15.06, 14.95, 14.73, 14.41, 14.07", \ + " 18.77, 18.60, 18.29, 17.88, 17.42", \ + " 24.73, 24.48, 24.00, 23.40, 22.79" ); }} +timing() { /* ring osc delay xaon21v0x05, path a1 to z 100.7 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.5 ; */ +/* intrinsic_fall : 75.5 ; */ +/* rise_resistance : 3.90 ; */ +/* fall_resistance : 4.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 58.0, 79.1, 123.5, 200.3, 362.3", \ + " 57.5, 65.9, 86.9, 131.3, 208.0, 370.1", \ + " 63.7, 72.0, 93.0, 137.3, 214.0, 376.0", \ + " 72.0, 80.3, 101.2, 145.4, 222.0, 383.9", \ + " 84.9, 93.9, 115.4, 159.7, 236.1, 397.9", \ + " 99.6, 109.7, 133.9, 179.9, 256.2, 417.8", \ + " 117.8, 129.1, 156.3, 208.0, 286.2, 447.7", \ + " 140.4, 153.0, 183.5, 241.9, 328.3, 491.2", \ + " 169.4, 183.7, 218.0, 283.9, 381.7, 555.0", \ + " 207.4, 223.6, 262.7, 337.5, 448.4, 643.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.2, 142.5, 210.0, 367.4, 652.2, 1262.1", \ + " 121.3, 145.0, 211.5, 368.1, 652.5, 1262.2", \ + " 126.7, 149.7, 214.8, 369.5, 653.1, 1262.3", \ + " 135.4, 157.8, 221.3, 373.5, 654.4, 1262.6", \ + " 153.1, 174.3, 235.4, 383.8, 660.2, 1263.8", \ + " 180.2, 200.3, 258.6, 402.5, 673.5, 1269.3", \ + " 219.4, 240.2, 295.7, 434.2, 698.7, 1285.0", \ + " 270.2, 292.3, 350.9, 484.3, 741.3, 1316.7", \ + " 340.3, 363.8, 425.9, 562.7, 810.9, 1373.4", \ + " 439.4, 464.9, 531.2, 675.6, 922.6, 1469.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.5, 73.8, 97.2, 146.8, 232.8, 413.5", \ + " 68.8, 78.2, 101.6, 151.4, 237.4, 418.1", \ + " 71.9, 81.3, 104.7, 154.6, 240.7, 421.5", \ + " 76.1, 85.5, 109.0, 158.9, 245.2, 426.1", \ + " 82.3, 92.4, 116.5, 166.7, 253.2, 434.4", \ + " 89.1, 99.9, 126.0, 177.7, 264.7, 446.5", \ + " 96.4, 108.4, 136.9, 192.7, 281.7, 464.6", \ + " 103.5, 117.0, 148.8, 209.8, 305.1, 490.4", \ + " 109.2, 124.6, 160.9, 229.2, 332.9, 527.5", \ + " 111.3, 129.1, 171.2, 249.6, 365.0, 576.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 116.3, 138.6, 201.0, 346.7, 610.5, 1176.6", \ + " 117.5, 139.6, 201.8, 347.2, 610.7, 1176.7", \ + " 120.5, 142.2, 203.5, 348.1, 611.2, 1176.9", \ + " 125.7, 146.9, 207.4, 350.6, 612.3, 1177.2", \ + " 137.4, 157.7, 216.3, 357.3, 616.5, 1178.4", \ + " 158.2, 176.8, 232.4, 369.8, 625.6, 1182.9", \ + " 187.9, 207.4, 261.2, 392.8, 643.4, 1194.3", \ + " 230.0, 249.6, 304.4, 431.9, 675.0, 1217.2", \ + " 291.8, 311.5, 366.3, 495.4, 729.8, 1259.8", \ + " 381.8, 402.6, 458.5, 587.7, 822.7, 1335.6" ); }} +timing() { /* ring osc delay xaon21v0x05, path a1 to z 122.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 110.5 ; */ +/* intrinsic_fall : 91.7 ; */ +/* rise_resistance : 6.57 ; */ +/* fall_resistance : 3.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.0, 115.4, 149.4, 223.5, 353.8, 629.7", \ + " 106.2, 119.5, 153.5, 227.6, 357.9, 633.8", \ + " 109.1, 122.4, 156.3, 230.5, 360.8, 636.7", \ + " 113.1, 126.3, 160.1, 234.2, 364.6, 640.6", \ + " 119.3, 132.5, 166.2, 240.2, 370.6, 646.6", \ + " 126.0, 139.3, 173.1, 247.0, 377.4, 653.4", \ + " 133.6, 147.0, 180.7, 254.7, 385.0, 660.9", \ + " 141.5, 155.1, 189.1, 262.8, 393.2, 669.0", \ + " 149.1, 163.1, 197.7, 271.8, 401.9, 677.8", \ + " 154.5, 169.1, 204.8, 280.4, 411.2, 686.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.5, 135.3, 221.3, 417.9, 770.1, 1520.3", \ + " 103.5, 135.3, 221.3, 417.9, 770.1, 1520.3", \ + " 103.6, 135.4, 221.4, 417.9, 770.1, 1520.3", \ + " 104.1, 135.8, 221.6, 418.0, 770.1, 1520.3", \ + " 106.1, 137.5, 222.7, 418.3, 770.2, 1520.3", \ + " 109.1, 140.2, 224.7, 419.5, 770.6, 1520.3", \ + " 112.5, 143.5, 227.6, 421.1, 771.4, 1520.6", \ + " 118.2, 149.0, 231.9, 424.0, 772.8, 1521.2", \ + " 126.5, 157.3, 239.6, 429.6, 776.2, 1522.5", \ + " 137.8, 169.2, 252.0, 440.5, 784.1, 1526.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.9, 78.3, 98.3, 140.6, 214.4, 370.3", \ + " 77.8, 86.1, 106.2, 148.6, 222.3, 378.3", \ + " 83.9, 92.2, 112.4, 154.8, 228.6, 384.6", \ + " 91.9, 100.2, 120.4, 162.8, 236.7, 392.7", \ + " 104.1, 112.5, 132.8, 175.4, 249.3, 405.4", \ + " 118.1, 126.8, 147.5, 190.3, 264.3, 420.4", \ + " 135.5, 144.6, 165.8, 208.9, 283.1, 439.2", \ + " 157.1, 166.8, 188.6, 232.2, 306.5, 462.7", \ + " 184.8, 195.2, 218.2, 262.5, 336.9, 493.3", \ + " 220.8, 232.2, 256.9, 302.7, 377.6, 534.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 50.9, 67.8, 113.9, 219.8, 409.8, 815.5", \ + " 50.9, 67.9, 113.9, 219.8, 409.8, 815.5", \ + " 51.1, 67.9, 114.0, 219.8, 409.9, 815.5", \ + " 51.7, 68.4, 114.2, 219.9, 409.9, 815.5", \ + " 54.4, 70.6, 115.5, 220.4, 410.0, 815.5", \ + " 58.3, 74.2, 118.1, 221.8, 410.6, 815.6", \ + " 63.6, 79.0, 121.8, 224.0, 411.7, 816.0", \ + " 70.6, 85.8, 127.3, 227.4, 413.5, 816.6", \ + " 80.4, 95.4, 135.7, 232.8, 416.6, 817.8", \ + " 94.0, 109.0, 148.4, 242.3, 422.1, 820.3" ); }} +timing() { /* ring osc delay xaon21v0x05, path a2 to z 101.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.6 ; */ +/* intrinsic_fall : 77.6 ; */ +/* rise_resistance : 3.89 ; */ +/* fall_resistance : 4.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.4, 54.7, 75.5, 119.7, 196.3, 358.3", \ + " 54.1, 62.4, 83.3, 127.5, 204.1, 366.1", \ + " 60.2, 68.5, 89.2, 133.4, 210.0, 372.1", \ + " 68.2, 76.5, 97.3, 141.4, 218.0, 380.0", \ + " 80.0, 89.3, 111.2, 155.4, 231.9, 393.8", \ + " 93.3, 103.8, 128.6, 175.3, 251.8, 413.6", \ + " 109.7, 121.5, 149.5, 202.3, 281.2, 443.2", \ + " 129.6, 142.9, 174.6, 234.5, 322.3, 486.1", \ + " 154.3, 169.6, 205.8, 273.9, 373.7, 548.9", \ + " 185.5, 203.1, 244.9, 323.3, 437.3, 635.3" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.6, 140.4, 211.9, 377.8, 676.8, 1315.8", \ + " 118.5, 143.6, 213.8, 378.7, 677.2, 1316.0", \ + " 124.4, 148.8, 217.8, 380.7, 677.9, 1316.1", \ + " 133.7, 157.4, 224.9, 385.5, 680.0, 1316.6", \ + " 152.2, 174.8, 239.9, 396.9, 687.4, 1318.7", \ + " 180.5, 201.8, 264.0, 416.8, 702.3, 1326.7", \ + " 221.2, 243.6, 302.6, 450.2, 729.6, 1345.4", \ + " 273.9, 297.5, 360.5, 502.5, 775.0, 1380.5", \ + " 347.5, 372.5, 438.9, 584.6, 848.5, 1442.0", \ + " 452.8, 479.7, 549.9, 703.8, 966.0, 1545.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.2, 70.6, 93.9, 143.6, 229.6, 410.3", \ + " 67.0, 76.4, 99.8, 149.5, 235.6, 416.4", \ + " 71.7, 81.1, 104.5, 154.4, 240.5, 421.3", \ + " 78.2, 87.6, 111.0, 160.9, 247.3, 428.2", \ + " 88.1, 98.3, 122.4, 172.6, 259.3, 440.6", \ + " 98.1, 109.7, 136.9, 188.9, 276.3, 458.3", \ + " 108.7, 121.9, 152.9, 211.1, 300.8, 484.4", \ + " 119.6, 134.5, 169.9, 236.1, 334.3, 521.2", \ + " 130.3, 147.4, 187.9, 263.9, 374.9, 573.1", \ + " 139.8, 159.4, 206.2, 294.0, 421.4, 643.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 111.6, 132.8, 192.2, 331.6, 584.8, 1129.1", \ + " 113.0, 134.0, 193.2, 332.1, 585.1, 1129.2", \ + " 117.0, 137.2, 195.0, 333.1, 585.5, 1129.3", \ + " 124.6, 144.1, 200.2, 335.5, 586.4, 1129.5", \ + " 140.2, 158.7, 212.6, 344.2, 590.1, 1130.2", \ + " 164.6, 181.7, 233.1, 360.8, 601.3, 1133.1", \ + " 196.2, 215.5, 266.4, 389.4, 624.3, 1145.7", \ + " 238.1, 258.5, 313.3, 434.7, 663.3, 1174.5", \ + " 298.0, 319.4, 376.6, 504.5, 727.3, 1227.5", \ + " 384.3, 407.5, 467.8, 600.7, 830.7, 1317.5" ); }} +timing() { /* ring osc delay xaon21v0x05, path a2 to z 121.8 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.3 ; */ +/* intrinsic_fall : 87.1 ; */ +/* rise_resistance : 6.56 ; */ +/* fall_resistance : 3.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.7, 112.1, 146.0, 220.1, 350.4, 626.2", \ + " 104.3, 117.6, 151.5, 225.6, 355.9, 631.8", \ + " 108.9, 122.1, 156.0, 230.1, 360.4, 636.4", \ + " 114.9, 128.1, 161.8, 235.9, 366.3, 642.2", \ + " 123.9, 137.0, 170.5, 244.4, 374.8, 650.8", \ + " 133.0, 146.2, 180.0, 253.7, 384.0, 660.0", \ + " 143.3, 156.5, 190.1, 264.1, 394.2, 670.1", \ + " 154.8, 168.2, 201.7, 275.2, 405.6, 681.3", \ + " 167.4, 181.0, 214.9, 288.4, 418.4, 694.3", \ + " 180.2, 194.4, 229.2, 303.5, 433.7, 709.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.5, 135.3, 221.3, 417.9, 770.1, 1520.3", \ + " 103.5, 135.4, 221.4, 417.9, 770.1, 1520.3", \ + " 103.7, 135.5, 221.5, 417.9, 770.1, 1520.3", \ + " 104.2, 135.9, 221.7, 418.0, 770.1, 1520.3", \ + " 106.5, 137.9, 222.9, 418.4, 770.2, 1520.3", \ + " 109.6, 140.7, 225.1, 419.7, 770.6, 1520.3", \ + " 112.8, 143.6, 227.9, 421.4, 771.6, 1520.7", \ + " 118.3, 148.8, 231.5, 423.9, 772.9, 1521.5", \ + " 126.8, 157.0, 238.6, 428.4, 775.7, 1522.7", \ + " 138.7, 169.2, 250.5, 438.1, 782.2, 1526.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.0, 74.2, 94.1, 136.2, 209.9, 365.7", \ + " 73.8, 82.0, 101.9, 144.1, 217.8, 373.7", \ + " 79.8, 88.0, 108.0, 150.3, 224.0, 379.9", \ + " 87.3, 95.6, 115.7, 158.0, 231.8, 387.7", \ + " 98.3, 106.8, 127.1, 169.6, 243.4, 399.4", \ + " 110.9, 119.6, 140.2, 183.0, 256.9, 413.0", \ + " 126.3, 135.3, 156.5, 199.6, 273.7, 429.8", \ + " 145.0, 154.6, 176.4, 220.0, 294.2, 450.5", \ + " 168.2, 178.5, 201.5, 246.0, 320.5, 476.8", \ + " 197.0, 208.4, 233.1, 279.3, 354.5, 510.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.8, 66.9, 113.3, 219.4, 409.6, 815.3", \ + " 49.9, 67.0, 113.3, 219.4, 409.6, 815.3", \ + " 50.1, 67.1, 113.4, 219.4, 409.7, 815.3", \ + " 51.0, 67.8, 113.7, 219.6, 409.7, 815.4", \ + " 53.8, 70.1, 115.2, 220.2, 409.9, 815.4", \ + " 57.5, 73.5, 117.7, 221.7, 410.6, 815.5", \ + " 62.6, 78.2, 121.3, 223.9, 411.7, 815.9", \ + " 69.7, 85.0, 126.8, 227.3, 413.6, 816.6", \ + " 79.8, 94.9, 135.7, 233.1, 417.0, 818.0", \ + " 93.8, 109.0, 149.0, 243.5, 423.4, 821.2" ); }} +timing() { /* ring osc delay xaon21v0x05, path b to z 83.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 86.5 ; */ +/* intrinsic_fall : 27.6 ; */ +/* rise_resistance : 6.59 ; */ +/* fall_resistance : 3.77 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.1, 81.0, 115.3, 189.2, 319.3, 595.0", \ + " 73.9, 87.9, 122.4, 196.8, 327.2, 603.1", \ + " 80.1, 93.9, 128.4, 202.8, 333.4, 609.4", \ + " 88.4, 102.1, 136.4, 210.9, 341.5, 617.7", \ + " 102.2, 115.9, 150.1, 224.4, 355.2, 631.4", \ + " 118.3, 133.4, 168.8, 243.1, 373.8, 650.2", \ + " 139.8, 156.0, 194.5, 270.7, 401.4, 677.8", \ + " 168.6, 186.4, 228.1, 310.4, 442.1, 718.6", \ + " 208.8, 228.8, 275.1, 364.5, 504.1, 780.7", \ + " 265.7, 289.1, 342.0, 440.7, 592.0, 876.1" ); } +rise_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 111.8, 144.5, 233.1, 436.1, 800.9, 1579.7", \ + " 112.4, 145.0, 233.3, 436.1, 800.9, 1579.7", \ + " 113.3, 145.2, 233.5, 436.2, 801.0, 1579.7", \ + " 117.0, 148.0, 234.1, 436.3, 801.0, 1579.7", \ + " 124.1, 154.1, 238.5, 437.8, 801.2, 1579.7", \ + " 134.6, 163.3, 246.1, 443.1, 803.4, 1579.8", \ + " 151.0, 179.3, 259.1, 453.7, 810.8, 1581.8", \ + " 168.3, 199.8, 282.0, 472.6, 825.9, 1590.6", \ + " 193.7, 226.9, 315.8, 506.1, 853.8, 1610.5", \ + " 234.8, 269.7, 362.6, 563.5, 903.5, 1649.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 24.2, 39.3, 81.6, 163.8, 341.8", \ + " 26.0, 31.1, 45.4, 86.7, 168.7, 346.5", \ + " 29.1, 35.3, 50.1, 90.1, 171.7, 349.1", \ + " 31.6, 38.9, 56.0, 94.5, 175.3, 352.1", \ + " 33.5, 42.2, 62.6, 103.3, 181.3, 356.4", \ + " 33.3, 43.7, 67.7, 114.2, 190.5, 362.3", \ + " 30.0, 42.2, 70.3, 123.8, 206.4, 372.1", \ + " 21.4, 35.7, 68.4, 129.9, 223.5, 390.2", \ + " 4.5, 21.1, 59.1, 130.0, 236.5, 421.1", \ + " -26.1, -6.6, 37.5, 119.6, 241.0, 451.0" ); } +fall_transition(x05_81_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 37.7, 70.8, 147.8, 302.8, 573.0, 1151.3", \ + " 45.3, 75.8, 150.1, 302.6, 571.1, 1149.4", \ + " 53.4, 82.1, 154.6, 304.8, 570.8, 1147.0", \ + " 63.7, 91.7, 162.5, 309.6, 572.4, 1144.2", \ + " 78.4, 108.9, 178.5, 321.5, 578.6, 1143.2", \ + " 98.4, 127.1, 203.0, 342.5, 592.5, 1146.9", \ + " 126.2, 154.6, 236.5, 377.8, 620.4, 1160.2", \ + " 164.0, 193.0, 279.5, 433.1, 669.4, 1191.5", \ + " 216.3, 247.1, 339.2, 506.9, 750.0, 1255.8", \ + " 289.7, 323.5, 422.0, 608.2, 873.0, 1370.8" ); }} +timing() { /* ring osc delay xaon21v0x05, path b to z 80.7 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 3.22 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.1, 42.5, 54.5, 83.2, 137.3, 255.4", \ + " 45.6, 50.2, 62.3, 91.0, 144.9, 262.8", \ + " 51.5, 56.2, 68.3, 96.8, 150.6, 268.2", \ + " 59.6, 64.1, 76.0, 104.3, 157.7, 274.9", \ + " 70.4, 75.9, 88.9, 116.6, 169.3, 285.9", \ + " 80.0, 86.5, 102.0, 133.6, 185.5, 300.7", \ + " 88.2, 95.7, 113.5, 150.7, 209.5, 322.8", \ + " 94.7, 103.0, 122.6, 164.0, 233.6, 355.7", \ + " 98.5, 107.7, 129.1, 173.3, 250.6, 397.9", \ + " 97.6, 107.9, 131.3, 178.1, 259.8, 427.8" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.3, 113.9, 167.3, 296.0, 529.6, 1035.2", \ + " 99.0, 117.2, 169.2, 295.1, 528.7, 1035.0", \ + " 103.6, 121.5, 172.9, 297.2, 528.4, 1034.7", \ + " 110.1, 128.0, 178.7, 301.2, 530.2, 1034.4", \ + " 119.6, 138.8, 190.0, 310.3, 536.4, 1037.3", \ + " 127.9, 147.7, 203.8, 325.9, 549.3, 1046.0", \ + " 137.6, 158.2, 214.9, 347.7, 573.5, 1065.1", \ + " 148.8, 170.1, 228.3, 364.4, 609.5, 1100.3", \ + " 163.4, 185.6, 245.3, 383.6, 638.6, 1159.1", \ + " 183.9, 207.1, 268.4, 409.1, 665.9, 1223.0" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 68.5, 88.2, 129.9, 203.2, 358.8", \ + " 68.1, 76.4, 96.1, 137.9, 211.2, 366.8", \ + " 74.2, 82.5, 102.4, 144.2, 217.6, 373.2", \ + " 82.0, 90.3, 110.3, 152.2, 225.6, 381.2", \ + " 93.3, 102.1, 122.5, 164.6, 238.0, 393.6", \ + " 106.9, 116.0, 136.9, 179.5, 252.9, 408.5", \ + " 124.1, 133.7, 155.3, 198.2, 272.0, 427.5", \ + " 145.8, 156.0, 178.6, 222.3, 296.1, 451.9", \ + " 174.1, 185.1, 209.3, 254.3, 328.6, 484.4", \ + " 211.2, 223.3, 249.7, 297.3, 372.9, 529.1" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 45.3, 60.6, 101.4, 195.4, 365.3, 728.1", \ + " 45.4, 60.7, 101.5, 195.4, 365.3, 728.1", \ + " 45.7, 60.9, 101.6, 195.4, 365.3, 728.1", \ + " 46.9, 61.7, 101.9, 195.5, 365.3, 728.1", \ + " 49.8, 64.3, 103.6, 196.0, 365.4, 728.1", \ + " 52.9, 67.2, 106.0, 197.3, 365.7, 728.1", \ + " 57.2, 71.6, 109.3, 199.2, 366.6, 728.2", \ + " 63.2, 77.6, 114.5, 201.9, 367.8, 728.8", \ + " 71.3, 86.0, 122.6, 207.5, 370.1, 729.6", \ + " 82.3, 97.6, 134.8, 217.7, 376.3, 731.7" ); }} +timing() { /* ring osc delay xaon21v0x05, path b to z 86.9 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 3.22 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.8, 46.5, 62.3, 101.8, 176.7, 337.8", \ + " 48.2, 54.0, 69.9, 109.1, 183.6, 344.4", \ + " 54.0, 59.8, 75.6, 114.5, 188.6, 349.0", \ + " 62.1, 67.5, 82.9, 121.3, 194.6, 354.7", \ + " 73.8, 80.2, 95.8, 132.7, 204.6, 363.7", \ + " 84.1, 91.7, 110.5, 149.0, 218.6, 375.9", \ + " 92.8, 101.5, 123.3, 169.5, 240.5, 394.1", \ + " 99.6, 109.2, 133.1, 185.4, 270.2, 422.3", \ + " 103.8, 114.4, 139.9, 195.8, 293.0, 467.6", \ + " 103.4, 115.1, 142.5, 201.0, 304.8, 511.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.0, 145.9, 216.4, 385.2, 690.3, 1348.3", \ + " 123.9, 148.4, 217.6, 383.4, 688.7, 1347.1", \ + " 127.5, 151.9, 220.5, 384.4, 687.4, 1345.8", \ + " 132.6, 157.1, 225.3, 387.1, 687.7, 1343.8", \ + " 141.0, 166.5, 234.8, 394.3, 691.4, 1343.4", \ + " 147.6, 175.6, 248.6, 407.8, 700.8, 1348.2", \ + " 157.4, 184.8, 260.6, 430.2, 721.1, 1362.1", \ + " 168.4, 196.6, 272.9, 451.1, 756.7, 1390.9", \ + " 182.4, 211.3, 289.2, 468.6, 796.8, 1444.4", \ + " 201.7, 231.4, 311.2, 493.3, 824.3, 1525.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 68.3, 87.9, 129.6, 202.9, 358.5", \ + " 68.0, 76.2, 95.9, 137.7, 211.0, 366.7", \ + " 74.2, 82.5, 102.3, 144.1, 217.5, 373.1", \ + " 82.0, 90.4, 110.3, 152.2, 225.6, 381.2", \ + " 93.5, 102.2, 122.5, 164.6, 238.0, 393.7", \ + " 107.1, 116.1, 137.0, 179.6, 253.0, 408.6", \ + " 124.4, 133.9, 155.5, 198.4, 272.2, 427.7", \ + " 146.1, 156.3, 178.9, 222.6, 296.4, 452.2", \ + " 174.3, 185.4, 209.6, 254.7, 328.9, 484.7", \ + " 211.4, 223.6, 250.1, 297.7, 373.3, 529.5" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 44.9, 60.2, 101.3, 195.5, 365.6, 728.4", \ + " 45.0, 60.2, 101.3, 195.5, 365.6, 728.4", \ + " 45.3, 60.5, 101.4, 195.5, 365.6, 728.4", \ + " 46.4, 61.3, 101.7, 195.6, 365.6, 728.4", \ + " 49.3, 63.9, 103.3, 196.0, 365.7, 728.4", \ + " 52.4, 66.8, 105.8, 197.4, 366.0, 728.4", \ + " 56.8, 71.1, 108.9, 199.2, 366.9, 728.5", \ + " 62.8, 77.1, 114.1, 201.9, 368.1, 729.2", \ + " 71.0, 85.6, 122.2, 207.4, 370.3, 730.0", \ + " 82.2, 97.4, 134.4, 217.4, 376.4, 732.1" ); }} +timing() { /* ring osc delay xaon21v0x05, path b to z 86.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 3.22 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.8, 46.5, 62.6, 102.7, 177.4, 338.2", \ + " 48.2, 54.0, 70.1, 110.0, 184.4, 344.9", \ + " 54.0, 59.8, 75.8, 115.3, 189.3, 349.5", \ + " 62.1, 67.5, 83.0, 121.9, 195.4, 355.2", \ + " 73.8, 80.2, 95.8, 132.9, 205.4, 364.2", \ + " 84.1, 91.7, 110.6, 149.1, 219.2, 376.4", \ + " 92.8, 101.5, 123.3, 169.5, 240.7, 394.6", \ + " 99.6, 109.2, 133.1, 185.4, 270.2, 422.8", \ + " 103.8, 114.4, 139.9, 195.8, 293.0, 467.8", \ + " 103.4, 115.1, 142.6, 201.0, 304.8, 511.5" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.0, 145.9, 216.7, 385.2, 690.1, 1347.5", \ + " 123.8, 148.4, 217.8, 383.4, 688.5, 1346.5", \ + " 127.4, 151.9, 220.6, 384.5, 687.3, 1345.3", \ + " 132.6, 157.1, 225.3, 387.4, 687.6, 1343.4", \ + " 140.9, 166.5, 234.8, 394.5, 691.3, 1343.1", \ + " 147.6, 175.5, 248.6, 407.8, 700.9, 1347.9", \ + " 157.3, 184.8, 260.5, 430.2, 721.3, 1361.8", \ + " 168.4, 196.5, 272.8, 451.0, 756.7, 1390.8", \ + " 182.4, 211.3, 289.2, 468.6, 796.8, 1444.5", \ + " 201.7, 231.4, 311.2, 493.2, 824.3, 1525.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 68.3, 87.9, 129.6, 202.9, 358.5", \ + " 68.0, 76.2, 95.9, 137.7, 211.0, 366.7", \ + " 74.2, 82.5, 102.3, 144.1, 217.5, 373.1", \ + " 82.0, 90.4, 110.3, 152.2, 225.6, 381.2", \ + " 93.5, 102.2, 122.5, 164.6, 238.0, 393.7", \ + " 107.1, 116.1, 137.0, 179.6, 253.0, 408.6", \ + " 124.4, 133.9, 155.5, 198.4, 272.2, 427.7", \ + " 146.1, 156.3, 178.9, 222.6, 296.4, 452.2", \ + " 174.3, 185.4, 209.6, 254.7, 328.9, 484.7", \ + " 211.4, 223.6, 250.1, 297.7, 373.3, 529.5" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 44.9, 60.2, 101.3, 195.5, 365.6, 728.4", \ + " 45.0, 60.3, 101.3, 195.5, 365.6, 728.4", \ + " 45.3, 60.5, 101.4, 195.5, 365.6, 728.4", \ + " 46.4, 61.3, 101.7, 195.6, 365.6, 728.4", \ + " 49.3, 63.9, 103.3, 196.0, 365.7, 728.4", \ + " 52.4, 66.8, 105.8, 197.4, 366.0, 728.4", \ + " 56.8, 71.1, 108.9, 199.2, 366.9, 728.5", \ + " 62.8, 77.1, 114.1, 201.9, 368.1, 729.2", \ + " 71.0, 85.6, 122.2, 207.4, 370.3, 730.0", \ + " 82.2, 97.4, 134.4, 217.4, 376.4, 732.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 81.9 ; */ +/* rise_resistance : 3.22 ; */ +/* fall_resistance : 3.72 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.8, 46.5, 62.3, 101.8, 176.7, 337.8", \ + " 48.2, 54.0, 69.9, 109.1, 183.6, 344.4", \ + " 54.0, 59.8, 75.6, 114.5, 188.6, 349.0", \ + " 62.1, 67.5, 82.9, 121.3, 194.6, 354.7", \ + " 73.8, 80.2, 95.8, 132.7, 204.6, 363.7", \ + " 84.1, 91.7, 110.5, 149.0, 218.6, 375.9", \ + " 92.8, 101.5, 123.3, 169.5, 240.5, 394.1", \ + " 99.6, 109.2, 133.1, 185.4, 270.2, 422.3", \ + " 103.8, 114.4, 139.9, 195.8, 293.0, 467.6", \ + " 103.4, 115.1, 142.5, 201.0, 304.8, 511.4" ); } +rise_transition(x05_81_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 121.0, 145.9, 216.4, 385.2, 690.3, 1348.3", \ + " 123.9, 148.4, 217.6, 383.4, 688.7, 1347.1", \ + " 127.5, 151.9, 220.5, 384.4, 687.4, 1345.8", \ + " 132.6, 157.1, 225.3, 387.1, 687.7, 1343.8", \ + " 141.0, 166.5, 234.8, 394.3, 691.4, 1343.4", \ + " 147.6, 175.6, 248.6, 407.8, 700.8, 1348.2", \ + " 157.4, 184.8, 260.6, 430.2, 721.1, 1362.1", \ + " 168.4, 196.6, 272.9, 451.1, 756.7, 1390.9", \ + " 182.4, 211.3, 289.2, 468.6, 796.8, 1444.4", \ + " 201.7, 231.4, 311.2, 493.3, 824.3, 1525.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 68.3, 87.9, 129.6, 202.9, 358.5", \ + " 68.0, 76.2, 95.9, 137.7, 211.0, 366.7", \ + " 74.2, 82.5, 102.3, 144.1, 217.5, 373.1", \ + " 82.0, 90.4, 110.3, 152.2, 225.6, 381.2", \ + " 93.5, 102.2, 122.5, 164.6, 238.0, 393.7", \ + " 107.1, 116.1, 137.0, 179.6, 253.0, 408.6", \ + " 124.4, 133.9, 155.5, 198.4, 272.2, 427.7", \ + " 146.1, 156.3, 178.9, 222.6, 296.4, 452.2", \ + " 174.3, 185.4, 209.6, 254.7, 328.9, 484.7", \ + " 211.4, 223.6, 250.1, 297.7, 373.3, 529.5" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 44.9, 60.2, 101.3, 195.5, 365.6, 728.4", \ + " 45.0, 60.2, 101.3, 195.5, 365.6, 728.4", \ + " 45.3, 60.5, 101.4, 195.5, 365.6, 728.4", \ + " 46.4, 61.3, 101.7, 195.6, 365.6, 728.4", \ + " 49.3, 63.9, 103.3, 196.0, 365.7, 728.4", \ + " 52.4, 66.8, 105.8, 197.4, 366.0, 728.4", \ + " 56.8, 71.1, 108.9, 199.2, 366.9, 728.5", \ + " 62.8, 77.1, 114.1, 201.9, 368.1, 729.2", \ + " 71.0, 85.6, 122.2, 207.4, 370.3, 730.0", \ + " 82.2, 97.4, 134.4, 217.4, 376.4, 732.1" ); }} +} +} +cell(xaon21v0x1) { /* 2008-01-06:07h58 characteristic delay 16.8 ps */ +area : 11 ; /* tracks */ +cell_leakage_power : 1409 ; /* xaon21v0x1 */ +cell_footprint : xaon21 ; +pin(a1) { /* xaon21v0x1 FO4 effort 1.83 logical effort 1.54 */ +direction : input ; +capacitance : 4.89 ; +rise_capacitance : 4.88 ; +fall_capacitance : 4.91 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaon21v0x1 */ +} +pin(a2) { /* xaon21v0x1 FO4 effort 1.82 logical effort 1.53 */ +direction : input ; +capacitance : 4.89 ; +rise_capacitance : 4.90 ; +fall_capacitance : 4.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaon21v0x1 */ +} +pin(b) { /* xaon21v0x1 FO4 effort 2.16 logical effort 2.81 */ +direction : input ; +capacitance : 6.54 ; +rise_capacitance : 6.64 ; +fall_capacitance : 6.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaon21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 114 ; +max_fanout : 4 ; +function : "((a1*a2)^b)" ; +internal_power(a1_z_n) { /* xaon21v0x1 26.87 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_162_5x10) { +values( " 13.51, 13.51, 13.52, 13.51, 13.49", \ + " 13.34, 13.36, 13.39, 13.40, 13.40", \ + " 13.33, 13.35, 13.38, 13.41, 13.41", \ + " 13.39, 13.41, 13.44, 13.46, 13.47", \ + " 13.65, 13.64, 13.64, 13.65, 13.65", \ + " 14.22, 14.17, 14.09, 14.04, 14.00", \ + " 15.34, 15.21, 14.99, 14.79, 14.65", \ + " 17.33, 17.07, 16.63, 16.15, 15.81", \ + " 20.75, 20.32, 19.53, 18.60, 17.87", \ + " 26.49, 25.85, 24.58, 22.93, 21.51" ); }} +internal_power(a1_z_p) { /* xaon21v0x1 30.69 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_162_5x10) { +values( " 15.29, 15.38, 15.49, 15.56, 15.58", \ + " 15.09, 15.19, 15.31, 15.40, 15.43", \ + " 15.07, 15.16, 15.29, 15.39, 15.43", \ + " 15.13, 15.22, 15.35, 15.45, 15.50", \ + " 15.42, 15.47, 15.58, 15.69, 15.73", \ + " 16.05, 16.07, 16.13, 16.20, 16.24", \ + " 17.28, 17.24, 17.21, 17.20, 17.20", \ + " 19.46, 19.33, 19.15, 18.99, 18.88", \ + " 23.15, 22.91, 22.51, 22.09, 21.77", \ + " 29.26, 28.86, 28.16, 27.33, 26.65" ); }} +internal_power(a2_z_n) { /* xaon21v0x1 24.77 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_162_5x10) { +values( " 12.40, 12.42, 12.43, 12.43, 12.42", \ + " 12.24, 12.28, 12.32, 12.35, 12.36", \ + " 12.23, 12.27, 12.32, 12.37, 12.39", \ + " 12.31, 12.34, 12.39, 12.44, 12.46", \ + " 12.58, 12.58, 12.59, 12.63, 12.65", \ + " 13.15, 13.10, 13.03, 13.00, 12.99", \ + " 14.24, 14.10, 13.90, 13.71, 13.60", \ + " 16.12, 15.86, 15.44, 14.99, 14.68", \ + " 19.31, 18.89, 18.14, 17.27, 16.58", \ + " 24.63, 24.00, 22.79, 21.25, 19.95" ); }} +internal_power(a2_z_p) { /* xaon21v0x1 28.55 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_162_5x10) { +values( " 14.18, 14.27, 14.38, 14.45, 14.47", \ + " 13.99, 14.09, 14.22, 14.31, 14.34", \ + " 13.97, 14.07, 14.21, 14.31, 14.35", \ + " 14.05, 14.14, 14.27, 14.39, 14.44", \ + " 14.36, 14.42, 14.53, 14.64, 14.69", \ + " 15.00, 15.02, 15.08, 15.15, 15.20", \ + " 16.21, 16.16, 16.12, 16.12, 16.12", \ + " 18.27, 18.14, 17.96, 17.81, 17.71", \ + " 21.73, 21.49, 21.11, 20.70, 20.41", \ + " 27.43, 27.04, 26.37, 25.58, 24.95" ); }} +internal_power(b_z_n) { /* xaon21v0x1 21.22 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 10.48, 10.59, 10.71, 10.77, 10.78", \ + " 10.19, 10.31, 10.47, 10.61, 10.66", \ + " 10.23, 10.32, 10.48, 10.63, 10.71", \ + " 10.42, 10.48, 10.61, 10.76, 10.85", \ + " 10.97, 10.96, 11.01, 11.12, 11.21", \ + " 12.00, 11.91, 11.82, 11.83, 11.88", \ + " 13.84, 13.64, 13.35, 13.15, 13.08", \ + " 16.88, 16.56, 16.02, 15.47, 15.14", \ + " 21.85, 21.39, 20.54, 19.51, 18.73", \ + " 29.85, 29.22, 28.00, 26.35, 24.87" ); }} +internal_power(b_z_p) { /* xaon21v0x1 28.08 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 14.00, 13.88, 13.66, 13.41, 13.23", \ + " 13.89, 13.79, 13.58, 13.33, 13.14", \ + " 14.04, 13.95, 13.74, 13.47, 13.26", \ + " 14.35, 14.25, 14.04, 13.76, 13.52", \ + " 15.06, 14.95, 14.72, 14.40, 14.11", \ + " 16.26, 16.13, 15.86, 15.49, 15.14", \ + " 18.29, 18.12, 17.80, 17.34, 16.89", \ + " 21.54, 21.32, 20.91, 20.32, 19.72", \ + " 26.78, 26.45, 25.90, 25.13, 24.33", \ + " 35.23, 34.72, 33.86, 32.82, 31.73" ); }} +timing() { /* ring osc delay xaon21v0x1, path a1 to z 101.7 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.8 ; */ +/* intrinsic_fall : 76.0 ; */ +/* rise_resistance : 2.60 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.5, 63.4, 91.0, 150.1, 252.9, 469.9", \ + " 60.3, 71.3, 98.9, 158.0, 260.7, 477.7", \ + " 66.6, 77.5, 105.0, 164.1, 266.8, 483.8", \ + " 75.0, 85.9, 113.3, 172.2, 274.9, 491.9", \ + " 88.7, 100.3, 128.0, 186.8, 289.3, 506.1", \ + " 104.4, 117.7, 148.4, 207.7, 309.9, 526.6", \ + " 123.8, 138.8, 173.9, 238.7, 341.1, 557.4", \ + " 147.8, 164.7, 204.6, 278.8, 386.7, 602.9", \ + " 178.9, 198.0, 243.1, 327.8, 450.7, 671.0", \ + " 219.7, 241.5, 293.0, 389.6, 530.7, 772.6" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.0, 160.7, 250.6, 458.2, 831.6, 1628.4", \ + " 130.7, 162.6, 251.5, 458.5, 831.7, 1628.4", \ + " 135.7, 166.7, 253.9, 459.2, 831.8, 1628.4", \ + " 144.0, 174.2, 259.4, 461.6, 832.2, 1628.4", \ + " 161.1, 189.9, 272.3, 469.9, 835.0, 1628.5", \ + " 187.9, 215.2, 294.2, 486.5, 845.0, 1629.9", \ + " 228.1, 255.2, 330.5, 516.5, 867.0, 1639.7", \ + " 280.1, 309.7, 386.2, 565.5, 907.1, 1665.6", \ + " 351.2, 383.2, 465.8, 643.4, 975.4, 1717.7", \ + " 451.2, 486.1, 575.2, 763.7, 1086.7, 1811.6" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 78.0, 108.7, 175.3, 291.8, 537.9", \ + " 70.2, 82.4, 113.3, 179.9, 296.5, 542.6", \ + " 73.3, 85.6, 116.5, 183.1, 299.8, 545.9", \ + " 77.6, 89.9, 120.8, 187.5, 304.3, 550.5", \ + " 84.1, 97.2, 128.5, 195.4, 312.3, 558.8", \ + " 91.2, 105.3, 139.1, 206.8, 324.0, 570.8", \ + " 98.9, 114.5, 151.5, 223.6, 341.4, 588.9", \ + " 106.3, 124.0, 165.2, 243.9, 366.7, 615.2", \ + " 112.1, 132.5, 179.5, 267.2, 400.5, 653.8", \ + " 114.1, 137.7, 192.5, 292.7, 440.1, 710.4" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 123.7, 153.9, 237.9, 432.2, 782.4, 1532.1", \ + " 124.9, 154.9, 238.5, 432.5, 782.5, 1532.1", \ + " 127.7, 157.3, 240.0, 433.2, 782.8, 1532.2", \ + " 132.8, 161.7, 243.4, 435.1, 783.5, 1532.3", \ + " 144.3, 172.1, 251.7, 440.7, 786.2, 1532.8", \ + " 164.9, 190.6, 266.9, 451.9, 793.5, 1535.0", \ + " 195.5, 222.1, 294.5, 473.3, 809.0, 1543.1", \ + " 238.2, 265.1, 339.4, 510.7, 837.9, 1561.8", \ + " 300.5, 327.9, 403.1, 574.7, 890.1, 1599.5", \ + " 391.5, 420.3, 497.1, 671.7, 980.6, 1670.2" ); }} +timing() { /* ring osc delay xaon21v0x1, path a1 to z 123.5 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 107.3 ; */ +/* intrinsic_fall : 98.3 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.87 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 99.7, 116.7, 159.5, 253.7, 419.7, 771.9", \ + " 103.9, 120.8, 163.7, 257.8, 423.9, 776.1", \ + " 106.8, 123.7, 166.6, 260.7, 426.9, 779.0", \ + " 110.7, 127.5, 170.3, 264.5, 430.6, 782.9", \ + " 116.8, 133.6, 176.3, 270.4, 436.5, 788.8", \ + " 123.2, 140.2, 183.0, 277.0, 443.1, 795.4", \ + " 130.4, 147.4, 190.2, 284.3, 450.3, 802.5", \ + " 137.6, 154.9, 197.8, 291.7, 457.8, 809.9", \ + " 144.0, 161.8, 205.4, 299.5, 465.5, 817.5", \ + " 147.5, 166.0, 211.0, 306.5, 472.8, 824.8" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.8, 144.3, 254.1, 504.8, 953.2, 1907.3", \ + " 103.9, 144.4, 254.2, 504.8, 953.2, 1907.3", \ + " 104.0, 144.5, 254.2, 504.8, 953.2, 1907.3", \ + " 104.5, 144.9, 254.4, 504.9, 953.2, 1907.3", \ + " 106.7, 146.6, 255.3, 505.1, 953.2, 1907.3", \ + " 109.7, 149.2, 257.1, 506.0, 953.4, 1907.3", \ + " 113.4, 152.6, 259.8, 507.3, 954.1, 1907.4", \ + " 119.4, 158.2, 263.9, 509.7, 955.1, 1907.9", \ + " 128.1, 166.9, 271.4, 514.7, 957.7, 1908.7", \ + " 140.2, 179.4, 283.8, 524.5, 964.3, 1911.9" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.3, 89.7, 119.9, 184.4, 297.6, 537.3", \ + " 85.1, 97.5, 127.7, 192.3, 305.5, 545.3", \ + " 91.2, 103.7, 133.9, 198.5, 311.8, 551.6", \ + " 99.3, 111.7, 141.9, 206.7, 320.1, 559.9", \ + " 112.0, 124.5, 154.8, 219.6, 333.1, 573.0", \ + " 126.9, 139.6, 170.2, 235.1, 348.6, 588.6", \ + " 145.4, 158.5, 189.4, 254.6, 368.1, 608.1", \ + " 168.6, 182.2, 213.6, 278.9, 392.6, 632.7", \ + " 198.5, 212.9, 245.2, 310.8, 424.5, 664.7", \ + " 237.8, 253.2, 287.1, 353.6, 467.3, 707.6" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.0, 86.2, 157.8, 321.7, 615.0, 1239.5", \ + " 60.0, 86.2, 157.8, 321.7, 615.0, 1239.5", \ + " 60.2, 86.3, 157.8, 321.7, 615.0, 1239.5", \ + " 60.7, 86.7, 158.0, 321.7, 615.0, 1239.5", \ + " 62.9, 88.2, 158.7, 321.9, 615.0, 1239.5", \ + " 66.8, 91.4, 160.7, 322.8, 615.2, 1239.5", \ + " 71.8, 95.9, 163.7, 324.3, 615.8, 1239.6", \ + " 78.6, 102.1, 168.0, 326.6, 616.8, 1239.9", \ + " 88.2, 111.2, 175.0, 330.4, 618.6, 1240.5", \ + " 101.5, 124.3, 186.2, 337.3, 622.3, 1241.7" ); }} +timing() { /* ring osc delay xaon21v0x1, path a2 to z 103.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.1 ; */ +/* intrinsic_fall : 77.9 ; */ +/* rise_resistance : 2.67 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.3, 61.5, 89.5, 149.9, 255.3, 478.1", \ + " 58.0, 69.2, 97.4, 157.8, 263.2, 486.0", \ + " 64.2, 75.3, 103.4, 163.8, 269.2, 492.1", \ + " 72.5, 83.6, 111.6, 172.0, 277.3, 500.2", \ + " 85.5, 97.7, 126.2, 186.4, 291.6, 514.4", \ + " 100.3, 114.2, 146.2, 207.1, 312.2, 534.8", \ + " 118.4, 134.3, 171.0, 238.0, 343.2, 565.6", \ + " 140.7, 158.7, 200.6, 277.7, 388.6, 610.9", \ + " 168.7, 189.4, 237.4, 325.9, 452.6, 679.0", \ + " 204.5, 228.4, 284.0, 385.9, 532.2, 780.6" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 128.2, 163.4, 260.2, 483.0, 882.5, 1734.2", \ + " 131.4, 165.7, 261.2, 483.3, 882.6, 1734.2", \ + " 136.8, 170.3, 264.3, 484.1, 882.7, 1734.2", \ + " 145.6, 178.3, 270.4, 487.5, 883.3, 1734.2", \ + " 163.4, 194.7, 284.1, 496.9, 887.7, 1734.5", \ + " 191.2, 220.9, 307.0, 514.8, 899.6, 1737.6", \ + " 233.4, 262.4, 344.7, 546.5, 923.7, 1750.8", \ + " 287.5, 319.8, 402.6, 597.9, 966.7, 1780.5", \ + " 362.2, 396.9, 486.8, 679.3, 1039.1, 1837.4", \ + " 468.6, 506.0, 602.3, 806.3, 1156.5, 1938.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 74.5, 105.2, 171.8, 288.3, 534.4", \ + " 68.2, 80.4, 111.2, 177.9, 294.5, 540.6", \ + " 72.9, 85.2, 116.1, 182.7, 299.4, 545.6", \ + " 79.5, 91.7, 122.6, 189.4, 306.1, 552.4", \ + " 89.8, 103.0, 134.3, 201.2, 318.1, 564.5", \ + " 100.4, 115.5, 150.2, 218.0, 335.2, 582.1", \ + " 111.3, 128.7, 168.7, 242.4, 360.7, 608.3", \ + " 122.2, 142.1, 188.3, 272.6, 396.8, 646.4", \ + " 132.6, 155.4, 208.6, 306.3, 446.4, 701.7", \ + " 140.9, 167.3, 229.0, 342.4, 504.2, 781.8" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.8, 147.6, 227.7, 413.8, 750.1, 1470.5", \ + " 120.1, 148.7, 228.5, 414.1, 750.2, 1470.5", \ + " 124.0, 151.6, 229.9, 414.8, 750.5, 1470.6", \ + " 131.5, 158.0, 234.2, 416.4, 750.9, 1470.6", \ + " 147.0, 172.4, 245.7, 423.1, 752.7, 1470.9", \ + " 171.4, 195.3, 265.8, 438.1, 760.7, 1471.7", \ + " 204.7, 231.0, 299.0, 465.9, 780.8, 1478.1", \ + " 248.1, 276.1, 349.6, 511.3, 818.2, 1500.9", \ + " 309.6, 339.2, 416.9, 584.1, 882.2, 1549.6", \ + " 397.9, 430.0, 512.3, 689.6, 987.2, 1638.2" ); }} +timing() { /* ring osc delay xaon21v0x1, path a2 to z 123.2 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.9 ; */ +/* intrinsic_fall : 94.9 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.1, 113.1, 156.0, 250.1, 416.1, 768.3", \ + " 101.8, 118.7, 161.6, 255.7, 421.8, 774.0", \ + " 106.4, 123.3, 166.1, 260.2, 426.3, 778.5", \ + " 112.4, 129.1, 171.9, 266.0, 432.2, 784.4", \ + " 121.1, 137.8, 180.3, 274.4, 440.5, 792.8", \ + " 129.8, 146.6, 189.4, 283.2, 449.4, 801.6", \ + " 139.5, 156.4, 198.9, 292.9, 458.9, 811.1", \ + " 150.0, 166.9, 209.4, 303.1, 469.2, 821.3", \ + " 160.7, 178.1, 220.9, 314.4, 480.4, 832.4", \ + " 170.6, 188.7, 232.5, 326.7, 492.6, 844.7" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 103.9, 144.4, 254.2, 504.8, 953.2, 1907.3", \ + " 103.9, 144.4, 254.2, 504.8, 953.2, 1907.3", \ + " 104.1, 144.6, 254.3, 504.8, 953.2, 1907.3", \ + " 104.6, 145.0, 254.5, 504.9, 953.2, 1907.3", \ + " 107.1, 146.9, 255.5, 505.1, 953.2, 1907.3", \ + " 110.4, 149.8, 257.5, 506.2, 953.4, 1907.3", \ + " 113.9, 153.1, 260.1, 507.6, 954.3, 1907.4", \ + " 120.0, 158.3, 263.7, 509.7, 955.3, 1908.1", \ + " 129.1, 167.0, 270.6, 513.9, 957.4, 1909.0", \ + " 142.1, 180.0, 282.6, 522.3, 962.8, 1911.5" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.3, 86.7, 116.7, 181.1, 294.2, 533.9", \ + " 82.0, 94.4, 124.5, 189.0, 302.1, 541.8", \ + " 88.1, 100.5, 130.6, 195.2, 308.4, 548.1", \ + " 95.9, 108.2, 138.5, 203.1, 316.4, 556.1", \ + " 107.8, 120.3, 150.6, 215.3, 328.7, 568.5", \ + " 121.5, 134.2, 164.9, 229.8, 343.2, 583.1", \ + " 138.5, 151.6, 182.6, 247.8, 361.3, 601.2", \ + " 159.6, 173.1, 204.6, 270.0, 383.7, 623.6", \ + " 186.1, 200.4, 232.9, 298.7, 412.4, 652.6", \ + " 219.9, 235.4, 269.5, 336.4, 450.3, 690.5" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.4, 85.8, 157.6, 321.5, 614.9, 1239.4", \ + " 59.5, 85.8, 157.6, 321.5, 614.9, 1239.4", \ + " 59.6, 85.9, 157.6, 321.5, 614.9, 1239.4", \ + " 60.2, 86.3, 157.8, 321.6, 614.9, 1239.4", \ + " 62.7, 88.1, 158.7, 321.9, 614.9, 1239.4", \ + " 66.4, 91.3, 160.7, 322.8, 615.2, 1239.4", \ + " 71.3, 95.5, 163.7, 324.4, 615.9, 1239.6", \ + " 78.2, 101.9, 168.1, 326.8, 617.0, 1239.9", \ + " 88.1, 111.3, 175.5, 331.0, 619.0, 1240.6", \ + " 101.9, 125.0, 187.5, 338.8, 623.4, 1242.2" ); }} +timing() { /* ring osc delay xaon21v0x1, path b to z 79.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.7 ; */ +/* intrinsic_fall : 24.0 ; */ +/* rise_resistance : 4.20 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.6, 84.3, 127.6, 221.8, 387.9, 740.0", \ + " 73.2, 91.0, 134.7, 229.4, 395.9, 748.2", \ + " 79.3, 96.8, 140.5, 235.4, 402.0, 754.4", \ + " 87.4, 104.7, 148.3, 243.2, 409.9, 762.6", \ + " 101.0, 118.3, 161.6, 256.5, 423.4, 776.2", \ + " 116.9, 136.1, 180.4, 275.2, 442.1, 795.0", \ + " 138.2, 159.1, 207.7, 303.4, 470.3, 823.3", \ + " 167.0, 190.0, 243.3, 345.7, 512.5, 865.5", \ + " 207.4, 233.7, 293.0, 405.2, 577.4, 930.3", \ + " 265.1, 295.9, 363.7, 487.7, 674.8, 1030.1" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.5, 152.2, 265.9, 525.7, 991.0, 1981.9", \ + " 111.3, 152.9, 266.1, 525.7, 991.0, 1981.9", \ + " 111.9, 153.1, 266.3, 525.8, 991.0, 1981.9", \ + " 115.8, 155.4, 266.6, 525.9, 991.0, 1981.9", \ + " 123.0, 161.6, 270.6, 526.6, 991.1, 1981.9", \ + " 134.0, 171.2, 278.5, 531.7, 992.2, 1981.9", \ + " 151.8, 188.0, 292.5, 542.7, 998.8, 1982.3", \ + " 170.5, 212.0, 316.8, 563.0, 1013.9, 1988.8", \ + " 198.4, 242.9, 357.2, 598.6, 1042.7, 2007.2", \ + " 243.5, 290.3, 410.9, 660.4, 1094.2, 2045.4" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.2, 25.6, 46.1, 105.4, 218.1, 460.4", \ + " 25.8, 32.4, 51.7, 109.9, 222.3, 464.3", \ + " 28.8, 36.7, 56.0, 112.7, 224.6, 466.1", \ + " 31.2, 40.4, 62.0, 116.3, 227.2, 468.0", \ + " 32.8, 44.0, 69.5, 123.1, 231.4, 470.6", \ + " 32.4, 45.6, 75.6, 134.2, 238.1, 474.1", \ + " 28.6, 44.2, 79.3, 145.8, 250.4, 480.4", \ + " 19.4, 37.8, 78.7, 154.5, 270.0, 492.8", \ + " 1.5, 23.0, 70.8, 157.8, 288.1, 517.6", \ + " -30.4, -5.2, 50.3, 150.9, 299.0, 555.1" ); } +fall_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 42.7, 86.4, 183.6, 385.7, 744.2, 1510.1", \ + " 49.6, 90.6, 184.7, 384.1, 741.7, 1507.5", \ + " 57.2, 96.4, 188.4, 385.1, 739.6, 1504.4", \ + " 67.7, 105.2, 195.3, 388.5, 739.0, 1499.6", \ + " 80.3, 123.1, 209.9, 397.9, 741.6, 1494.0", \ + " 99.4, 139.8, 232.8, 416.1, 750.6, 1492.0", \ + " 126.8, 164.9, 268.2, 448.3, 772.1, 1497.7", \ + " 164.3, 202.0, 310.3, 499.8, 814.4, 1518.4", \ + " 216.5, 255.6, 370.1, 576.4, 888.2, 1568.0", \ + " 290.4, 332.6, 454.2, 679.5, 1008.3, 1667.0" ); }} +timing() { /* ring osc delay xaon21v0x1, path b to z 82.6 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 84.9 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.0, 41.3, 55.9, 91.6, 158.9, 304.8", \ + " 43.7, 49.2, 63.8, 99.3, 166.3, 312.0", \ + " 50.0, 55.5, 70.0, 105.1, 171.8, 317.2", \ + " 58.6, 63.8, 77.9, 112.5, 178.7, 323.7", \ + " 70.1, 76.4, 91.4, 124.9, 190.1, 334.1", \ + " 80.2, 87.8, 105.8, 142.6, 206.0, 348.4", \ + " 89.0, 97.9, 118.8, 162.6, 230.1, 369.7", \ + " 96.3, 106.2, 129.4, 178.8, 260.1, 402.2", \ + " 101.4, 112.5, 137.7, 190.7, 283.4, 451.2", \ + " 102.4, 114.8, 142.5, 198.4, 297.3, 495.9" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 98.7, 121.2, 187.7, 349.0, 641.9, 1271.7", \ + " 104.7, 126.8, 191.6, 349.1, 641.0, 1271.3", \ + " 110.9, 132.9, 196.7, 352.1, 641.1, 1270.8", \ + " 119.7, 141.5, 204.4, 357.3, 643.2, 1270.0", \ + " 133.1, 156.3, 218.9, 368.5, 649.8, 1271.9", \ + " 146.7, 170.4, 238.5, 387.2, 663.3, 1279.6", \ + " 163.7, 188.4, 256.7, 416.1, 689.0, 1297.7", \ + " 183.6, 209.1, 278.6, 443.0, 731.3, 1332.4", \ + " 208.0, 234.4, 305.4, 470.2, 777.0, 1393.9", \ + " 239.0, 266.7, 339.6, 506.5, 814.3, 1482.9" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.9, 76.7, 105.8, 169.3, 282.0, 521.3", \ + " 72.7, 84.6, 113.9, 177.5, 290.1, 529.4", \ + " 78.7, 90.7, 120.1, 183.8, 296.5, 535.8", \ + " 86.3, 98.5, 127.9, 191.7, 304.5, 543.8", \ + " 97.7, 110.2, 140.0, 203.9, 316.6, 556.0", \ + " 111.2, 124.0, 154.2, 218.4, 331.1, 570.5", \ + " 128.3, 141.6, 172.3, 236.7, 349.6, 588.9", \ + " 149.8, 163.8, 195.3, 260.0, 373.0, 612.3", \ + " 177.4, 192.4, 225.5, 291.0, 404.0, 643.4", \ + " 213.6, 229.9, 265.4, 332.8, 446.5, 685.9" ); } +fall_transition(x1_162_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.5, 76.1, 139.9, 286.3, 548.7, 1107.0", \ + " 52.6, 76.1, 139.9, 286.3, 548.7, 1107.0", \ + " 53.0, 76.3, 139.9, 286.3, 548.7, 1107.0", \ + " 54.0, 76.9, 140.1, 286.3, 548.7, 1107.0", \ + " 56.6, 79.0, 141.0, 286.4, 548.7, 1107.0", \ + " 59.3, 81.3, 142.7, 287.1, 548.8, 1107.0", \ + " 63.7, 85.4, 144.8, 288.1, 549.2, 1107.0", \ + " 69.6, 91.1, 149.0, 289.5, 549.8, 1107.2", \ + " 77.8, 99.5, 156.1, 292.9, 550.8, 1107.7", \ + " 88.8, 111.3, 167.5, 300.8, 554.2, 1108.6" ); }} +timing() { /* ring osc delay xaon21v0x1, path b to z 89.5 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 84.9 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 45.5, 66.2, 121.2, 222.4, 438.6", \ + " 46.1, 53.2, 73.7, 127.8, 228.5, 444.2", \ + " 52.3, 59.3, 79.3, 132.4, 232.5, 447.7", \ + " 61.1, 67.5, 86.7, 138.1, 237.5, 452.1", \ + " 73.7, 81.2, 99.8, 148.1, 245.7, 459.1", \ + " 84.7, 93.9, 116.6, 163.5, 257.4, 468.6", \ + " 94.1, 104.8, 131.5, 187.3, 276.3, 482.9", \ + " 101.8, 113.6, 143.0, 208.0, 308.3, 506.2", \ + " 107.4, 120.4, 151.8, 222.0, 341.3, 546.9", \ + " 109.1, 123.4, 157.0, 230.4, 361.2, 607.9" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.2, 161.1, 255.0, 478.6, 882.0, 1745.5", \ + " 134.5, 166.1, 257.6, 477.0, 879.5, 1743.8", \ + " 139.8, 171.3, 261.3, 478.3, 877.7, 1741.7", \ + " 147.1, 178.6, 267.2, 481.4, 877.2, 1738.1", \ + " 159.2, 191.7, 279.7, 489.3, 879.8, 1734.6", \ + " 170.5, 206.4, 298.5, 504.1, 888.0, 1735.6", \ + " 187.7, 222.2, 319.2, 531.3, 907.1, 1744.5", \ + " 207.7, 243.0, 339.3, 565.5, 944.1, 1767.9", \ + " 232.1, 268.4, 366.4, 593.4, 1001.6, 1817.6", \ + " 263.1, 300.5, 400.6, 629.3, 1049.4, 1907.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.7, 76.5, 105.5, 169.0, 281.7, 521.0", \ + " 72.5, 84.4, 113.6, 177.2, 289.9, 529.3", \ + " 78.7, 90.6, 119.9, 183.6, 296.3, 535.7", \ + " 86.4, 98.4, 127.9, 191.7, 304.4, 543.8", \ + " 97.8, 110.3, 140.0, 203.9, 316.7, 556.1", \ + " 111.4, 124.2, 154.4, 218.5, 331.3, 570.7", \ + " 128.6, 141.9, 172.6, 237.0, 349.8, 589.2", \ + " 150.1, 164.1, 195.7, 260.4, 373.4, 612.6", \ + " 177.8, 192.8, 225.9, 291.4, 404.5, 643.9", \ + " 213.9, 230.3, 265.8, 333.3, 447.1, 686.5" ); } +fall_transition(x1_162_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.1, 75.8, 139.8, 286.6, 549.1, 1107.4", \ + " 52.2, 75.8, 139.8, 286.6, 549.1, 1107.4", \ + " 52.5, 76.0, 139.8, 286.6, 549.1, 1107.4", \ + " 53.4, 76.5, 140.0, 286.6, 549.1, 1107.4", \ + " 56.0, 78.6, 141.0, 286.7, 549.1, 1107.4", \ + " 58.8, 80.8, 142.6, 287.3, 549.1, 1107.4", \ + " 63.2, 84.9, 144.6, 288.3, 549.6, 1107.4", \ + " 69.2, 90.6, 148.7, 289.7, 550.1, 1107.6", \ + " 77.4, 99.0, 155.7, 293.0, 551.2, 1108.1", \ + " 88.7, 110.9, 167.0, 300.7, 554.5, 1109.0" ); }} +timing() { /* ring osc delay xaon21v0x1, path b to z 90.0 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 84.9 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.7, 46.0, 68.4, 125.2, 228.9, 450.7", \ + " 46.3, 53.7, 75.7, 131.7, 234.8, 456.1", \ + " 52.5, 59.7, 81.0, 136.2, 238.6, 459.5", \ + " 61.3, 67.8, 87.9, 141.8, 243.4, 463.6", \ + " 73.9, 81.6, 100.6, 151.3, 251.4, 470.2", \ + " 85.0, 94.3, 117.4, 165.8, 262.7, 479.3", \ + " 94.5, 105.3, 132.5, 189.4, 281.0, 493.0", \ + " 102.3, 114.2, 144.1, 210.3, 312.4, 515.5", \ + " 107.8, 121.0, 152.9, 224.5, 345.9, 555.3", \ + " 109.6, 124.1, 158.2, 232.9, 366.2, 616.7" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 131.9, 164.8, 260.5, 488.8, 900.8, 1780.6", \ + " 137.1, 169.7, 263.1, 487.2, 898.3, 1779.5", \ + " 142.2, 174.6, 266.9, 488.3, 896.2, 1777.8", \ + " 149.4, 181.7, 272.9, 491.3, 895.6, 1774.4", \ + " 161.4, 194.6, 284.8, 498.9, 897.8, 1770.5", \ + " 172.5, 209.3, 303.3, 513.7, 905.5, 1771.0", \ + " 189.7, 224.9, 324.2, 540.5, 924.1, 1779.3", \ + " 209.7, 245.7, 344.0, 575.1, 960.9, 1801.7", \ + " 234.1, 271.1, 371.2, 603.2, 1018.8, 1850.5", \ + " 265.0, 303.2, 405.5, 638.9, 1067.7, 1940.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.7, 76.4, 105.4, 169.0, 281.6, 521.0", \ + " 72.5, 84.4, 113.6, 177.2, 289.9, 529.2", \ + " 78.7, 90.6, 119.9, 183.6, 296.3, 535.7", \ + " 86.4, 98.4, 127.9, 191.7, 304.4, 543.8", \ + " 97.8, 110.3, 140.0, 203.9, 316.7, 556.1", \ + " 111.5, 124.2, 154.4, 218.5, 331.3, 570.7", \ + " 128.6, 141.9, 172.6, 237.0, 349.9, 589.2", \ + " 150.2, 164.1, 195.7, 260.4, 373.4, 612.7", \ + " 177.9, 192.9, 226.0, 291.5, 404.5, 643.9", \ + " 214.0, 230.4, 265.8, 333.4, 447.1, 686.5" ); } +fall_transition(x1_162_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.0, 75.7, 139.8, 286.6, 549.1, 1107.4", \ + " 52.1, 75.8, 139.8, 286.6, 549.1, 1107.4", \ + " 52.4, 75.9, 139.8, 286.6, 549.1, 1107.4", \ + " 53.4, 76.5, 140.0, 286.6, 549.1, 1107.4", \ + " 56.0, 78.6, 140.9, 286.7, 549.1, 1107.4", \ + " 58.8, 80.8, 142.6, 287.4, 549.2, 1107.4", \ + " 63.2, 84.9, 144.6, 288.3, 549.6, 1107.4", \ + " 69.1, 90.6, 148.7, 289.7, 550.2, 1107.6", \ + " 77.4, 99.0, 155.7, 293.0, 551.2, 1108.1", \ + " 88.7, 110.9, 167.0, 300.7, 554.5, 1109.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 53.3 ; */ +/* intrinsic_fall : 84.9 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.5, 45.5, 66.2, 121.2, 222.4, 438.6", \ + " 46.1, 53.2, 73.7, 127.8, 228.5, 444.2", \ + " 52.3, 59.3, 79.3, 132.4, 232.5, 447.7", \ + " 61.1, 67.5, 86.7, 138.1, 237.5, 452.1", \ + " 73.7, 81.2, 99.8, 148.1, 245.7, 459.1", \ + " 84.7, 93.9, 116.6, 163.5, 257.4, 468.6", \ + " 94.1, 104.8, 131.5, 187.3, 276.3, 482.9", \ + " 101.8, 113.6, 143.0, 208.0, 308.3, 506.2", \ + " 107.4, 120.4, 151.8, 222.0, 341.3, 546.9", \ + " 109.1, 123.4, 157.0, 230.4, 361.2, 607.9" ); } +rise_transition(x1_162_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.2, 161.1, 255.0, 478.6, 882.0, 1745.5", \ + " 134.5, 166.1, 257.6, 477.0, 879.5, 1743.8", \ + " 139.8, 171.3, 261.3, 478.3, 877.7, 1741.7", \ + " 147.1, 178.6, 267.2, 481.4, 877.2, 1738.1", \ + " 159.2, 191.7, 279.7, 489.3, 879.8, 1734.6", \ + " 170.5, 206.4, 298.5, 504.1, 888.0, 1735.6", \ + " 187.7, 222.2, 319.2, 531.3, 907.1, 1744.5", \ + " 207.7, 243.0, 339.3, 565.5, 944.1, 1767.9", \ + " 232.1, 268.4, 366.4, 593.4, 1001.6, 1817.6", \ + " 263.1, 300.5, 400.6, 629.3, 1049.4, 1907.8" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.7, 76.5, 105.5, 169.0, 281.7, 521.0", \ + " 72.5, 84.4, 113.6, 177.2, 289.9, 529.3", \ + " 78.7, 90.6, 119.9, 183.6, 296.3, 535.7", \ + " 86.4, 98.4, 127.9, 191.7, 304.4, 543.8", \ + " 97.8, 110.3, 140.0, 203.9, 316.7, 556.1", \ + " 111.4, 124.2, 154.4, 218.5, 331.3, 570.7", \ + " 128.6, 141.9, 172.6, 237.0, 349.8, 589.2", \ + " 150.1, 164.1, 195.7, 260.4, 373.4, 612.6", \ + " 177.8, 192.8, 225.9, 291.4, 404.5, 643.9", \ + " 213.9, 230.3, 265.8, 333.3, 447.1, 686.5" ); } +fall_transition(x1_162_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.1, 75.8, 139.8, 286.6, 549.1, 1107.4", \ + " 52.2, 75.8, 139.8, 286.6, 549.1, 1107.4", \ + " 52.5, 76.0, 139.8, 286.6, 549.1, 1107.4", \ + " 53.4, 76.5, 140.0, 286.6, 549.1, 1107.4", \ + " 56.0, 78.6, 141.0, 286.7, 549.1, 1107.4", \ + " 58.8, 80.8, 142.6, 287.3, 549.1, 1107.4", \ + " 63.2, 84.9, 144.6, 288.3, 549.6, 1107.4", \ + " 69.2, 90.6, 148.7, 289.7, 550.1, 1107.6", \ + " 77.4, 99.0, 155.7, 293.0, 551.2, 1108.1", \ + " 88.7, 110.9, 167.0, 300.7, 554.5, 1109.0" ); }} +} +} +cell(xaon21v0x2) { /* 2008-01-06:07h58 characteristic delay 16.7 ps */ +area : 18 ; /* tracks */ +cell_leakage_power : 2888 ; /* xaon21v0x2 */ +cell_footprint : xaon21 ; +pin(a1) { /* xaon21v0x2 FO4 effort 1.77 logical effort 1.46 */ +direction : input ; +capacitance : 9.35 ; +rise_capacitance : 9.38 ; +fall_capacitance : 9.32 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaon21v0x2 */ +} +pin(a2) { /* xaon21v0x2 FO4 effort 1.84 logical effort 1.56 */ +direction : input ; +capacitance : 9.88 ; +rise_capacitance : 9.86 ; +fall_capacitance : 9.91 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaon21v0x2 */ +} +pin(b) { /* xaon21v0x2 FO4 effort 2.15 logical effort 2.81 */ +direction : input ; +capacitance : 12.87 ; +rise_capacitance : 13.03 ; +fall_capacitance : 12.70 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaon21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 230 ; +max_fanout : 6 ; +function : "((a1*a2)^b)" ; +internal_power(a1_z_n) { /* xaon21v0x2 49.26 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 24.65, 24.67, 24.70, 24.70, 24.69", \ + " 24.34, 24.40, 24.48, 24.55, 24.57", \ + " 24.34, 24.40, 24.49, 24.58, 24.63", \ + " 24.51, 24.55, 24.63, 24.73, 24.78", \ + " 25.08, 25.07, 25.09, 25.14, 25.18", \ + " 26.28, 26.18, 26.05, 25.96, 25.92", \ + " 28.53, 28.29, 27.91, 27.51, 27.26", \ + " 32.39, 31.97, 31.20, 30.29, 29.61", \ + " 38.95, 38.25, 36.91, 35.21, 33.77", \ + " 49.84, 48.80, 46.67, 43.74, 41.08" ); }} +internal_power(a1_z_p) { /* xaon21v0x2 56.24 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 27.98, 28.12, 28.31, 28.44, 28.49", \ + " 27.60, 27.75, 27.98, 28.16, 28.23", \ + " 27.58, 27.73, 27.97, 28.17, 28.26", \ + " 27.76, 27.89, 28.12, 28.34, 28.45", \ + " 28.41, 28.50, 28.68, 28.88, 29.00", \ + " 29.76, 29.78, 29.86, 29.98, 30.08", \ + " 32.25, 32.17, 32.08, 32.04, 32.03", \ + " 36.50, 36.29, 35.95, 35.62, 35.39", \ + " 43.60, 43.21, 42.52, 41.72, 41.08", \ + " 55.26, 54.63, 53.44, 51.93, 50.63" ); }} +internal_power(a2_z_n) { /* xaon21v0x2 53.51 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 26.93, 26.94, 26.95, 26.95, 26.92", \ + " 26.57, 26.61, 26.67, 26.70, 26.71", \ + " 26.54, 26.58, 26.65, 26.71, 26.72", \ + " 26.67, 26.70, 26.76, 26.82, 26.84", \ + " 27.19, 27.17, 27.18, 27.20, 27.21", \ + " 28.34, 28.25, 28.12, 28.01, 27.94", \ + " 30.59, 30.37, 29.99, 29.59, 29.31", \ + " 34.59, 34.17, 33.39, 32.47, 31.76", \ + " 41.48, 40.77, 39.39, 37.62, 36.12", \ + " 52.98, 51.93, 49.75, 46.68, 43.86" ); }} +internal_power(a2_z_p) { /* xaon21v0x2 60.49 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 30.25, 30.39, 30.57, 30.71, 30.75", \ + " 29.83, 29.97, 30.19, 30.35, 30.42", \ + " 29.77, 29.91, 30.14, 30.32, 30.41", \ + " 29.90, 30.03, 30.24, 30.45, 30.55", \ + " 30.47, 30.56, 30.74, 30.93, 31.04", \ + " 31.76, 31.79, 31.87, 31.99, 32.08", \ + " 34.26, 34.19, 34.11, 34.07, 34.05", \ + " 38.64, 38.43, 38.10, 37.77, 37.53", \ + " 46.08, 45.69, 44.98, 44.16, 43.50", \ + " 58.32, 57.69, 56.48, 54.91, 53.53" ); }} +internal_power(b_z_n) { /* xaon21v0x2 40.45 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 19.83, 20.02, 20.24, 20.39, 20.43", \ + " 19.32, 19.51, 19.81, 20.09, 20.22", \ + " 19.46, 19.61, 19.89, 20.19, 20.36", \ + " 19.93, 20.01, 20.22, 20.51, 20.70", \ + " 21.14, 21.12, 21.17, 21.35, 21.53", \ + " 23.38, 23.20, 23.00, 22.95, 23.02", \ + " 27.30, 26.94, 26.39, 25.90, 25.68", \ + " 33.70, 33.14, 32.14, 31.01, 30.24", \ + " 44.04, 43.25, 41.74, 39.75, 38.07", \ + " 60.57, 59.51, 57.36, 54.30, 51.32" ); }} +internal_power(b_z_p) { /* xaon21v0x2 54.30 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 26.77, 26.61, 26.27, 25.87, 25.57", \ + " 26.60, 26.47, 26.17, 25.76, 25.42", \ + " 26.93, 26.80, 26.50, 26.08, 25.71", \ + " 27.59, 27.46, 27.15, 26.70, 26.29", \ + " 29.08, 28.92, 28.59, 28.08, 27.60", \ + " 31.61, 31.41, 31.01, 30.41, 29.81", \ + " 35.85, 35.60, 35.09, 34.33, 33.54", \ + " 42.60, 42.25, 41.59, 40.61, 39.56", \ + " 53.39, 52.87, 51.95, 50.65, 49.24", \ + " 70.74, 69.92, 68.44, 66.60, 64.67" ); }} +timing() { /* ring osc delay xaon21v0x2, path a1 to z 102.3 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.5 ; */ +/* intrinsic_fall : 77.4 ; */ +/* rise_resistance : 1.30 ; */ +/* fall_resistance : 1.48 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.4, 57.1, 79.1, 126.4, 208.8, 382.9", \ + " 56.2, 64.9, 86.9, 134.3, 216.8, 390.8", \ + " 62.3, 71.0, 93.0, 140.4, 222.8, 396.9", \ + " 70.6, 79.2, 101.2, 148.5, 230.9, 404.9", \ + " 83.1, 92.8, 115.6, 162.9, 245.2, 419.1", \ + " 97.4, 108.5, 134.5, 183.6, 265.7, 439.5", \ + " 114.8, 127.4, 157.3, 213.0, 296.6, 470.3", \ + " 136.1, 150.5, 184.5, 248.5, 341.0, 515.5", \ + " 162.9, 179.4, 218.4, 291.8, 398.3, 582.7", \ + " 196.8, 216.0, 261.3, 345.9, 468.7, 678.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.0, 146.7, 220.0, 388.4, 691.1, 1336.8", \ + " 122.8, 148.8, 220.9, 388.7, 691.1, 1336.8", \ + " 127.9, 153.2, 223.8, 389.6, 691.3, 1336.8", \ + " 136.4, 161.0, 229.9, 392.9, 691.9, 1336.8", \ + " 153.7, 177.1, 243.6, 402.6, 696.5, 1337.1", \ + " 180.4, 202.6, 266.3, 420.7, 708.7, 1340.5", \ + " 219.3, 242.4, 303.0, 452.3, 733.6, 1354.5", \ + " 269.3, 294.2, 358.8, 502.7, 776.7, 1385.4", \ + " 338.5, 365.2, 434.4, 582.0, 847.8, 1443.4", \ + " 436.5, 465.5, 539.8, 698.1, 962.1, 1543.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.5, 71.2, 95.9, 149.4, 242.9, 440.2", \ + " 67.4, 77.1, 101.9, 155.5, 249.0, 446.4", \ + " 72.2, 81.9, 106.7, 160.3, 254.0, 451.3", \ + " 78.7, 88.5, 113.3, 167.0, 260.7, 458.2", \ + " 88.9, 99.6, 124.9, 178.8, 272.7, 470.4", \ + " 99.3, 111.5, 140.2, 195.5, 289.8, 487.9", \ + " 110.3, 124.3, 157.3, 219.1, 315.0, 514.1", \ + " 121.3, 137.3, 175.3, 246.3, 350.4, 551.7", \ + " 131.9, 150.4, 194.1, 276.2, 395.3, 606.0", \ + " 141.0, 162.3, 213.0, 308.2, 446.0, 682.5" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 121.7, 146.0, 213.0, 368.0, 647.7, 1247.1", \ + " 123.6, 147.5, 214.1, 368.6, 648.0, 1247.2", \ + " 128.1, 151.3, 216.5, 369.8, 648.5, 1247.3", \ + " 136.1, 158.6, 222.2, 372.9, 649.7, 1247.6", \ + " 152.2, 173.8, 235.3, 382.5, 654.7, 1248.6", \ + " 177.2, 197.6, 256.9, 400.4, 667.5, 1253.0", \ + " 212.4, 234.8, 291.9, 431.1, 692.7, 1268.7", \ + " 258.1, 281.7, 344.1, 479.9, 735.2, 1301.2", \ + " 323.2, 348.1, 413.7, 556.9, 805.0, 1359.6", \ + " 417.7, 444.4, 513.6, 663.9, 916.9, 1458.9" ); }} +timing() { /* ring osc delay xaon21v0x2, path a1 to z 121.8 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 107.7 ; */ +/* intrinsic_fall : 92.0 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.44 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.6, 108.3, 143.2, 220.0, 355.3, 642.0", \ + " 100.2, 113.9, 148.8, 225.6, 360.9, 647.6", \ + " 104.8, 118.4, 153.3, 230.1, 365.4, 652.2", \ + " 110.7, 124.2, 159.0, 235.8, 371.2, 657.9", \ + " 119.0, 132.6, 167.2, 243.9, 379.2, 666.0", \ + " 127.4, 141.0, 175.9, 252.4, 387.7, 674.5", \ + " 136.8, 150.4, 185.1, 261.9, 397.0, 683.8", \ + " 147.0, 160.7, 195.4, 271.8, 407.2, 693.8", \ + " 157.7, 171.7, 206.8, 283.2, 418.3, 705.0", \ + " 167.8, 182.4, 218.4, 295.6, 430.9, 717.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.0, 137.9, 227.3, 431.1, 796.1, 1573.2", \ + " 105.1, 138.0, 227.3, 431.1, 796.1, 1573.2", \ + " 105.2, 138.2, 227.4, 431.1, 796.1, 1573.2", \ + " 105.9, 138.7, 227.6, 431.2, 796.1, 1573.2", \ + " 108.4, 140.7, 228.9, 431.6, 796.2, 1573.2", \ + " 111.6, 143.6, 231.0, 432.9, 796.7, 1573.2", \ + " 115.0, 146.8, 233.9, 434.5, 797.6, 1573.6", \ + " 120.9, 152.1, 237.6, 437.1, 798.9, 1574.4", \ + " 129.8, 160.7, 244.8, 441.8, 801.7, 1575.6", \ + " 142.6, 173.4, 256.9, 451.3, 808.2, 1579.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.7, 81.5, 105.6, 157.3, 247.9, 439.7", \ + " 79.4, 89.2, 113.4, 165.2, 255.8, 447.6", \ + " 85.4, 95.2, 119.4, 171.3, 262.0, 453.8", \ + " 92.9, 102.7, 127.0, 178.9, 269.7, 461.7", \ + " 104.1, 114.1, 138.4, 190.5, 281.3, 473.3", \ + " 117.0, 127.1, 151.8, 204.0, 294.9, 487.0", \ + " 133.0, 143.4, 168.4, 220.8, 311.9, 504.0", \ + " 152.8, 163.6, 189.0, 241.7, 332.9, 525.0", \ + " 177.7, 189.0, 215.4, 268.6, 359.8, 552.2", \ + " 209.1, 221.4, 249.2, 303.6, 395.2, 587.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.2, 81.4, 139.0, 270.1, 504.7, 1004.2", \ + " 60.3, 81.5, 139.0, 270.1, 504.7, 1004.2", \ + " 60.5, 81.6, 139.0, 270.1, 504.7, 1004.2", \ + " 61.1, 82.1, 139.3, 270.2, 504.7, 1004.2", \ + " 63.5, 83.9, 140.4, 270.6, 504.8, 1004.2", \ + " 66.8, 86.9, 142.3, 271.7, 505.2, 1004.2", \ + " 71.5, 91.0, 145.4, 273.3, 506.0, 1004.5", \ + " 78.2, 97.1, 149.9, 276.1, 507.2, 1004.9", \ + " 87.8, 106.4, 157.5, 280.6, 509.8, 1005.8", \ + " 101.3, 119.8, 169.7, 289.2, 514.8, 1008.0" ); }} +timing() { /* ring osc delay xaon21v0x2, path a2 to z 101.1 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.8 ; */ +/* intrinsic_fall : 75.8 ; */ +/* rise_resistance : 1.31 ; */ +/* fall_resistance : 1.48 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.3, 61.1, 83.3, 130.9, 213.5, 387.7", \ + " 60.2, 68.9, 91.1, 138.8, 221.4, 395.5", \ + " 66.4, 75.1, 97.2, 144.8, 227.4, 401.6", \ + " 74.8, 83.5, 105.5, 153.0, 235.6, 409.6", \ + " 88.3, 97.7, 120.2, 167.6, 249.9, 423.9", \ + " 103.9, 114.6, 139.9, 188.5, 270.7, 444.4", \ + " 123.1, 135.2, 164.1, 218.7, 301.9, 475.3", \ + " 146.9, 160.6, 193.3, 255.7, 346.9, 520.9", \ + " 177.8, 193.2, 230.3, 301.4, 406.0, 588.7", \ + " 218.3, 236.0, 278.4, 359.3, 479.1, 686.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 132.1, 159.9, 236.2, 412.1, 728.5, 1404.2", \ + " 135.7, 162.7, 237.7, 412.7, 728.7, 1404.3", \ + " 141.2, 167.6, 241.4, 414.2, 729.1, 1404.3", \ + " 150.1, 175.9, 248.1, 418.6, 730.6, 1404.5", \ + " 168.3, 192.9, 262.7, 429.6, 737.1, 1405.5", \ + " 196.3, 219.7, 286.9, 449.2, 751.4, 1412.1", \ + " 238.9, 261.8, 325.9, 483.1, 778.6, 1429.7", \ + " 294.1, 319.4, 385.2, 536.9, 825.0, 1464.5", \ + " 370.2, 397.4, 468.4, 620.8, 901.2, 1527.3", \ + " 478.1, 507.6, 583.9, 747.0, 1022.5, 1634.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.4, 75.1, 99.8, 153.3, 246.8, 444.0", \ + " 69.7, 79.5, 104.3, 157.8, 251.4, 448.7", \ + " 72.8, 82.6, 107.4, 161.1, 254.7, 452.0", \ + " 77.1, 86.9, 111.8, 165.5, 259.2, 456.6", \ + " 83.5, 94.0, 119.4, 173.3, 267.2, 464.9", \ + " 90.4, 101.8, 129.4, 184.6, 278.8, 476.8", \ + " 97.9, 110.6, 140.8, 200.5, 296.1, 494.8", \ + " 105.1, 119.4, 153.3, 218.8, 320.7, 520.8", \ + " 110.7, 127.2, 166.1, 239.5, 350.8, 559.0", \ + " 112.3, 131.5, 176.9, 261.3, 385.6, 611.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.6, 139.7, 203.6, 352.1, 620.8, 1196.9", \ + " 117.5, 140.5, 204.2, 352.4, 620.9, 1196.9", \ + " 120.1, 142.7, 205.5, 353.0, 621.1, 1197.0", \ + " 124.9, 147.0, 208.9, 354.7, 621.7, 1197.1", \ + " 136.0, 157.0, 217.0, 360.3, 624.2, 1197.4", \ + " 156.0, 175.2, 232.1, 371.4, 631.3, 1199.4", \ + " 184.1, 204.4, 259.6, 392.8, 646.6, 1207.3", \ + " 223.9, 244.6, 301.2, 430.3, 675.6, 1225.6", \ + " 281.9, 303.0, 360.3, 492.0, 728.0, 1263.1", \ + " 365.6, 388.1, 447.5, 581.1, 818.6, 1334.1" ); }} +timing() { /* ring osc delay xaon21v0x2, path a2 to z 122.9 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 106.4 ; */ +/* intrinsic_fall : 97.1 ; */ +/* rise_resistance : 2.13 ; */ +/* fall_resistance : 1.44 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.5, 112.2, 147.2, 223.9, 359.2, 645.9", \ + " 102.5, 116.2, 151.2, 228.0, 363.3, 650.0", \ + " 105.5, 119.1, 154.1, 230.8, 366.1, 652.9", \ + " 109.3, 122.9, 157.8, 234.5, 369.8, 656.6", \ + " 115.1, 128.7, 163.5, 240.2, 375.5, 662.3", \ + " 121.2, 134.9, 169.9, 246.5, 381.8, 668.5", \ + " 127.9, 141.7, 176.6, 253.4, 388.6, 675.3", \ + " 134.7, 148.7, 183.8, 260.3, 395.6, 682.3", \ + " 140.4, 154.9, 190.7, 267.6, 402.7, 689.4", \ + " 143.2, 158.3, 195.2, 273.6, 409.5, 696.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.0, 137.9, 227.2, 431.1, 796.1, 1573.2", \ + " 105.0, 138.0, 227.3, 431.1, 796.1, 1573.2", \ + " 105.2, 138.1, 227.3, 431.1, 796.1, 1573.2", \ + " 105.8, 138.5, 227.6, 431.2, 796.1, 1573.2", \ + " 108.0, 140.4, 228.7, 431.6, 796.2, 1573.2", \ + " 111.0, 143.1, 230.7, 432.7, 796.6, 1573.2", \ + " 114.6, 146.4, 233.5, 434.3, 797.4, 1573.5", \ + " 120.6, 152.1, 237.8, 437.1, 798.7, 1574.1", \ + " 129.3, 160.8, 245.7, 442.6, 802.0, 1575.4", \ + " 141.4, 173.2, 258.2, 453.4, 809.8, 1579.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.1, 85.9, 110.1, 161.9, 252.6, 444.5", \ + " 83.9, 93.7, 118.0, 169.8, 260.5, 452.4", \ + " 90.0, 99.8, 124.1, 176.0, 266.8, 458.7", \ + " 97.9, 107.8, 132.1, 184.1, 274.9, 466.9", \ + " 110.3, 120.2, 144.6, 196.7, 287.6, 479.6", \ + " 124.7, 134.8, 159.5, 211.7, 302.7, 494.8", \ + " 142.8, 153.2, 178.2, 230.6, 321.7, 513.8", \ + " 165.5, 176.3, 201.7, 254.3, 345.5, 537.7", \ + " 194.8, 206.2, 232.5, 285.5, 376.7, 569.1", \ + " 233.3, 245.7, 273.3, 327.4, 418.7, 611.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.2, 82.2, 139.5, 270.4, 504.8, 1004.3", \ + " 61.2, 82.2, 139.5, 270.4, 504.8, 1004.3", \ + " 61.4, 82.4, 139.5, 270.4, 504.8, 1004.3", \ + " 61.9, 82.7, 139.7, 270.5, 504.8, 1004.3", \ + " 64.1, 84.4, 140.7, 270.8, 504.9, 1004.3", \ + " 67.7, 87.5, 142.7, 271.8, 505.3, 1004.4", \ + " 72.4, 91.8, 145.9, 273.5, 506.1, 1004.6", \ + " 79.0, 97.8, 150.3, 276.2, 507.3, 1005.0", \ + " 88.4, 106.7, 157.5, 280.4, 509.5, 1005.8", \ + " 101.4, 119.6, 168.9, 288.1, 513.8, 1007.5" ); }} +timing() { /* ring osc delay xaon21v0x2, path b to z 77.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.3 ; */ +/* intrinsic_fall : 24.4 ; */ +/* rise_resistance : 2.14 ; */ +/* fall_resistance : 1.28 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 78.0, 113.5, 190.4, 325.7, 612.4", \ + " 70.5, 84.8, 120.6, 198.0, 333.7, 620.7", \ + " 76.6, 90.7, 126.4, 204.0, 339.8, 626.9", \ + " 84.7, 98.7, 134.2, 211.7, 347.7, 635.0", \ + " 98.0, 112.1, 147.5, 225.0, 361.1, 648.5", \ + " 113.7, 129.4, 166.3, 243.6, 379.7, 667.3", \ + " 135.2, 152.2, 192.6, 272.0, 408.1, 695.7", \ + " 164.5, 183.3, 227.5, 313.7, 450.6, 738.1", \ + " 206.0, 227.4, 276.7, 370.9, 515.7, 803.2", \ + " 265.5, 290.6, 347.0, 451.3, 609.2, 903.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 110.7, 145.0, 237.7, 449.1, 827.8, 1634.7", \ + " 111.2, 145.5, 237.9, 449.1, 827.8, 1634.7", \ + " 112.5, 145.6, 238.0, 449.1, 827.8, 1634.7", \ + " 116.5, 148.9, 238.9, 449.3, 827.8, 1634.7", \ + " 123.7, 155.3, 243.7, 451.0, 828.0, 1634.7", \ + " 134.7, 165.1, 252.1, 457.0, 830.4, 1634.8", \ + " 152.0, 182.4, 266.5, 469.0, 838.7, 1636.7", \ + " 170.7, 204.9, 291.6, 490.0, 855.3, 1646.0", \ + " 198.6, 235.0, 329.4, 526.6, 885.6, 1667.3", \ + " 243.5, 281.6, 380.4, 588.8, 938.8, 1708.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.6, 23.6, 39.4, 86.5, 176.7, 370.9", \ + " 25.0, 30.3, 45.0, 90.7, 180.5, 374.4", \ + " 27.7, 34.0, 49.4, 93.4, 182.6, 376.0", \ + " 29.6, 37.1, 54.9, 97.0, 185.0, 377.6", \ + " 30.8, 39.8, 60.9, 104.5, 189.2, 379.9", \ + " 29.7, 40.4, 65.1, 114.2, 196.5, 383.4", \ + " 25.1, 37.7, 66.7, 122.5, 210.2, 390.4", \ + " 14.9, 29.7, 63.6, 127.2, 225.6, 404.5", \ + " -4.4, 13.0, 52.5, 125.5, 236.8, 430.9", \ + " -38.3, -17.9, 28.1, 112.7, 239.0, 458.2" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 48.6, 83.1, 160.8, 323.1, 610.6, 1224.7", \ + " 54.6, 87.6, 162.5, 322.1, 607.6, 1221.5", \ + " 61.6, 93.5, 166.7, 323.8, 606.4, 1218.0", \ + " 72.9, 102.6, 174.2, 328.0, 606.8, 1213.6", \ + " 82.4, 121.1, 189.3, 338.9, 611.1, 1210.2", \ + " 99.8, 136.0, 212.9, 358.7, 622.8, 1211.1", \ + " 126.2, 160.3, 245.3, 392.2, 648.4, 1221.2", \ + " 162.8, 196.0, 285.9, 445.4, 694.6, 1248.6", \ + " 214.3, 247.6, 343.4, 516.2, 771.5, 1306.9", \ + " 287.0, 322.1, 424.3, 614.2, 889.8, 1415.5" ); }} +timing() { /* ring osc delay xaon21v0x2, path b to z 80.0 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 82.7 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 33.9, 38.1, 49.8, 78.3, 132.0, 248.7", \ + " 41.6, 45.9, 57.6, 85.9, 139.4, 255.8", \ + " 47.6, 52.0, 63.5, 91.6, 144.7, 260.8", \ + " 55.8, 60.1, 71.2, 98.7, 151.4, 267.0", \ + " 65.7, 71.0, 83.9, 110.9, 162.6, 277.3", \ + " 74.1, 80.4, 95.9, 127.7, 178.5, 291.5", \ + " 81.1, 88.3, 105.9, 143.6, 202.6, 313.4", \ + " 86.1, 94.2, 113.5, 155.1, 225.6, 346.9", \ + " 88.4, 97.3, 118.2, 162.4, 240.6, 388.9", \ + " 85.6, 95.6, 118.5, 165.0, 247.2, 416.9" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 95.7, 114.3, 168.5, 298.8, 535.8, 1045.8", \ + " 101.4, 119.8, 172.4, 299.4, 534.7, 1045.2", \ + " 107.2, 125.4, 177.3, 302.4, 535.3, 1044.6", \ + " 115.5, 133.6, 184.7, 307.8, 538.0, 1044.4", \ + " 127.1, 146.7, 198.7, 319.3, 545.6, 1048.0", \ + " 138.8, 158.6, 215.2, 338.4, 560.8, 1058.0", \ + " 153.6, 174.0, 230.1, 363.4, 588.6, 1079.3", \ + " 170.8, 191.8, 249.1, 383.5, 628.7, 1118.2", \ + " 192.3, 213.9, 272.6, 408.2, 660.9, 1183.0", \ + " 220.3, 242.8, 303.0, 440.9, 693.9, 1251.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.7, 72.0, 95.3, 146.1, 236.2, 427.7", \ + " 70.5, 79.9, 103.4, 154.3, 244.5, 436.0", \ + " 76.5, 86.0, 109.6, 160.6, 250.8, 442.3", \ + " 84.0, 93.6, 117.2, 168.4, 258.6, 450.1", \ + " 95.0, 104.9, 128.8, 180.1, 270.3, 461.8", \ + " 108.3, 118.3, 142.6, 194.1, 284.4, 475.9", \ + " 125.3, 135.7, 160.4, 212.1, 302.5, 494.0", \ + " 146.9, 157.9, 183.3, 235.3, 325.8, 517.3", \ + " 175.2, 186.9, 213.6, 266.6, 357.2, 548.8", \ + " 212.6, 225.4, 254.1, 309.0, 400.6, 592.3" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 53.2, 72.1, 123.3, 240.4, 450.3, 896.9", \ + " 53.3, 72.2, 123.3, 240.4, 450.3, 896.9", \ + " 53.7, 72.4, 123.3, 240.4, 450.3, 896.9", \ + " 54.8, 73.1, 123.6, 240.4, 450.3, 896.9", \ + " 57.2, 75.2, 124.8, 240.6, 450.3, 896.9", \ + " 59.8, 77.3, 126.4, 241.5, 450.4, 896.9", \ + " 64.0, 81.2, 128.6, 242.5, 451.0, 896.9", \ + " 69.8, 86.8, 132.9, 244.0, 451.6, 897.3", \ + " 77.9, 95.1, 140.1, 248.4, 452.9, 897.8", \ + " 89.0, 106.7, 151.6, 257.1, 457.9, 899.3" ); }} +timing() { /* ring osc delay xaon21v0x2, path b to z 87.0 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 82.7 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 42.6, 60.0, 104.2, 185.1, 358.1", \ + " 44.3, 50.1, 67.2, 110.7, 191.0, 363.6", \ + " 50.2, 55.8, 72.4, 115.1, 194.9, 367.1", \ + " 58.6, 63.8, 79.2, 120.7, 199.7, 371.2", \ + " 69.7, 76.1, 92.2, 130.4, 207.7, 378.0", \ + " 79.0, 86.7, 106.3, 146.3, 219.6, 387.3", \ + " 86.4, 95.2, 117.9, 166.4, 239.7, 402.2", \ + " 91.8, 101.5, 126.1, 181.2, 269.5, 427.5", \ + " 94.5, 105.1, 131.2, 189.7, 291.8, 471.8", \ + " 92.3, 104.0, 131.8, 192.6, 301.6, 517.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.1, 153.7, 229.7, 410.1, 736.3, 1434.9", \ + " 131.9, 158.2, 232.3, 408.9, 733.5, 1433.2", \ + " 136.5, 162.6, 235.9, 410.3, 732.2, 1431.0", \ + " 143.1, 169.2, 241.6, 413.6, 732.4, 1427.7", \ + " 153.8, 181.0, 253.3, 421.9, 736.0, 1426.1", \ + " 163.3, 192.9, 270.3, 437.8, 745.9, 1429.5", \ + " 178.2, 206.6, 286.1, 464.5, 768.1, 1441.9", \ + " 195.4, 224.6, 303.8, 490.2, 807.9, 1470.9", \ + " 216.8, 246.7, 327.4, 513.1, 854.8, 1527.9", \ + " 244.4, 275.0, 357.6, 545.5, 888.7, 1617.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 71.7, 94.9, 145.8, 235.9, 427.4", \ + " 70.3, 79.7, 103.1, 154.1, 244.2, 435.7", \ + " 76.5, 85.9, 109.4, 160.4, 250.7, 442.2", \ + " 84.0, 93.5, 117.1, 168.3, 258.5, 450.1", \ + " 95.1, 104.9, 128.9, 180.1, 270.4, 461.9", \ + " 108.5, 118.5, 142.7, 194.3, 284.5, 476.1", \ + " 125.6, 136.0, 160.6, 212.3, 302.8, 494.2", \ + " 147.3, 158.2, 183.6, 235.7, 326.1, 517.6", \ + " 175.6, 187.3, 214.0, 267.0, 357.6, 549.2", \ + " 212.9, 225.8, 254.5, 309.4, 401.1, 592.8" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.8, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 52.9, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 53.3, 72.1, 123.3, 240.6, 450.6, 897.2", \ + " 54.3, 72.8, 123.5, 240.6, 450.6, 897.2", \ + " 56.8, 74.9, 124.7, 240.8, 450.6, 897.2", \ + " 59.3, 77.0, 126.3, 241.7, 450.7, 897.2", \ + " 63.5, 80.8, 128.4, 242.7, 451.3, 897.2", \ + " 69.4, 86.4, 132.6, 244.2, 452.0, 897.7", \ + " 77.6, 94.6, 139.8, 248.4, 453.3, 898.2", \ + " 88.8, 106.4, 151.1, 257.0, 458.1, 899.7" ); }} +timing() { /* ring osc delay xaon21v0x2, path b to z 86.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 82.7 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.7, 42.4, 58.9, 102.9, 184.1, 357.4", \ + " 44.3, 49.9, 66.3, 109.4, 190.1, 362.9", \ + " 50.2, 55.8, 71.8, 113.8, 194.0, 366.4", \ + " 58.6, 63.7, 78.9, 119.4, 198.8, 370.6", \ + " 69.7, 76.1, 92.1, 129.5, 206.8, 377.5", \ + " 79.0, 86.7, 106.3, 146.1, 218.7, 386.8", \ + " 86.4, 95.2, 117.9, 166.3, 239.1, 401.7", \ + " 91.8, 101.5, 126.1, 181.2, 269.3, 426.9", \ + " 94.5, 105.1, 131.2, 189.7, 291.7, 471.3", \ + " 92.3, 104.0, 131.8, 192.6, 301.5, 517.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.0, 153.3, 229.5, 410.3, 736.6, 1436.4", \ + " 131.8, 157.9, 232.1, 409.0, 733.8, 1434.2", \ + " 136.5, 162.6, 235.4, 410.4, 732.5, 1431.8", \ + " 143.2, 169.2, 241.2, 413.6, 732.7, 1428.5", \ + " 153.8, 181.1, 253.2, 421.9, 736.3, 1427.0", \ + " 163.3, 192.9, 270.3, 437.6, 746.2, 1430.3", \ + " 178.2, 206.7, 286.2, 464.5, 768.2, 1442.6", \ + " 195.5, 224.7, 303.9, 490.2, 807.7, 1471.4", \ + " 216.8, 246.7, 327.4, 513.1, 854.7, 1528.2", \ + " 244.4, 275.1, 357.6, 545.6, 888.6, 1617.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 71.7, 94.9, 145.8, 235.9, 427.4", \ + " 70.3, 79.7, 103.1, 154.1, 244.2, 435.7", \ + " 76.5, 85.9, 109.4, 160.4, 250.6, 442.2", \ + " 84.0, 93.5, 117.1, 168.3, 258.5, 450.1", \ + " 95.1, 104.9, 128.9, 180.1, 270.4, 461.9", \ + " 108.5, 118.5, 142.7, 194.3, 284.5, 476.0", \ + " 125.6, 136.0, 160.6, 212.3, 302.8, 494.2", \ + " 147.3, 158.2, 183.6, 235.7, 326.1, 517.6", \ + " 175.5, 187.3, 214.0, 267.0, 357.6, 549.2", \ + " 212.9, 225.8, 254.5, 309.4, 401.1, 592.8" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.8, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 52.9, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 53.3, 72.1, 123.3, 240.6, 450.6, 897.2", \ + " 54.3, 72.8, 123.5, 240.6, 450.6, 897.2", \ + " 56.8, 74.9, 124.7, 240.8, 450.6, 897.2", \ + " 59.3, 77.0, 126.3, 241.7, 450.7, 897.2", \ + " 63.5, 80.8, 128.4, 242.7, 451.3, 897.2", \ + " 69.4, 86.4, 132.6, 244.2, 452.0, 897.7", \ + " 77.6, 94.6, 139.8, 248.4, 453.3, 898.2", \ + " 88.8, 106.4, 151.1, 257.0, 458.1, 899.7" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 52.2 ; */ +/* intrinsic_fall : 82.7 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 36.8, 42.6, 60.0, 104.2, 185.1, 358.1", \ + " 44.3, 50.1, 67.2, 110.7, 191.0, 363.6", \ + " 50.2, 55.8, 72.4, 115.1, 194.9, 367.1", \ + " 58.6, 63.8, 79.2, 120.7, 199.7, 371.2", \ + " 69.7, 76.1, 92.2, 130.4, 207.7, 378.0", \ + " 79.0, 86.7, 106.3, 146.3, 219.6, 387.3", \ + " 86.4, 95.2, 117.9, 166.4, 239.7, 402.2", \ + " 91.8, 101.5, 126.1, 181.2, 269.5, 427.5", \ + " 94.5, 105.1, 131.2, 189.7, 291.8, 471.8", \ + " 92.3, 104.0, 131.8, 192.6, 301.6, 517.3" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 127.1, 153.7, 229.7, 410.1, 736.3, 1434.9", \ + " 131.9, 158.2, 232.3, 408.9, 733.5, 1433.2", \ + " 136.5, 162.6, 235.9, 410.3, 732.2, 1431.0", \ + " 143.1, 169.2, 241.6, 413.6, 732.4, 1427.7", \ + " 153.8, 181.0, 253.3, 421.9, 736.0, 1426.1", \ + " 163.3, 192.9, 270.3, 437.8, 745.9, 1429.5", \ + " 178.2, 206.6, 286.1, 464.5, 768.1, 1441.9", \ + " 195.4, 224.6, 303.8, 490.2, 807.9, 1470.9", \ + " 216.8, 246.7, 327.4, 513.1, 854.8, 1527.9", \ + " 244.4, 275.0, 357.6, 545.5, 888.7, 1617.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 71.7, 94.9, 145.8, 235.9, 427.4", \ + " 70.3, 79.7, 103.1, 154.1, 244.2, 435.7", \ + " 76.5, 85.9, 109.4, 160.4, 250.7, 442.2", \ + " 84.0, 93.5, 117.1, 168.3, 258.5, 450.1", \ + " 95.1, 104.9, 128.9, 180.1, 270.4, 461.9", \ + " 108.5, 118.5, 142.7, 194.3, 284.5, 476.1", \ + " 125.6, 136.0, 160.6, 212.3, 302.8, 494.2", \ + " 147.3, 158.2, 183.6, 235.7, 326.1, 517.6", \ + " 175.6, 187.3, 214.0, 267.0, 357.6, 549.2", \ + " 212.9, 225.8, 254.5, 309.4, 401.1, 592.8" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 52.8, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 52.9, 71.9, 123.2, 240.6, 450.6, 897.2", \ + " 53.3, 72.1, 123.3, 240.6, 450.6, 897.2", \ + " 54.3, 72.8, 123.5, 240.6, 450.6, 897.2", \ + " 56.8, 74.9, 124.7, 240.8, 450.6, 897.2", \ + " 59.3, 77.0, 126.3, 241.7, 450.7, 897.2", \ + " 63.5, 80.8, 128.4, 242.7, 451.3, 897.2", \ + " 69.4, 86.4, 132.6, 244.2, 452.0, 897.7", \ + " 77.6, 94.6, 139.8, 248.4, 453.3, 898.2", \ + " 88.8, 106.4, 151.1, 257.0, 458.1, 899.7" ); }} +} +} +cell(xaon21v0x3) { /* 2008-01-06:07h59 characteristic delay 15.9 ps */ +area : 22 ; /* tracks */ +cell_leakage_power : 4401 ; /* xaon21v0x3 */ +cell_footprint : xaon21 ; +pin(a1) { /* xaon21v0x3 FO4 effort 1.78 logical effort 1.66 */ +direction : input ; +capacitance : 16.50 ; +rise_capacitance : 16.45 ; +fall_capacitance : 16.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xaon21v0x3 */ +} +pin(a2) { /* xaon21v0x3 FO4 effort 1.74 logical effort 1.64 */ +direction : input ; +capacitance : 16.53 ; +rise_capacitance : 16.56 ; +fall_capacitance : 16.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xaon21v0x3 */ +} +pin(b) { /* xaon21v0x3 FO4 effort 1.88 logical effort 2.28 */ +direction : input ; +capacitance : 17.05 ; +rise_capacitance : 17.24 ; +fall_capacitance : 16.87 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xaon21v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 353 ; +max_fanout : 8 ; +function : "((a1*a2)^b)" ; +internal_power(a1_z_n) { /* xaon21v0x3 78.70 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 39.50, 39.53, 39.55, 39.54, 39.51", \ + " 38.89, 38.96, 39.07, 39.14, 39.14", \ + " 38.89, 38.96, 39.08, 39.18, 39.21", \ + " 39.21, 39.25, 39.35, 39.46, 39.50", \ + " 40.29, 40.25, 40.24, 40.27, 40.29", \ + " 42.58, 42.39, 42.13, 41.92, 41.81", \ + " 46.91, 46.48, 45.76, 45.05, 44.58", \ + " 54.45, 53.65, 52.23, 50.61, 49.40", \ + " 67.18, 65.93, 63.48, 60.38, 57.81", \ + " 88.07, 86.29, 82.58, 77.32, 72.50" ); }} +internal_power(a1_z_p) { /* xaon21v0x3 88.58 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 44.07, 44.34, 44.71, 44.96, 45.05", \ + " 43.38, 43.66, 44.07, 44.38, 44.51", \ + " 43.34, 43.61, 44.03, 44.38, 44.53", \ + " 43.66, 43.89, 44.29, 44.66, 44.84", \ + " 44.82, 44.97, 45.28, 45.62, 45.82", \ + " 47.27, 47.29, 47.43, 47.65, 47.80", \ + " 51.91, 51.75, 51.59, 51.53, 51.51", \ + " 59.92, 59.51, 58.89, 58.31, 57.92", \ + " 73.32, 72.59, 71.34, 69.92, 68.81", \ + " 95.09, 93.99, 91.94, 89.28, 86.97" ); }} +internal_power(a2_z_n) { /* xaon21v0x3 69.92 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 34.89, 34.95, 35.00, 35.02, 34.99", \ + " 34.30, 34.41, 34.58, 34.72, 34.76", \ + " 34.34, 34.45, 34.64, 34.83, 34.91", \ + " 34.73, 34.80, 34.96, 35.15, 35.27", \ + " 35.95, 35.91, 35.92, 36.03, 36.12", \ + " 38.35, 38.14, 37.86, 37.69, 37.65", \ + " 42.73, 42.26, 41.50, 40.78, 40.36", \ + " 50.15, 49.31, 47.83, 46.18, 45.01", \ + " 62.49, 61.18, 58.68, 55.57, 53.06", \ + " 82.62, 80.77, 76.96, 71.72, 67.02" ); }} +internal_power(a2_z_p) { /* xaon21v0x3 79.74 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 39.48, 39.77, 40.15, 40.41, 40.50", \ + " 38.77, 39.08, 39.53, 39.88, 40.02", \ + " 38.78, 39.07, 39.53, 39.92, 40.11", \ + " 39.19, 39.44, 39.87, 40.28, 40.51", \ + " 40.51, 40.66, 40.98, 41.36, 41.60", \ + " 43.11, 43.11, 43.24, 43.48, 43.67", \ + " 47.81, 47.63, 47.44, 47.37, 47.38", \ + " 55.70, 55.27, 54.62, 54.03, 53.65", \ + " 68.71, 67.96, 66.68, 65.25, 64.18", \ + " 89.76, 88.62, 86.52, 83.88, 81.63" ); }} +internal_power(b_z_n) { /* xaon21v0x3 55.49 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 27.19, 27.40, 27.63, 27.76, 27.79", \ + " 26.56, 26.77, 27.11, 27.38, 27.51", \ + " 26.77, 26.94, 27.25, 27.56, 27.72", \ + " 27.43, 27.52, 27.75, 28.04, 28.23", \ + " 29.12, 29.06, 29.08, 29.26, 29.43", \ + " 32.20, 31.94, 31.62, 31.50, 31.54", \ + " 37.61, 37.09, 36.28, 35.58, 35.25", \ + " 46.49, 45.68, 44.23, 42.62, 41.54", \ + " 60.93, 59.76, 57.54, 54.67, 52.30", \ + " 84.12, 82.51, 79.32, 74.82, 70.53" ); }} +internal_power(b_z_p) { /* xaon21v0x3 75.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 37.47, 37.28, 36.82, 36.30, 35.93", \ + " 37.20, 37.06, 36.67, 36.16, 35.75", \ + " 37.61, 37.48, 37.11, 36.59, 36.16", \ + " 38.49, 38.35, 37.99, 37.44, 36.96", \ + " 40.51, 40.33, 39.94, 39.30, 38.73", \ + " 43.96, 43.72, 43.24, 42.48, 41.76", \ + " 49.78, 49.45, 48.80, 47.84, 46.86", \ + " 59.09, 58.62, 57.74, 56.45, 55.10", \ + " 74.06, 73.32, 72.04, 70.28, 68.39", \ + " 98.17, 97.00, 94.88, 92.31, 89.67" ); }} +timing() { /* ring osc delay xaon21v0x3, path a1 to z 83.9 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.6 ; */ +/* intrinsic_fall : 59.6 ; */ +/* rise_resistance : 0.94 ; */ +/* fall_resistance : 0.81 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.5, 62.5, 87.0, 138.5, 227.5, 415.9", \ + " 60.2, 70.2, 94.7, 146.2, 235.3, 423.7", \ + " 66.5, 76.4, 100.8, 152.2, 241.3, 429.6", \ + " 75.0, 84.8, 109.2, 160.5, 249.4, 437.7", \ + " 88.8, 99.3, 123.9, 175.1, 263.8, 451.9", \ + " 105.1, 116.8, 144.0, 196.1, 284.5, 472.4", \ + " 126.0, 138.9, 169.4, 226.7, 315.6, 503.1", \ + " 152.8, 167.1, 201.1, 265.6, 360.8, 548.2", \ + " 188.8, 204.6, 242.6, 314.9, 422.4, 615.6", \ + " 237.8, 255.7, 298.5, 379.8, 500.9, 714.8" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.0, 147.2, 220.2, 390.0, 697.2, 1354.8", \ + " 123.6, 149.2, 221.3, 390.6, 697.5, 1354.8", \ + " 128.6, 153.5, 224.0, 391.6, 697.8, 1354.9", \ + " 136.8, 160.9, 229.8, 394.6, 698.7, 1355.1", \ + " 153.1, 176.2, 242.6, 403.5, 702.8, 1355.8", \ + " 178.3, 200.1, 263.7, 420.1, 713.7, 1358.8", \ + " 215.2, 237.4, 298.0, 449.0, 735.9, 1370.8", \ + " 262.2, 286.4, 350.0, 495.0, 774.3, 1397.6", \ + " 326.6, 352.7, 420.8, 567.8, 838.1, 1448.1", \ + " 417.2, 445.5, 518.7, 675.7, 941.7, 1535.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.3, 56.7, 77.6, 121.7, 197.9, 357.8", \ + " 52.8, 61.3, 82.2, 126.4, 202.7, 362.6", \ + " 55.9, 64.4, 85.3, 129.7, 206.0, 366.1", \ + " 59.5, 68.3, 89.4, 133.9, 210.5, 370.8", \ + " 63.9, 73.5, 96.1, 141.3, 218.3, 379.0", \ + " 68.0, 78.5, 103.1, 151.2, 229.2, 390.8", \ + " 71.2, 83.0, 110.4, 162.6, 244.5, 407.8", \ + " 71.7, 85.3, 116.6, 174.4, 262.9, 431.3", \ + " 67.5, 83.4, 119.8, 185.6, 282.9, 463.0", \ + " 55.1, 73.6, 116.3, 193.3, 303.0, 499.6" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.2, 106.2, 165.4, 303.0, 550.8, 1081.0", \ + " 87.3, 107.9, 166.5, 303.6, 551.1, 1081.1", \ + " 90.9, 111.1, 168.9, 305.0, 551.7, 1081.3", \ + " 97.1, 116.6, 173.4, 308.2, 553.4, 1081.8", \ + " 110.8, 129.0, 183.5, 315.8, 558.7, 1083.9", \ + " 131.0, 149.6, 201.0, 329.6, 569.2, 1090.0", \ + " 159.7, 178.2, 230.7, 353.9, 588.7, 1103.6", \ + " 201.3, 219.7, 271.9, 394.6, 622.0, 1129.2", \ + " 261.9, 280.8, 333.0, 455.9, 678.4, 1174.8", \ + " 349.2, 369.6, 424.0, 546.9, 770.5, 1253.2" ); }} +timing() { /* ring osc delay xaon21v0x3, path a1 to z 111.8 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.4 ; */ +/* intrinsic_fall : 102.5 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.10 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.2, 91.2, 126.0, 201.6, 334.6, 616.4", \ + " 81.4, 95.4, 130.2, 205.9, 338.9, 620.7", \ + " 84.2, 98.1, 132.9, 208.6, 341.7, 623.6", \ + " 87.6, 101.4, 136.2, 212.0, 345.1, 627.0", \ + " 91.9, 105.9, 140.7, 216.4, 349.6, 631.5", \ + " 95.9, 109.9, 144.8, 220.6, 353.8, 635.8", \ + " 99.1, 113.3, 148.3, 224.1, 357.3, 639.3", \ + " 100.4, 115.0, 150.3, 226.1, 359.5, 641.4", \ + " 97.9, 113.1, 149.3, 225.7, 359.0, 641.1", \ + " 88.1, 104.2, 141.8, 219.7, 354.3, 636.4" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.1, 115.6, 203.7, 404.5, 763.3, 1526.4", \ + " 83.2, 115.6, 203.7, 404.5, 763.3, 1526.4", \ + " 83.5, 115.8, 203.8, 404.6, 763.3, 1526.4", \ + " 84.6, 116.6, 204.1, 404.6, 763.3, 1526.4", \ + " 86.9, 118.5, 205.3, 405.1, 763.4, 1526.4", \ + " 89.5, 121.2, 207.1, 405.9, 763.8, 1526.4", \ + " 93.9, 124.7, 209.9, 407.3, 764.3, 1526.7", \ + " 100.3, 130.8, 214.4, 410.1, 765.6, 1527.2", \ + " 109.1, 139.7, 222.5, 415.6, 769.0, 1528.6", \ + " 121.2, 152.1, 234.8, 426.4, 776.5, 1533.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.4, 92.3, 120.7, 180.4, 284.4, 504.5", \ + " 88.0, 100.0, 128.4, 188.1, 292.2, 512.3", \ + " 94.2, 106.2, 134.6, 194.4, 298.5, 518.7", \ + " 102.6, 114.5, 143.0, 202.8, 307.0, 527.2", \ + " 116.5, 128.5, 156.9, 216.8, 321.1, 541.4", \ + " 133.4, 145.7, 174.6, 234.5, 338.9, 559.2", \ + " 154.9, 167.7, 197.1, 257.4, 361.8, 582.2", \ + " 182.4, 195.9, 226.0, 286.7, 391.3, 611.7", \ + " 219.1, 233.5, 264.8, 326.1, 430.7, 651.4", \ + " 269.0, 284.5, 317.9, 380.5, 485.5, 706.3" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.2, 87.2, 152.2, 301.3, 569.6, 1142.2", \ + " 63.3, 87.3, 152.2, 301.3, 569.6, 1142.2", \ + " 63.5, 87.4, 152.3, 301.3, 569.6, 1142.2", \ + " 64.0, 87.9, 152.5, 301.4, 569.6, 1142.2", \ + " 66.5, 89.8, 153.5, 301.8, 569.7, 1142.2", \ + " 70.9, 93.8, 156.4, 303.1, 570.0, 1142.3", \ + " 76.1, 98.9, 160.6, 305.6, 571.2, 1142.6", \ + " 82.8, 105.6, 166.1, 309.1, 573.0, 1143.4", \ + " 91.9, 114.8, 174.3, 314.6, 576.0, 1144.5", \ + " 104.3, 127.8, 186.8, 324.0, 581.7, 1147.0" ); }} +timing() { /* ring osc delay xaon21v0x3, path a2 to z 82.7 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 68.7 ; */ +/* intrinsic_fall : 61.2 ; */ +/* rise_resistance : 0.94 ; */ +/* fall_resistance : 0.82 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.3, 57.2, 81.4, 132.4, 221.4, 409.7", \ + " 54.9, 64.8, 89.0, 140.1, 229.1, 417.5", \ + " 61.0, 70.8, 95.0, 146.1, 235.1, 423.5", \ + " 69.2, 79.0, 103.2, 154.2, 243.1, 431.5", \ + " 81.7, 92.5, 117.6, 168.5, 257.3, 445.6", \ + " 96.3, 108.4, 136.5, 189.1, 277.7, 465.8", \ + " 115.0, 128.5, 160.1, 218.8, 308.3, 496.2", \ + " 138.9, 154.0, 189.4, 255.7, 352.6, 540.8", \ + " 170.4, 187.5, 227.5, 302.3, 412.0, 607.2", \ + " 212.4, 232.0, 277.8, 362.9, 487.3, 704.5" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 114.7, 142.6, 219.8, 398.7, 720.7, 1408.4", \ + " 118.3, 145.3, 221.3, 399.4, 721.0, 1408.5", \ + " 123.9, 150.2, 224.8, 400.8, 721.5, 1408.6", \ + " 132.7, 158.3, 231.3, 404.8, 722.8, 1408.8", \ + " 150.1, 174.6, 245.1, 414.9, 728.5, 1409.8", \ + " 176.6, 199.7, 267.4, 432.8, 741.3, 1415.3", \ + " 215.2, 239.2, 303.3, 463.4, 765.6, 1430.5", \ + " 264.3, 290.2, 358.3, 512.1, 806.9, 1461.0", \ + " 332.9, 360.5, 433.1, 589.1, 875.1, 1516.2", \ + " 431.3, 460.8, 537.9, 704.5, 985.4, 1611.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.8, 53.3, 74.1, 118.3, 194.4, 354.3", \ + " 50.8, 59.3, 80.2, 124.4, 200.7, 360.7", \ + " 55.5, 63.9, 84.9, 129.3, 205.7, 365.8", \ + " 61.0, 69.9, 91.2, 135.8, 212.5, 372.8", \ + " 67.6, 77.8, 101.2, 146.8, 224.1, 385.1", \ + " 73.8, 85.2, 111.6, 161.1, 240.0, 402.3", \ + " 79.2, 92.3, 122.4, 177.9, 261.7, 426.8", \ + " 82.7, 97.8, 132.5, 195.7, 288.6, 460.0", \ + " 82.9, 100.4, 140.7, 213.8, 318.6, 504.9", \ + " 76.8, 97.1, 144.2, 229.8, 350.6, 559.1" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.0, 102.0, 158.5, 290.2, 528.0, 1037.7", \ + " 84.9, 104.3, 159.8, 290.9, 528.4, 1037.8", \ + " 90.4, 109.0, 163.0, 292.2, 529.0, 1037.9", \ + " 99.2, 117.1, 169.5, 296.2, 530.3, 1038.3", \ + " 116.3, 132.9, 183.1, 306.5, 536.2, 1039.5", \ + " 138.4, 156.5, 204.3, 324.2, 549.7, 1045.1", \ + " 167.7, 186.4, 237.6, 353.1, 574.0, 1061.3", \ + " 208.8, 228.2, 280.8, 399.0, 613.5, 1093.1", \ + " 267.6, 288.4, 342.9, 464.9, 677.6, 1147.6", \ + " 351.7, 374.9, 433.5, 559.2, 778.3, 1237.9" ); }} +timing() { /* ring osc delay xaon21v0x3, path a2 to z 108.9 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 86.3 ; */ +/* intrinsic_fall : 95.6 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.10 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.7, 87.7, 122.6, 198.2, 331.3, 613.1", \ + " 79.4, 93.4, 128.2, 203.9, 337.0, 618.9", \ + " 83.7, 97.6, 132.4, 208.1, 341.3, 623.2", \ + " 88.6, 102.4, 137.1, 212.9, 346.1, 628.0", \ + " 94.5, 108.5, 143.2, 218.9, 352.1, 634.1", \ + " 100.0, 113.9, 148.8, 224.6, 357.8, 639.9", \ + " 105.4, 119.4, 154.0, 230.1, 363.3, 645.3", \ + " 109.7, 124.0, 158.8, 234.4, 368.1, 650.0", \ + " 111.4, 126.3, 161.7, 237.6, 370.9, 653.3", \ + " 108.0, 123.7, 160.3, 237.2, 371.3, 653.6" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.1, 115.5, 203.7, 404.5, 763.3, 1526.4", \ + " 83.3, 115.7, 203.8, 404.5, 763.3, 1526.4", \ + " 83.6, 115.9, 203.9, 404.6, 763.3, 1526.4", \ + " 85.0, 116.8, 204.2, 404.6, 763.3, 1526.4", \ + " 87.6, 119.1, 205.7, 405.2, 763.4, 1526.4", \ + " 90.0, 121.9, 207.6, 406.3, 764.0, 1526.4", \ + " 94.2, 124.9, 210.4, 407.8, 764.8, 1527.0", \ + " 100.7, 130.8, 214.1, 410.3, 766.1, 1527.7", \ + " 109.9, 139.7, 221.8, 415.0, 769.0, 1529.1", \ + " 122.8, 152.6, 233.9, 424.7, 775.5, 1532.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.3, 86.1, 114.3, 173.7, 277.6, 497.6", \ + " 81.7, 93.6, 121.8, 181.3, 285.3, 505.3", \ + " 87.8, 99.6, 127.9, 187.5, 291.5, 511.6", \ + " 95.9, 107.6, 135.9, 195.6, 299.7, 519.9", \ + " 108.6, 120.5, 148.9, 208.6, 312.8, 533.0", \ + " 123.6, 135.9, 164.8, 224.7, 328.9, 549.2", \ + " 142.7, 155.5, 184.9, 245.3, 349.6, 569.9", \ + " 167.2, 180.6, 210.8, 271.4, 376.1, 596.4", \ + " 199.3, 213.6, 245.2, 306.7, 411.4, 632.0", \ + " 242.0, 257.5, 291.4, 354.9, 460.2, 681.0" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.3, 85.6, 151.0, 300.6, 569.1, 1141.9", \ + " 61.5, 85.7, 151.1, 300.6, 569.1, 1141.9", \ + " 61.7, 85.9, 151.1, 300.6, 569.1, 1141.9", \ + " 62.4, 86.4, 151.4, 300.7, 569.1, 1141.9", \ + " 65.4, 88.9, 152.8, 301.2, 569.2, 1141.9", \ + " 69.5, 92.8, 155.8, 302.8, 569.7, 1142.0", \ + " 74.5, 97.6, 159.9, 305.3, 571.0, 1142.3", \ + " 81.3, 104.3, 165.4, 309.0, 573.0, 1143.2", \ + " 90.7, 114.1, 174.3, 315.1, 576.4, 1144.5", \ + " 103.7, 127.7, 188.0, 326.1, 583.5, 1147.8" ); }} +timing() { /* ring osc delay xaon21v0x3, path b to z 69.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.2 ; */ +/* intrinsic_fall : 30.8 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.74 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 70.1, 104.5, 179.6, 312.4, 594.0", \ + " 63.5, 77.3, 111.9, 187.4, 320.4, 602.2", \ + " 69.7, 83.4, 117.9, 193.5, 326.6, 608.5", \ + " 77.8, 91.4, 125.8, 201.4, 334.6, 616.6", \ + " 90.3, 104.8, 139.3, 214.8, 348.1, 630.2", \ + " 105.2, 121.1, 158.1, 233.7, 367.0, 649.2", \ + " 125.0, 142.6, 183.5, 262.2, 395.5, 677.7", \ + " 151.7, 171.5, 216.9, 303.2, 438.1, 720.3", \ + " 188.8, 211.8, 263.1, 358.4, 502.7, 785.1", \ + " 241.2, 268.8, 328.3, 435.0, 593.5, 884.4" ); } +rise_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 89.7, 122.8, 213.7, 421.6, 793.8, 1586.4", \ + " 90.7, 123.4, 213.8, 421.6, 793.8, 1586.4", \ + " 91.7, 123.8, 214.1, 421.7, 793.8, 1586.4", \ + " 95.4, 126.6, 215.1, 421.9, 793.9, 1586.4", \ + " 102.4, 132.9, 219.9, 423.9, 794.1, 1586.4", \ + " 114.1, 143.1, 228.6, 430.2, 796.9, 1586.5", \ + " 129.6, 161.8, 244.0, 442.8, 805.5, 1588.7", \ + " 148.6, 183.2, 271.2, 465.1, 823.1, 1598.5", \ + " 178.2, 214.6, 308.9, 503.8, 855.1, 1621.0", \ + " 225.9, 263.4, 361.4, 567.8, 911.1, 1664.6" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.7, 25.2, 41.0, 80.5, 154.7, 313.0", \ + " 26.4, 32.0, 47.3, 86.3, 160.5, 318.8", \ + " 29.6, 36.3, 52.0, 90.4, 164.4, 322.6", \ + " 32.2, 40.0, 58.1, 95.7, 169.2, 327.4", \ + " 34.3, 43.7, 65.2, 105.3, 177.4, 335.0", \ + " 34.5, 45.6, 70.9, 117.5, 188.9, 345.2", \ + " 31.6, 44.8, 74.5, 128.6, 207.5, 360.2", \ + " 23.9, 39.3, 74.1, 136.9, 227.5, 383.9", \ + " 8.2, 26.3, 66.8, 140.1, 244.6, 420.9", \ + " -20.3, 0.9, 48.2, 133.7, 254.9, 458.0" ); } +fall_transition(x3_390_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 34.2, 62.8, 132.6, 276.2, 528.0, 1065.1", \ + " 42.1, 68.3, 135.4, 276.6, 527.2, 1064.0", \ + " 50.6, 75.2, 140.3, 279.4, 527.6, 1062.9", \ + " 61.0, 85.6, 148.8, 285.0, 530.3, 1061.6", \ + " 76.2, 103.6, 166.0, 297.9, 538.2, 1063.3", \ + " 96.4, 124.3, 192.3, 320.4, 553.7, 1070.6", \ + " 124.2, 153.3, 227.9, 358.2, 583.8, 1087.9", \ + " 161.6, 192.5, 274.1, 416.6, 636.0, 1123.5", \ + " 213.3, 246.6, 336.8, 495.0, 721.6, 1192.7", \ + " 285.5, 322.7, 422.3, 601.5, 851.5, 1315.3" ); }} +timing() { /* ring osc delay xaon21v0x3, path b to z 80.0 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 81.6 ; */ +/* rise_resistance : 0.78 ; */ +/* fall_resistance : 1.09 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 43.1, 56.3, 88.9, 149.8, 281.4", \ + " 45.9, 50.8, 64.1, 96.5, 157.2, 288.6", \ + " 51.7, 56.6, 69.9, 102.1, 162.5, 293.8", \ + " 59.2, 64.0, 77.2, 109.0, 169.1, 300.0", \ + " 69.1, 74.8, 89.0, 120.3, 179.6, 309.8", \ + " 77.9, 84.5, 100.9, 135.5, 193.9, 323.0", \ + " 85.8, 93.2, 111.5, 150.9, 215.0, 342.2", \ + " 92.5, 100.7, 120.3, 163.1, 236.6, 370.6", \ + " 97.4, 106.4, 127.5, 172.4, 252.1, 407.9", \ + " 98.7, 108.7, 131.6, 178.5, 261.7, 434.8" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 92.8, 111.9, 167.1, 299.3, 538.9, 1058.3", \ + " 97.6, 116.0, 169.3, 298.5, 538.2, 1058.1", \ + " 102.8, 121.0, 173.2, 300.3, 537.8, 1057.9", \ + " 110.1, 128.0, 179.1, 303.9, 539.1, 1057.6", \ + " 120.5, 139.4, 190.5, 312.3, 544.4, 1059.6", \ + " 130.6, 149.7, 204.3, 326.9, 555.8, 1066.8", \ + " 142.8, 162.5, 216.9, 347.3, 577.2, 1082.9", \ + " 157.1, 177.4, 232.7, 364.4, 609.1, 1112.7", \ + " 175.2, 196.2, 252.8, 385.7, 636.6, 1162.8", \ + " 199.5, 221.4, 279.7, 414.8, 665.3, 1218.9" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.7, 73.4, 100.1, 158.6, 262.0, 481.7", \ + " 70.6, 81.3, 108.2, 166.8, 270.3, 490.0", \ + " 76.4, 87.2, 114.2, 172.9, 276.5, 496.3", \ + " 83.2, 94.1, 121.2, 180.1, 283.8, 503.5", \ + " 93.1, 104.1, 131.5, 190.5, 294.3, 514.1", \ + " 105.1, 116.2, 143.6, 202.9, 306.7, 526.6", \ + " 120.3, 131.7, 159.3, 218.5, 322.5, 542.4", \ + " 139.6, 151.3, 179.3, 238.7, 342.6, 562.6", \ + " 164.5, 176.7, 205.5, 265.2, 369.2, 589.1", \ + " 197.1, 210.1, 240.1, 300.7, 405.0, 624.9" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 49.7, 71.5, 130.9, 266.0, 506.9, 1019.2", \ + " 49.8, 71.6, 130.9, 266.0, 506.9, 1019.2", \ + " 50.0, 71.7, 130.9, 266.0, 506.9, 1019.2", \ + " 50.9, 72.2, 131.0, 266.0, 506.9, 1019.2", \ + " 52.6, 73.6, 131.7, 266.0, 506.9, 1019.2", \ + " 54.6, 75.0, 132.5, 266.4, 507.0, 1019.2", \ + " 58.1, 77.8, 133.7, 266.6, 507.1, 1019.2", \ + " 63.2, 82.2, 136.5, 267.2, 507.1, 1019.3", \ + " 70.4, 88.9, 141.4, 269.7, 507.6, 1019.2", \ + " 80.7, 98.8, 149.5, 274.7, 509.8, 1019.7" ); }} +timing() { /* ring osc delay xaon21v0x3, path b to z 86.1 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 81.6 ; */ +/* rise_resistance : 0.78 ; */ +/* fall_resistance : 1.09 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 47.6, 65.9, 112.7, 200.7, 388.6", \ + " 48.8, 55.2, 73.6, 119.9, 207.5, 395.2", \ + " 54.5, 60.8, 79.1, 124.8, 212.1, 399.5", \ + " 62.0, 68.1, 86.0, 131.0, 217.6, 404.7", \ + " 72.8, 79.8, 97.7, 141.3, 226.3, 412.7", \ + " 82.3, 90.5, 111.5, 155.8, 238.2, 423.1", \ + " 90.6, 99.8, 123.3, 174.8, 256.7, 438.4", \ + " 97.7, 107.6, 132.7, 189.6, 283.5, 461.6", \ + " 103.0, 113.7, 140.2, 199.8, 305.1, 498.7", \ + " 104.9, 116.6, 144.6, 206.3, 317.1, 540.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.3, 147.1, 223.6, 406.2, 735.2, 1443.9", \ + " 124.2, 150.5, 224.9, 404.5, 733.8, 1443.0", \ + " 128.4, 154.5, 228.1, 405.1, 732.2, 1441.8", \ + " 134.3, 160.3, 233.1, 407.3, 731.7, 1440.0", \ + " 143.6, 170.4, 242.8, 413.3, 734.3, 1438.5", \ + " 151.9, 180.7, 256.6, 425.7, 742.3, 1441.7", \ + " 164.3, 192.2, 270.0, 446.7, 759.2, 1452.4", \ + " 178.6, 207.1, 284.7, 468.1, 790.2, 1475.6", \ + " 196.4, 225.6, 304.5, 487.7, 828.5, 1519.5", \ + " 220.0, 249.9, 330.6, 515.9, 857.7, 1589.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 73.0, 99.7, 158.3, 261.8, 481.5", \ + " 70.3, 81.1, 107.9, 166.7, 270.2, 490.0", \ + " 76.1, 87.0, 114.0, 172.9, 276.5, 496.3", \ + " 83.1, 94.0, 121.2, 180.2, 283.9, 503.8", \ + " 93.1, 104.2, 131.6, 190.8, 294.6, 514.5", \ + " 105.1, 116.3, 143.8, 203.2, 307.1, 527.0", \ + " 120.5, 131.9, 159.7, 219.0, 323.1, 543.0", \ + " 139.9, 151.6, 179.8, 239.2, 343.2, 563.3", \ + " 164.8, 177.1, 206.0, 265.8, 369.8, 589.9", \ + " 197.5, 210.6, 240.6, 301.3, 405.7, 625.7" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 49.5, 71.5, 131.3, 266.8, 508.0, 1020.3", \ + " 49.6, 71.6, 131.3, 266.8, 508.0, 1020.3", \ + " 49.8, 71.7, 131.3, 266.8, 508.0, 1020.3", \ + " 50.7, 72.2, 131.4, 266.8, 508.0, 1020.3", \ + " 52.5, 73.6, 132.1, 266.9, 508.0, 1020.3", \ + " 54.5, 74.9, 132.9, 267.2, 508.0, 1020.3", \ + " 58.0, 77.8, 133.9, 267.5, 508.2, 1020.3", \ + " 63.1, 82.2, 136.7, 268.0, 508.2, 1020.4", \ + " 70.4, 88.9, 141.5, 270.3, 508.7, 1020.4", \ + " 80.7, 98.9, 149.6, 275.1, 510.7, 1020.8" ); }} +timing() { /* ring osc delay xaon21v0x3, path b to z 86.1 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 81.6 ; */ +/* rise_resistance : 0.78 ; */ +/* fall_resistance : 1.09 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 47.7, 66.5, 114.1, 201.6, 389.2", \ + " 48.8, 55.2, 74.0, 121.3, 208.5, 395.8", \ + " 54.5, 60.9, 79.4, 126.2, 213.1, 400.2", \ + " 62.0, 68.1, 86.1, 132.3, 218.7, 405.4", \ + " 72.8, 79.9, 97.8, 142.0, 227.5, 413.4", \ + " 82.3, 90.5, 111.5, 156.0, 239.4, 423.9", \ + " 90.6, 99.8, 123.4, 174.9, 257.4, 439.2", \ + " 97.7, 107.6, 132.8, 189.7, 283.8, 462.4", \ + " 103.0, 113.7, 140.2, 199.9, 305.2, 499.4", \ + " 104.9, 116.6, 144.6, 206.3, 317.2, 540.8" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.2, 147.2, 224.1, 406.1, 734.7, 1442.1", \ + " 124.1, 150.5, 225.4, 404.5, 733.4, 1441.4", \ + " 128.4, 154.5, 228.4, 405.2, 731.9, 1440.5", \ + " 134.2, 160.3, 233.2, 407.5, 731.5, 1439.0", \ + " 143.5, 170.3, 242.7, 413.8, 734.2, 1437.7", \ + " 151.9, 180.6, 256.6, 425.9, 742.2, 1441.0", \ + " 164.2, 192.2, 269.9, 446.7, 759.5, 1451.9", \ + " 178.5, 207.0, 284.6, 468.1, 790.4, 1475.2", \ + " 196.4, 225.6, 304.4, 487.7, 828.6, 1519.5", \ + " 219.9, 249.9, 330.5, 515.8, 857.8, 1589.7" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 73.0, 99.7, 158.3, 261.8, 481.5", \ + " 70.3, 81.1, 108.0, 166.7, 270.2, 490.0", \ + " 76.1, 87.0, 114.0, 172.9, 276.6, 496.4", \ + " 83.1, 94.0, 121.2, 180.2, 283.9, 503.8", \ + " 93.1, 104.2, 131.6, 190.8, 294.6, 514.5", \ + " 105.1, 116.3, 143.8, 203.2, 307.1, 527.0", \ + " 120.5, 131.9, 159.7, 219.0, 323.1, 543.0", \ + " 139.9, 151.6, 179.8, 239.2, 343.2, 563.3", \ + " 164.8, 177.1, 206.0, 265.8, 369.8, 589.9", \ + " 197.5, 210.6, 240.6, 301.3, 405.7, 625.7" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 49.5, 71.5, 131.3, 266.8, 508.0, 1020.3", \ + " 49.6, 71.6, 131.3, 266.8, 508.0, 1020.3", \ + " 49.8, 71.7, 131.3, 266.8, 508.0, 1020.3", \ + " 50.7, 72.2, 131.4, 266.8, 508.0, 1020.3", \ + " 52.5, 73.6, 132.1, 266.9, 508.0, 1020.3", \ + " 54.5, 75.0, 132.9, 267.2, 508.0, 1020.3", \ + " 58.0, 77.8, 133.9, 267.5, 508.2, 1020.3", \ + " 63.1, 82.2, 136.7, 268.0, 508.2, 1020.4", \ + " 70.4, 88.9, 141.5, 270.3, 508.7, 1020.4", \ + " 80.7, 98.9, 149.6, 275.1, 510.7, 1020.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 55.7 ; */ +/* intrinsic_fall : 81.6 ; */ +/* rise_resistance : 0.78 ; */ +/* fall_resistance : 1.09 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 47.6, 65.9, 112.7, 200.7, 388.6", \ + " 48.8, 55.2, 73.6, 119.9, 207.5, 395.2", \ + " 54.5, 60.8, 79.1, 124.8, 212.1, 399.5", \ + " 62.0, 68.1, 86.0, 131.0, 217.6, 404.7", \ + " 72.8, 79.8, 97.7, 141.3, 226.3, 412.7", \ + " 82.3, 90.5, 111.5, 155.8, 238.2, 423.1", \ + " 90.6, 99.8, 123.3, 174.8, 256.7, 438.4", \ + " 97.7, 107.6, 132.7, 189.6, 283.5, 461.6", \ + " 103.0, 113.7, 140.2, 199.8, 305.1, 498.7", \ + " 104.9, 116.6, 144.6, 206.3, 317.1, 540.4" ); } +rise_transition(x3_390_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.3, 147.1, 223.6, 406.2, 735.2, 1443.9", \ + " 124.2, 150.5, 224.9, 404.5, 733.8, 1443.0", \ + " 128.4, 154.5, 228.1, 405.1, 732.2, 1441.8", \ + " 134.3, 160.3, 233.1, 407.3, 731.7, 1440.0", \ + " 143.6, 170.4, 242.8, 413.3, 734.3, 1438.5", \ + " 151.9, 180.7, 256.6, 425.7, 742.3, 1441.7", \ + " 164.3, 192.2, 270.0, 446.7, 759.2, 1452.4", \ + " 178.6, 207.1, 284.7, 468.1, 790.2, 1475.6", \ + " 196.4, 225.6, 304.5, 487.7, 828.5, 1519.5", \ + " 220.0, 249.9, 330.6, 515.9, 857.7, 1589.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 73.0, 99.7, 158.3, 261.8, 481.5", \ + " 70.3, 81.1, 107.9, 166.7, 270.2, 490.0", \ + " 76.1, 87.0, 114.0, 172.9, 276.5, 496.3", \ + " 83.1, 94.0, 121.2, 180.2, 283.9, 503.8", \ + " 93.1, 104.2, 131.6, 190.8, 294.6, 514.5", \ + " 105.1, 116.3, 143.8, 203.2, 307.1, 527.0", \ + " 120.5, 131.9, 159.7, 219.0, 323.1, 543.0", \ + " 139.9, 151.6, 179.8, 239.2, 343.2, 563.3", \ + " 164.8, 177.1, 206.0, 265.8, 369.8, 589.9", \ + " 197.5, 210.6, 240.6, 301.3, 405.7, 625.7" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 49.5, 71.5, 131.3, 266.8, 508.0, 1020.3", \ + " 49.6, 71.6, 131.3, 266.8, 508.0, 1020.3", \ + " 49.8, 71.7, 131.3, 266.8, 508.0, 1020.3", \ + " 50.7, 72.2, 131.4, 266.8, 508.0, 1020.3", \ + " 52.5, 73.6, 132.1, 266.9, 508.0, 1020.3", \ + " 54.5, 74.9, 132.9, 267.2, 508.0, 1020.3", \ + " 58.0, 77.8, 133.9, 267.5, 508.2, 1020.3", \ + " 63.1, 82.2, 136.7, 268.0, 508.2, 1020.4", \ + " 70.4, 88.9, 141.5, 270.3, 508.7, 1020.4", \ + " 80.7, 98.9, 149.6, 275.1, 510.7, 1020.8" ); }} +} +} +cell(xnai21v0x05) { /* 2008-01-06:07h59 characteristic delay 16.8 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 936 ; /* xnai21v0x05 */ +cell_footprint : xnai21 ; +pin(a1) { /* xnai21v0x05 FO4 effort 1.78 logical effort 1.58 */ +direction : input ; +capacitance : 4.12 ; +rise_capacitance : 4.13 ; +fall_capacitance : 4.11 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xnai21v0x05 */ +internal_power(a1) { /* xnai21v0x05 2.16 nW/MHz */ +power(pwr_intran_x10) { +values( " 1.13, 1.10, 1.09, 1.08, 1.07, 1.07, 1.06, 1.05, 1.05, 1.05" ); }} +} +pin(a2) { /* xnai21v0x05 FO4 effort 2.26 logical effort 2.75 */ +direction : input ; +capacitance : 5.27 ; +rise_capacitance : 5.30 ; +fall_capacitance : 5.24 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xnai21v0x05 */ +internal_power(a2) { /* xnai21v0x05 14.19 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.96, 6.84, 6.91, 7.10, 7.53, 8.27, 9.55, 11.60, 14.87, 20.05" ); }} +} +pin(b) { /* xnai21v0x05 FO4 effort 1.84 logical effort 1.57 */ +direction : input ; +capacitance : 3.25 ; +rise_capacitance : 3.10 ; +fall_capacitance : 3.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnai21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 84 ; +max_fanout : 2 ; +function : "((a1^a2)'*b)'" ; +internal_power(a1_z_n) { /* xnai21v0x05 19.02 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 8.35, 8.36, 8.37, 8.38, 8.37", \ + " 8.26, 8.28, 8.32, 8.36, 8.37", \ + " 8.28, 8.31, 8.35, 8.39, 8.41", \ + " 8.38, 8.40, 8.43, 8.48, 8.50", \ + " 8.66, 8.65, 8.66, 8.68, 8.70", \ + " 9.20, 9.16, 9.10, 9.06, 9.05", \ + " 10.20, 10.10, 9.94, 9.77, 9.66", \ + " 11.90, 11.72, 11.40, 11.01, 10.72", \ + " 14.74, 14.45, 13.89, 13.17, 12.57", \ + " 19.41, 18.99, 18.11, 16.89, 15.77" ); }} +internal_power(a1_z_p) { /* xnai21v0x05 21.01 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 9.34, 9.34, 9.34, 9.32, 9.30", \ + " 9.24, 9.25, 9.27, 9.28, 9.27", \ + " 9.27, 9.29, 9.31, 9.33, 9.32", \ + " 9.39, 9.40, 9.43, 9.44, 9.44", \ + " 9.72, 9.72, 9.72, 9.73, 9.72", \ + " 10.33, 10.31, 10.27, 10.24, 10.21", \ + " 11.41, 11.35, 11.25, 11.14, 11.05", \ + " 13.20, 13.09, 12.89, 12.65, 12.46", \ + " 16.13, 15.94, 15.59, 15.15, 14.77", \ + " 20.94, 20.63, 20.02, 19.24, 18.55" ); }} +internal_power(a2_z_n) { /* xnai21v0x05 18.79 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 2.37, 2.42, 2.48, 2.53, 2.54", \ + " 2.28, 2.34, 2.43, 2.52, 2.57", \ + " 2.23, 2.28, 2.37, 2.47, 2.53", \ + " 2.19, 2.22, 2.30, 2.40, 2.46", \ + " 2.16, 2.17, 2.20, 2.27, 2.33", \ + " 2.18, 2.14, 2.09, 2.09, 2.13", \ + " 2.27, 2.17, 2.02, 1.88, 1.82", \ + " 2.50, 2.34, 2.04, 1.69, 1.44", \ + " 2.97, 2.73, 2.25, 1.61, 1.06", \ + " 3.86, 3.53, 2.84, 1.82, 0.80" ); }} +internal_power(a2_z_p) { /* xnai21v0x05 23.45 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 4.64, 4.62, 4.57, 4.48, 4.41", \ + " 4.65, 4.64, 4.61, 4.54, 4.48", \ + " 4.66, 4.65, 4.62, 4.56, 4.49", \ + " 4.67, 4.66, 4.63, 4.56, 4.49", \ + " 4.73, 4.70, 4.65, 4.56, 4.48", \ + " 4.83, 4.79, 4.70, 4.57, 4.45", \ + " 5.03, 4.96, 4.81, 4.60, 4.41", \ + " 5.37, 5.26, 5.03, 4.71, 4.40", \ + " 5.96, 5.80, 5.46, 4.97, 4.47", \ + " 7.02, 6.77, 6.27, 5.54, 4.77" ); }} +internal_power(b_z_n) { /* xnai21v0x05 18.78 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 9.53, 9.54, 9.56, 9.57, 9.56", \ + " 9.38, 9.40, 9.44, 9.48, 9.49", \ + " 9.34, 9.36, 9.40, 9.44, 9.46", \ + " 9.34, 9.36, 9.39, 9.43, 9.45", \ + " 9.44, 9.44, 9.43, 9.44, 9.45", \ + " 9.73, 9.69, 9.62, 9.56, 9.52", \ + " 10.33, 10.23, 10.06, 9.87, 9.72", \ + " 11.48, 11.30, 10.95, 10.54, 10.20", \ + " 13.53, 13.24, 12.66, 11.89, 11.20", \ + " 17.05, 16.63, 15.74, 14.45, 13.22" ); }} +timing() { /* ring osc delay xnai21v0x05, path a1 to z 84.7 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.8 ; */ +/* intrinsic_fall : 67.2 ; */ +/* rise_resistance : 3.53 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.9, 59.6, 81.8, 129.8, 213.5, 390.6", \ + " 58.6, 67.4, 89.7, 137.7, 221.4, 398.6", \ + " 64.7, 73.5, 95.7, 143.7, 227.5, 404.6", \ + " 72.9, 81.7, 103.9, 151.8, 235.6, 412.7", \ + " 85.7, 95.4, 118.3, 166.3, 249.9, 427.0", \ + " 100.5, 111.5, 137.5, 187.0, 270.5, 447.5", \ + " 119.2, 131.6, 161.1, 216.7, 301.5, 478.3", \ + " 142.7, 156.6, 190.0, 253.4, 346.2, 523.7", \ + " 173.2, 189.1, 227.1, 299.1, 405.1, 591.2", \ + " 213.3, 231.7, 275.5, 357.9, 479.0, 688.8" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 130.3, 157.4, 231.0, 399.3, 700.9, 1344.1", \ + " 132.8, 159.2, 231.8, 399.6, 701.0, 1344.1", \ + " 137.7, 163.4, 234.5, 400.3, 701.2, 1344.1", \ + " 145.9, 170.8, 240.3, 403.4, 701.7, 1344.1", \ + " 162.0, 186.0, 253.3, 412.5, 705.9, 1344.4", \ + " 186.8, 209.7, 274.5, 429.6, 717.4, 1347.4", \ + " 223.8, 246.9, 308.9, 459.4, 740.8, 1360.4", \ + " 271.1, 296.3, 361.5, 506.7, 781.4, 1389.5", \ + " 336.7, 363.6, 433.4, 581.4, 848.5, 1444.1", \ + " 430.1, 459.0, 533.4, 692.1, 956.5, 1538.4" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.3, 59.4, 80.2, 125.4, 204.2, 370.5", \ + " 57.3, 65.5, 86.3, 131.6, 210.6, 376.9", \ + " 62.1, 70.3, 91.2, 136.5, 215.6, 382.1", \ + " 68.3, 76.6, 97.6, 143.2, 222.4, 389.1", \ + " 76.3, 85.8, 108.5, 154.6, 234.4, 401.5", \ + " 84.2, 95.0, 120.8, 170.0, 250.9, 419.0", \ + " 91.8, 104.4, 134.0, 189.7, 274.3, 444.5", \ + " 98.4, 112.9, 147.2, 211.0, 305.2, 479.9", \ + " 102.8, 119.6, 159.4, 233.5, 340.8, 529.4", \ + " 102.5, 122.2, 168.8, 255.5, 379.7, 592.9" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.1, 119.8, 176.6, 307.3, 542.7, 1046.5", \ + " 101.1, 121.4, 177.6, 307.9, 543.0, 1046.6", \ + " 105.9, 125.5, 180.2, 308.8, 543.4, 1046.6", \ + " 114.0, 132.9, 186.1, 312.1, 544.2, 1046.8", \ + " 129.8, 147.8, 199.1, 321.7, 549.0, 1047.5", \ + " 153.4, 171.2, 219.9, 339.1, 561.6, 1051.5", \ + " 183.1, 202.6, 253.8, 368.4, 585.8, 1066.3", \ + " 224.6, 245.0, 298.7, 415.0, 626.3, 1097.4", \ + " 284.4, 305.8, 361.8, 484.2, 692.7, 1153.2", \ + " 370.4, 393.9, 453.6, 581.0, 798.2, 1247.5" ); }} +timing() { /* ring osc delay xnai21v0x05, path a1 to z 106.1 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.5 ; */ +/* intrinsic_fall : 94.0 ; */ +/* rise_resistance : 5.45 ; */ +/* fall_resistance : 3.96 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.8, 88.9, 121.1, 194.5, 326.2, 607.2", \ + " 83.2, 95.5, 127.8, 201.3, 333.0, 613.9", \ + " 87.9, 100.1, 132.5, 205.9, 337.5, 618.4", \ + " 93.0, 105.2, 137.5, 210.9, 342.4, 623.1", \ + " 99.0, 111.5, 143.8, 216.9, 348.1, 628.7", \ + " 105.0, 117.3, 149.6, 222.6, 353.4, 633.6", \ + " 111.2, 123.5, 155.5, 228.1, 358.4, 638.0", \ + " 117.0, 129.4, 161.3, 233.1, 363.0, 641.5", \ + " 121.4, 134.1, 166.2, 237.8, 366.5, 644.0", \ + " 122.7, 135.8, 168.5, 240.5, 368.8, 644.5" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.8, 164.3, 257.2, 469.1, 849.3, 1660.2", \ + " 129.4, 163.9, 257.0, 469.0, 849.3, 1660.2", \ + " 129.9, 164.3, 257.1, 469.1, 849.3, 1660.2", \ + " 131.4, 165.5, 258.1, 469.4, 849.4, 1660.2", \ + " 133.9, 167.7, 259.6, 470.5, 850.0, 1660.2", \ + " 137.6, 170.9, 262.0, 471.9, 850.8, 1660.7", \ + " 142.2, 175.0, 265.9, 474.3, 851.9, 1661.3", \ + " 151.1, 183.2, 271.7, 478.7, 854.4, 1662.3", \ + " 164.2, 195.9, 282.9, 486.6, 859.6, 1664.9", \ + " 182.8, 214.2, 300.5, 501.4, 870.4, 1671.4" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.3, 83.9, 108.4, 161.9, 255.9, 455.1", \ + " 81.9, 91.6, 116.2, 169.7, 263.8, 463.0", \ + " 87.9, 97.6, 122.3, 175.9, 270.0, 469.3", \ + " 95.6, 105.3, 130.0, 183.7, 277.9, 477.2", \ + " 107.3, 117.1, 141.8, 195.6, 289.8, 489.2", \ + " 120.8, 130.8, 155.9, 209.8, 304.1, 503.5", \ + " 137.9, 148.2, 173.5, 227.6, 322.0, 521.4", \ + " 159.3, 169.8, 195.4, 249.6, 344.2, 543.6", \ + " 186.8, 197.7, 223.8, 278.1, 372.5, 572.2", \ + " 222.9, 234.3, 261.1, 315.6, 409.8, 609.4" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.4, 105.5, 167.3, 307.5, 558.2, 1091.8", \ + " 82.5, 105.6, 167.4, 307.5, 558.2, 1091.8", \ + " 82.8, 105.7, 167.4, 307.6, 558.2, 1091.8", \ + " 83.6, 106.4, 167.7, 307.6, 558.2, 1091.8", \ + " 86.3, 108.6, 169.2, 308.2, 558.3, 1091.8", \ + " 89.8, 111.8, 171.5, 309.5, 558.8, 1091.8", \ + " 93.8, 115.4, 174.6, 311.4, 559.8, 1092.2", \ + " 99.2, 120.4, 178.3, 313.8, 561.0, 1092.8", \ + " 106.5, 127.4, 184.0, 317.0, 562.7, 1093.5", \ + " 116.5, 137.2, 192.4, 322.6, 565.1, 1094.3" ); }} +timing() { /* ring osc delay xnai21v0x05, path a2 to z 76.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 94.6 ; */ +/* intrinsic_fall : 32.3 ; */ +/* rise_resistance : 5.60 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.7, 90.2, 124.5, 199.8, 332.7, 614.4", \ + " 83.2, 96.9, 131.5, 207.3, 340.6, 622.5", \ + " 89.2, 102.7, 137.4, 213.3, 346.7, 628.8", \ + " 97.4, 110.8, 145.3, 221.2, 354.7, 637.0", \ + " 111.2, 124.7, 159.0, 234.8, 368.4, 650.8", \ + " 128.7, 143.3, 178.2, 253.9, 387.5, 670.0", \ + " 151.3, 167.2, 205.7, 282.7, 416.1, 698.7", \ + " 181.6, 199.2, 241.5, 324.9, 458.9, 741.4", \ + " 224.1, 244.1, 291.3, 382.9, 524.4, 806.8", \ + " 284.9, 308.3, 362.2, 463.8, 618.8, 907.6" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 126.0, 159.3, 250.0, 457.5, 829.4, 1621.8", \ + " 127.3, 160.1, 250.3, 457.6, 829.4, 1621.8", \ + " 127.7, 160.5, 250.5, 457.6, 829.4, 1621.8", \ + " 129.7, 161.5, 251.0, 457.8, 829.4, 1621.8", \ + " 135.7, 166.9, 254.3, 458.7, 829.7, 1621.8", \ + " 145.2, 175.7, 261.9, 464.0, 831.3, 1621.9", \ + " 162.4, 191.3, 275.6, 475.2, 838.9, 1623.3", \ + " 182.9, 216.0, 299.4, 495.5, 854.9, 1632.1", \ + " 211.3, 246.7, 338.1, 531.0, 884.6, 1653.0", \ + " 256.2, 293.0, 389.2, 592.6, 937.0, 1693.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 27.6, 42.4, 82.4, 158.9, 323.0", \ + " 29.4, 34.2, 48.4, 87.6, 163.9, 327.8", \ + " 33.0, 38.8, 52.9, 91.1, 167.1, 330.7", \ + " 36.0, 42.7, 58.9, 95.7, 170.9, 334.1", \ + " 38.5, 46.6, 65.8, 104.5, 177.3, 339.2", \ + " 39.0, 48.5, 71.0, 115.3, 187.0, 346.2", \ + " 36.1, 47.4, 73.8, 124.7, 203.0, 357.3", \ + " 27.8, 41.1, 72.0, 130.5, 219.5, 376.8", \ + " 10.6, 26.2, 62.3, 130.1, 231.8, 407.3", \ + " -21.0, -2.7, 39.5, 118.4, 235.1, 435.8" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.6, 79.9, 147.7, 289.6, 539.0, 1071.8", \ + " 56.8, 84.5, 149.9, 289.5, 537.6, 1070.1", \ + " 64.2, 90.8, 154.5, 292.0, 537.6, 1068.1", \ + " 75.6, 100.6, 162.6, 297.1, 539.6, 1065.9", \ + " 90.3, 119.7, 179.1, 309.4, 546.4, 1065.9", \ + " 109.8, 139.3, 204.4, 331.1, 561.0, 1071.1", \ + " 137.7, 167.2, 239.3, 367.3, 589.9, 1086.2", \ + " 176.0, 206.0, 283.6, 423.9, 640.3, 1120.1", \ + " 229.5, 260.3, 344.8, 498.0, 722.7, 1187.0", \ + " 304.6, 337.1, 429.3, 600.0, 846.0, 1305.1" ); }} +timing() { /* ring osc delay xnai21v0x05, path a2 to z 96.7 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.5 ; */ +/* intrinsic_fall : 98.5 ; */ +/* rise_resistance : 3.24 ; */ +/* fall_resistance : 3.94 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.2, 54.3, 72.3, 116.8, 198.6, 374.3", \ + " 55.7, 61.9, 79.8, 123.8, 205.1, 380.5", \ + " 61.4, 67.5, 85.1, 128.7, 209.5, 384.4", \ + " 68.9, 74.8, 91.8, 134.6, 214.9, 389.2", \ + " 81.7, 87.8, 103.6, 144.5, 223.5, 396.8", \ + " 93.4, 100.7, 119.6, 159.2, 235.6, 406.9", \ + " 103.1, 111.6, 133.6, 180.5, 254.9, 422.2", \ + " 110.4, 119.6, 143.8, 197.6, 284.2, 446.7", \ + " 114.9, 124.7, 150.3, 207.9, 308.2, 488.1", \ + " 114.5, 125.1, 151.9, 211.9, 319.3, 533.0" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 149.8, 176.8, 252.5, 431.1, 752.8, 1443.9", \ + " 153.4, 179.8, 253.8, 429.2, 751.1, 1442.9", \ + " 157.6, 183.8, 256.9, 430.2, 749.4, 1441.6", \ + " 164.0, 189.9, 262.0, 433.0, 749.1, 1439.1", \ + " 175.8, 201.8, 272.8, 440.3, 751.9, 1437.3", \ + " 188.5, 216.6, 289.9, 454.5, 760.7, 1440.4", \ + " 202.5, 230.3, 308.8, 479.7, 780.7, 1452.1", \ + " 220.2, 248.7, 326.0, 507.7, 817.5, 1478.8", \ + " 241.2, 270.3, 349.0, 530.3, 864.7, 1531.4", \ + " 267.6, 297.5, 377.8, 561.5, 899.0, 1615.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.4, 87.9, 112.1, 165.1, 258.9, 457.9", \ + " 86.3, 95.9, 120.2, 173.4, 267.1, 466.2", \ + " 92.4, 102.1, 126.5, 179.8, 273.6, 472.6", \ + " 100.0, 109.7, 134.3, 187.7, 281.6, 480.7", \ + " 111.4, 121.3, 146.0, 199.5, 293.5, 492.6", \ + " 124.9, 134.9, 159.9, 213.7, 307.8, 506.9", \ + " 142.5, 152.7, 177.9, 231.7, 326.0, 525.1", \ + " 165.1, 175.4, 201.0, 255.0, 349.3, 548.5", \ + " 194.8, 205.5, 231.7, 286.1, 380.4, 579.6", \ + " 234.4, 245.8, 272.9, 328.2, 422.9, 622.1" ); } +fall_transition(x05_97_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 71.7, 92.1, 147.0, 271.7, 494.3, 967.6", \ + " 71.7, 92.1, 147.0, 271.7, 494.3, 967.6", \ + " 71.9, 92.2, 147.0, 271.7, 494.3, 967.6", \ + " 72.3, 92.5, 147.1, 271.7, 494.3, 967.6", \ + " 74.1, 93.8, 147.7, 271.8, 494.3, 967.6", \ + " 75.7, 95.5, 148.8, 272.3, 494.3, 967.6", \ + " 79.0, 97.8, 150.0, 272.8, 494.6, 967.6", \ + " 83.9, 102.1, 152.8, 273.6, 494.8, 967.8", \ + " 91.1, 108.7, 157.8, 276.0, 495.3, 967.8", \ + " 101.5, 118.7, 166.2, 281.3, 497.5, 968.2" ); }} +timing() { /* ring osc delay xnai21v0x05, path b to z 82.0 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.1 ; */ +/* intrinsic_fall : 64.2 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.1, 70.1, 98.7, 161.3, 271.2, 503.6", \ + " 66.2, 77.3, 106.0, 168.7, 278.7, 511.2", \ + " 72.3, 83.3, 111.9, 174.6, 284.6, 517.1", \ + " 80.8, 91.7, 120.1, 182.7, 292.6, 525.0", \ + " 96.9, 107.3, 135.2, 197.3, 306.9, 539.2", \ + " 116.8, 129.1, 157.7, 218.9, 327.9, 559.7", \ + " 142.3, 156.4, 189.8, 252.5, 360.3, 591.1", \ + " 175.2, 191.1, 229.4, 301.6, 409.3, 638.2", \ + " 219.6, 237.6, 280.8, 364.1, 484.7, 710.7", \ + " 281.2, 301.6, 350.6, 445.2, 585.6, 823.8" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 134.2, 163.1, 240.3, 414.9, 726.0, 1387.3", \ + " 135.6, 163.7, 240.1, 414.9, 726.0, 1387.3", \ + " 140.0, 167.4, 242.2, 414.9, 726.0, 1387.3", \ + " 147.8, 174.3, 247.3, 416.9, 726.0, 1387.3", \ + " 163.9, 189.4, 259.7, 424.9, 728.3, 1387.3", \ + " 189.8, 214.1, 281.4, 441.3, 738.2, 1388.1", \ + " 231.7, 254.5, 318.8, 472.1, 760.6, 1398.3", \ + " 286.0, 313.5, 378.0, 524.5, 802.4, 1425.3", \ + " 359.3, 390.9, 466.1, 611.1, 876.8, 1480.2", \ + " 461.6, 497.7, 583.9, 747.2, 1003.5, 1582.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.9, 60.1, 84.0, 137.1, 230.9, 430.0", \ + " 55.7, 65.1, 89.2, 142.4, 236.4, 435.5", \ + " 58.2, 67.6, 91.8, 145.2, 239.2, 438.4", \ + " 60.6, 70.4, 94.7, 148.1, 242.2, 441.5", \ + " 62.3, 72.9, 98.9, 152.6, 246.8, 446.1", \ + " 62.6, 74.2, 102.2, 158.6, 252.9, 452.3", \ + " 60.6, 73.8, 104.7, 165.3, 262.1, 461.5", \ + " 54.0, 69.5, 104.9, 171.2, 274.3, 475.1", \ + " 39.2, 58.0, 100.0, 175.0, 286.7, 496.3", \ + " 11.9, 34.3, 84.6, 172.8, 297.1, 522.1" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 85.0, 109.2, 174.0, 320.4, 581.4, 1136.8", \ + " 85.3, 109.4, 174.1, 320.4, 581.4, 1136.8", \ + " 87.4, 111.0, 174.9, 320.5, 581.5, 1136.8", \ + " 91.0, 114.2, 177.5, 322.0, 581.6, 1136.8", \ + " 100.1, 122.1, 183.7, 326.5, 584.2, 1136.9", \ + " 115.1, 137.9, 196.3, 335.7, 590.6, 1139.8", \ + " 137.8, 160.9, 221.7, 355.1, 604.4, 1148.0", \ + " 174.7, 197.8, 258.9, 392.5, 632.3, 1166.1", \ + " 233.4, 256.9, 318.2, 453.0, 686.2, 1203.6", \ + " 324.3, 348.5, 411.1, 545.8, 780.8, 1278.0" ); }} +timing() { /* ring osc delay xnai21v0x05, path b to z 99.3 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.1 ; */ +/* intrinsic_fall : 64.2 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 81.8, 111.2, 174.9, 285.7, 518.8", \ + " 77.4, 88.9, 118.4, 182.3, 293.2, 526.4", \ + " 83.3, 94.8, 124.3, 188.1, 299.0, 532.3", \ + " 91.7, 103.0, 132.4, 196.1, 307.0, 540.2", \ + " 107.4, 118.3, 147.2, 210.6, 321.2, 554.3", \ + " 130.0, 141.5, 169.5, 232.0, 342.1, 574.8", \ + " 158.4, 171.7, 203.4, 265.4, 374.3, 606.1", \ + " 194.4, 209.4, 245.9, 315.5, 423.1, 653.1", \ + " 242.2, 259.1, 300.5, 381.0, 498.6, 725.4", \ + " 308.0, 327.0, 373.6, 465.3, 602.5, 838.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 210.3, 237.6, 312.1, 483.7, 792.6, 1452.3", \ + " 210.4, 237.3, 311.6, 483.6, 792.6, 1452.3", \ + " 214.0, 240.2, 313.0, 483.5, 792.6, 1452.3", \ + " 220.9, 246.3, 317.4, 484.9, 792.5, 1452.3", \ + " 235.8, 260.2, 328.8, 492.2, 794.3, 1452.4", \ + " 260.4, 283.8, 349.6, 507.9, 803.6, 1453.0", \ + " 300.0, 322.7, 385.8, 537.8, 825.3, 1462.6", \ + " 360.7, 382.5, 443.7, 589.2, 866.4, 1488.9", \ + " 444.5, 470.4, 534.7, 674.7, 939.9, 1543.1", \ + " 558.2, 588.1, 662.9, 811.4, 1065.7, 1644.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.3, 65.5, 86.4, 131.5, 210.4, 376.7", \ + " 63.0, 71.2, 92.1, 137.5, 216.4, 382.8", \ + " 66.5, 74.7, 95.6, 141.0, 220.1, 386.5", \ + " 70.7, 78.9, 99.9, 145.4, 224.6, 391.2", \ + " 75.9, 85.0, 107.0, 152.7, 232.3, 399.1", \ + " 80.4, 90.4, 114.5, 162.6, 242.7, 410.3", \ + " 83.4, 94.7, 121.6, 174.3, 257.5, 426.3", \ + " 82.9, 96.0, 126.8, 185.5, 276.0, 448.6", \ + " 75.7, 91.2, 127.5, 194.8, 294.9, 479.6", \ + " 57.1, 75.4, 118.6, 197.9, 311.9, 514.8" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.6, 125.3, 184.6, 320.9, 566.0, 1090.0", \ + " 104.1, 125.8, 185.1, 321.2, 566.1, 1090.1", \ + " 107.1, 128.3, 186.6, 321.8, 566.4, 1090.2", \ + " 112.2, 133.0, 190.5, 324.4, 567.3, 1090.4", \ + " 123.9, 143.7, 199.7, 331.4, 571.8, 1091.5", \ + " 144.4, 163.1, 216.4, 344.9, 581.9, 1096.9", \ + " 172.4, 192.6, 246.6, 369.9, 602.0, 1110.1", \ + " 213.4, 234.1, 289.6, 412.6, 637.6, 1136.8", \ + " 274.9, 296.2, 352.9, 479.4, 699.4, 1186.5", \ + " 366.5, 389.2, 448.0, 576.7, 801.5, 1274.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 84.1 ; */ +/* intrinsic_fall : 64.2 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.3, 81.8, 111.2, 174.9, 285.7, 518.8", \ + " 77.4, 88.9, 118.4, 182.3, 293.2, 526.4", \ + " 83.3, 94.8, 124.3, 188.1, 299.0, 532.3", \ + " 91.7, 103.0, 132.4, 196.1, 307.0, 540.2", \ + " 107.4, 118.3, 147.2, 210.6, 321.2, 554.3", \ + " 130.0, 141.5, 169.5, 232.0, 342.1, 574.8", \ + " 158.4, 171.7, 203.4, 265.4, 374.3, 606.1", \ + " 194.4, 209.4, 245.9, 315.5, 423.1, 653.1", \ + " 242.2, 259.1, 300.5, 381.0, 498.6, 725.4", \ + " 308.0, 327.0, 373.6, 465.3, 602.5, 838.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 210.3, 237.6, 312.1, 483.7, 792.6, 1452.3", \ + " 210.4, 237.3, 311.6, 483.6, 792.6, 1452.3", \ + " 214.0, 240.2, 313.0, 483.5, 792.6, 1452.3", \ + " 220.9, 246.3, 317.4, 484.9, 792.5, 1452.3", \ + " 235.8, 260.2, 328.8, 492.2, 794.3, 1452.4", \ + " 260.4, 283.8, 349.6, 507.9, 803.6, 1453.0", \ + " 300.0, 322.7, 385.8, 537.8, 825.3, 1462.6", \ + " 360.7, 382.5, 443.7, 589.2, 866.4, 1488.9", \ + " 444.5, 470.4, 534.7, 674.7, 939.9, 1543.1", \ + " 558.2, 588.1, 662.9, 811.4, 1065.7, 1644.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.3, 65.5, 86.4, 131.5, 210.4, 376.7", \ + " 63.0, 71.2, 92.1, 137.5, 216.4, 382.8", \ + " 66.5, 74.7, 95.6, 141.0, 220.1, 386.5", \ + " 70.7, 78.9, 99.9, 145.4, 224.6, 391.2", \ + " 75.9, 85.0, 107.0, 152.7, 232.3, 399.1", \ + " 80.4, 90.4, 114.5, 162.6, 242.7, 410.3", \ + " 83.4, 94.7, 121.6, 174.3, 257.5, 426.3", \ + " 82.9, 96.0, 126.8, 185.5, 276.0, 448.6", \ + " 75.7, 91.2, 127.5, 194.8, 294.9, 479.6", \ + " 57.1, 75.4, 118.6, 197.9, 311.9, 514.8" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.6, 125.3, 184.6, 320.9, 566.0, 1090.0", \ + " 104.1, 125.8, 185.1, 321.2, 566.1, 1090.1", \ + " 107.1, 128.3, 186.6, 321.8, 566.4, 1090.2", \ + " 112.2, 133.0, 190.5, 324.4, 567.3, 1090.4", \ + " 123.9, 143.7, 199.7, 331.4, 571.8, 1091.5", \ + " 144.4, 163.1, 216.4, 344.9, 581.9, 1096.9", \ + " 172.4, 192.6, 246.6, 369.9, 602.0, 1110.1", \ + " 213.4, 234.1, 289.6, 412.6, 637.6, 1136.8", \ + " 274.9, 296.2, 352.9, 479.4, 699.4, 1186.5", \ + " 366.5, 389.2, 448.0, 576.7, 801.5, 1274.2" ); }} +} +} +cell(xnai21v1x05) { /* 2008-01-06:07h59 characteristic delay 16.8 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 912 ; /* xnai21v1x05 */ +cell_footprint : xnai21 ; +pin(a1) { /* xnai21v1x05 FO4 effort 1.78 logical effort 1.59 */ +direction : input ; +capacitance : 4.14 ; +rise_capacitance : 4.15 ; +fall_capacitance : 4.13 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xnai21v1x05 */ +internal_power(a1) { /* xnai21v1x05 2.17 nW/MHz */ +power(pwr_intran_x10) { +values( " 1.13, 1.10, 1.09, 1.08, 1.07, 1.07, 1.06, 1.05, 1.05, 1.05" ); }} +} +pin(a2) { /* xnai21v1x05 FO4 effort 2.25 logical effort 2.73 */ +direction : input ; +capacitance : 5.23 ; +rise_capacitance : 5.26 ; +fall_capacitance : 5.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xnai21v1x05 */ +internal_power(a2) { /* xnai21v1x05 14.13 nW/MHz */ +power(pwr_intran_x10) { +values( " 6.93, 6.80, 6.88, 7.07, 7.50, 8.25, 9.52, 11.57, 14.85, 20.03" ); }} +} +pin(b) { /* xnai21v1x05 FO4 effort 1.83 logical effort 1.57 */ +direction : input ; +capacitance : 3.25 ; +rise_capacitance : 3.09 ; +fall_capacitance : 3.40 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnai21v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 84 ; +max_fanout : 2 ; +function : "((a1^a2)'*b)'" ; +internal_power(a1_z_n) { /* xnai21v1x05 18.98 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 8.32, 8.33, 8.34, 8.35, 8.34", \ + " 8.23, 8.26, 8.30, 8.33, 8.34", \ + " 8.26, 8.28, 8.32, 8.37, 8.39", \ + " 8.35, 8.37, 8.41, 8.45, 8.48", \ + " 8.63, 8.63, 8.63, 8.66, 8.68", \ + " 9.18, 9.14, 9.08, 9.04, 9.02", \ + " 10.18, 10.08, 9.92, 9.75, 9.64", \ + " 11.88, 11.70, 11.37, 10.99, 10.70", \ + " 14.72, 14.43, 13.87, 13.15, 12.54", \ + " 19.39, 18.97, 18.09, 16.87, 15.75" ); }} +internal_power(a1_z_p) { /* xnai21v1x05 20.97 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 9.31, 9.31, 9.31, 9.30, 9.28", \ + " 9.21, 9.23, 9.25, 9.25, 9.24", \ + " 9.24, 9.26, 9.29, 9.30, 9.30", \ + " 9.37, 9.38, 9.40, 9.42, 9.42", \ + " 9.69, 9.69, 9.70, 9.70, 9.69", \ + " 10.30, 10.28, 10.25, 10.21, 10.18", \ + " 11.39, 11.33, 11.23, 11.12, 11.03", \ + " 13.18, 13.07, 12.87, 12.63, 12.43", \ + " 16.11, 15.92, 15.57, 15.12, 14.74", \ + " 20.92, 20.60, 19.99, 19.22, 18.53" ); }} +internal_power(a2_z_n) { /* xnai21v1x05 18.71 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 2.36, 2.41, 2.47, 2.51, 2.53", \ + " 2.27, 2.33, 2.42, 2.51, 2.55", \ + " 2.22, 2.27, 2.36, 2.46, 2.52", \ + " 2.18, 2.21, 2.28, 2.38, 2.45", \ + " 2.15, 2.15, 2.18, 2.25, 2.32", \ + " 2.17, 2.12, 2.08, 2.08, 2.11", \ + " 2.26, 2.16, 2.00, 1.86, 1.80", \ + " 2.49, 2.32, 2.02, 1.67, 1.42", \ + " 2.95, 2.72, 2.24, 1.59, 1.04", \ + " 3.85, 3.51, 2.82, 1.80, 0.78" ); }} +internal_power(a2_z_p) { /* xnai21v1x05 23.31 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 4.60, 4.58, 4.53, 4.45, 4.38", \ + " 4.61, 4.60, 4.57, 4.51, 4.44", \ + " 4.62, 4.61, 4.58, 4.52, 4.45", \ + " 4.63, 4.62, 4.59, 4.52, 4.45", \ + " 4.69, 4.66, 4.61, 4.53, 4.44", \ + " 4.80, 4.75, 4.66, 4.53, 4.41", \ + " 4.99, 4.92, 4.77, 4.56, 4.37", \ + " 5.34, 5.22, 4.99, 4.67, 4.36", \ + " 5.92, 5.76, 5.42, 4.93, 4.43", \ + " 6.99, 6.73, 6.23, 5.50, 4.73" ); }} +internal_power(b_z_n) { /* xnai21v1x05 18.73 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 9.51, 9.52, 9.54, 9.55, 9.54", \ + " 9.35, 9.38, 9.42, 9.46, 9.47", \ + " 9.32, 9.34, 9.38, 9.42, 9.44", \ + " 9.32, 9.33, 9.37, 9.41, 9.43", \ + " 9.42, 9.41, 9.41, 9.42, 9.43", \ + " 9.71, 9.66, 9.60, 9.54, 9.50", \ + " 10.31, 10.21, 10.04, 9.85, 9.70", \ + " 11.46, 11.28, 10.93, 10.52, 10.18", \ + " 13.51, 13.22, 12.64, 11.87, 11.18", \ + " 17.03, 16.61, 15.72, 14.43, 13.19" ); }} +timing() { /* ring osc delay xnai21v1x05, path a1 to z 84.4 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.6 ; */ +/* intrinsic_fall : 67.1 ; */ +/* rise_resistance : 3.53 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.7, 59.5, 81.7, 129.6, 213.4, 390.4", \ + " 58.5, 67.2, 89.5, 137.5, 221.3, 398.4", \ + " 64.6, 73.3, 95.6, 143.6, 227.4, 404.5", \ + " 72.7, 81.5, 103.8, 151.7, 235.5, 412.6", \ + " 85.5, 95.2, 118.2, 166.1, 249.8, 426.9", \ + " 100.3, 111.3, 137.3, 186.9, 270.4, 447.3", \ + " 119.0, 131.4, 160.9, 216.6, 301.4, 478.2", \ + " 142.4, 156.4, 189.8, 253.2, 346.1, 523.6", \ + " 172.9, 188.9, 226.9, 299.0, 405.0, 591.1", \ + " 213.0, 231.4, 275.2, 357.8, 478.8, 688.7" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 129.9, 156.9, 230.5, 398.8, 700.5, 1343.6", \ + " 132.4, 158.7, 231.3, 399.1, 700.5, 1343.6", \ + " 137.3, 163.0, 234.1, 399.8, 700.7, 1343.6", \ + " 145.5, 170.4, 239.9, 402.9, 701.3, 1343.7", \ + " 161.6, 185.6, 252.8, 412.1, 705.4, 1343.9", \ + " 186.4, 209.3, 274.1, 429.2, 717.0, 1347.0", \ + " 223.4, 246.5, 308.5, 459.0, 740.4, 1360.0", \ + " 270.7, 295.9, 361.1, 506.3, 781.0, 1389.1", \ + " 336.3, 363.2, 433.0, 581.0, 848.1, 1443.7", \ + " 429.7, 458.6, 533.0, 691.7, 956.1, 1538.0" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.2, 59.3, 80.1, 125.2, 204.1, 370.4", \ + " 57.2, 65.3, 86.2, 131.5, 210.4, 376.8", \ + " 62.0, 70.1, 91.0, 136.4, 215.5, 382.0", \ + " 68.1, 76.5, 97.5, 143.0, 222.3, 389.0", \ + " 76.2, 85.7, 108.3, 154.5, 234.3, 401.4", \ + " 84.0, 94.9, 120.6, 169.9, 250.8, 418.9", \ + " 91.6, 104.2, 133.8, 189.6, 274.2, 444.3", \ + " 98.2, 112.7, 147.0, 210.9, 305.0, 479.8", \ + " 102.5, 119.4, 159.2, 233.3, 340.6, 529.3", \ + " 102.2, 121.9, 168.6, 255.3, 379.5, 592.8" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.8, 119.5, 176.3, 307.0, 542.4, 1046.1", \ + " 100.8, 121.1, 177.3, 307.5, 542.6, 1046.2", \ + " 105.6, 125.2, 179.9, 308.5, 543.0, 1046.3", \ + " 113.7, 132.6, 185.8, 311.7, 543.9, 1046.5", \ + " 129.6, 147.5, 198.8, 321.4, 548.7, 1047.1", \ + " 153.2, 170.9, 219.6, 338.8, 561.3, 1051.2", \ + " 182.8, 202.3, 253.5, 368.1, 585.5, 1066.0", \ + " 224.3, 244.7, 298.4, 414.7, 626.0, 1097.1", \ + " 284.1, 305.5, 361.5, 483.9, 692.4, 1152.8", \ + " 370.0, 393.6, 453.3, 580.7, 797.9, 1247.2" ); }} +timing() { /* ring osc delay xnai21v1x05, path a1 to z 105.8 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.4 ; */ +/* intrinsic_fall : 93.8 ; */ +/* rise_resistance : 5.45 ; */ +/* fall_resistance : 3.96 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.7, 88.8, 121.0, 194.4, 326.1, 607.1", \ + " 83.1, 95.3, 127.7, 201.2, 332.9, 613.8", \ + " 87.8, 100.0, 132.4, 205.8, 337.4, 618.3", \ + " 92.8, 105.1, 137.4, 210.7, 342.3, 623.0", \ + " 98.9, 111.4, 143.7, 216.8, 348.0, 628.6", \ + " 104.9, 117.2, 149.5, 222.5, 353.3, 633.5", \ + " 111.1, 123.4, 155.4, 228.0, 358.3, 637.8", \ + " 116.9, 129.3, 161.2, 233.0, 362.9, 641.4", \ + " 121.3, 134.0, 166.2, 237.7, 366.4, 643.9", \ + " 122.6, 135.7, 168.4, 240.4, 368.8, 644.4" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 129.3, 163.8, 256.8, 468.6, 848.8, 1659.6", \ + " 129.0, 163.5, 256.5, 468.5, 848.8, 1659.6", \ + " 129.5, 163.8, 256.7, 468.6, 848.8, 1659.6", \ + " 131.0, 165.1, 257.6, 468.9, 848.9, 1659.6", \ + " 133.5, 167.2, 259.1, 470.0, 849.5, 1659.7", \ + " 137.2, 170.5, 261.5, 471.4, 850.2, 1660.1", \ + " 141.8, 174.6, 265.4, 473.8, 851.4, 1660.7", \ + " 150.7, 182.7, 271.3, 478.2, 853.8, 1661.7", \ + " 163.8, 195.4, 282.5, 486.1, 859.1, 1664.3", \ + " 182.4, 213.8, 300.1, 500.9, 869.9, 1670.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.1, 83.8, 108.3, 161.7, 255.7, 454.9", \ + " 81.8, 91.5, 116.1, 169.6, 263.7, 462.9", \ + " 87.7, 97.5, 122.1, 175.7, 269.9, 469.1", \ + " 95.4, 105.1, 129.8, 183.5, 277.7, 477.0", \ + " 107.1, 117.0, 141.7, 195.4, 289.7, 489.0", \ + " 120.7, 130.7, 155.8, 209.7, 304.0, 503.3", \ + " 137.8, 148.0, 173.4, 227.5, 321.9, 521.3", \ + " 159.1, 169.7, 195.3, 249.5, 344.1, 543.5", \ + " 186.7, 197.6, 223.7, 278.0, 372.4, 572.1", \ + " 222.8, 234.2, 261.0, 315.5, 409.8, 609.3" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.0, 105.1, 166.9, 307.1, 557.7, 1091.3", \ + " 82.1, 105.2, 166.9, 307.1, 557.7, 1091.3", \ + " 82.4, 105.3, 167.0, 307.1, 557.8, 1091.3", \ + " 83.2, 106.0, 167.3, 307.2, 557.8, 1091.3", \ + " 85.9, 108.2, 168.8, 307.7, 557.8, 1091.3", \ + " 89.4, 111.4, 171.1, 309.1, 558.4, 1091.3", \ + " 93.4, 115.0, 174.2, 311.0, 559.4, 1091.8", \ + " 98.8, 120.1, 177.9, 313.4, 560.6, 1092.3", \ + " 106.1, 127.0, 183.6, 316.6, 562.2, 1093.0", \ + " 116.1, 136.9, 192.0, 322.2, 564.7, 1093.9" ); }} +timing() { /* ring osc delay xnai21v1x05, path a2 to z 76.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 94.3 ; */ +/* intrinsic_fall : 32.1 ; */ +/* rise_resistance : 5.60 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.3, 89.9, 124.2, 199.5, 332.4, 614.1", \ + " 82.9, 96.6, 131.2, 207.0, 340.2, 622.2", \ + " 88.9, 102.4, 137.1, 213.0, 346.3, 628.4", \ + " 97.0, 110.5, 145.0, 220.9, 354.4, 636.6", \ + " 110.9, 124.3, 158.7, 234.5, 368.1, 650.5", \ + " 128.3, 142.9, 177.9, 253.5, 387.1, 669.6", \ + " 150.9, 166.8, 205.3, 282.3, 415.7, 698.3", \ + " 181.1, 198.8, 241.1, 324.6, 458.5, 741.0", \ + " 223.6, 243.6, 290.9, 382.5, 524.0, 806.5", \ + " 284.3, 307.8, 361.7, 463.4, 618.4, 907.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.5, 158.7, 249.5, 457.0, 828.9, 1621.3", \ + " 126.7, 159.5, 249.8, 457.0, 828.9, 1621.3", \ + " 127.2, 160.0, 250.0, 457.1, 828.9, 1621.3", \ + " 129.1, 161.0, 250.5, 457.3, 828.9, 1621.3", \ + " 135.2, 166.3, 253.8, 458.2, 829.2, 1621.3", \ + " 144.7, 175.2, 261.4, 463.5, 830.9, 1621.4", \ + " 161.9, 190.8, 275.1, 474.7, 838.4, 1622.9", \ + " 182.4, 215.5, 299.0, 495.1, 854.4, 1631.6", \ + " 210.8, 246.2, 337.7, 530.6, 884.2, 1652.5", \ + " 255.8, 292.6, 388.8, 592.2, 936.6, 1693.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.5, 27.5, 42.3, 82.2, 158.7, 322.8", \ + " 29.3, 34.1, 48.3, 87.4, 163.8, 327.7", \ + " 32.9, 38.6, 52.8, 90.9, 166.9, 330.5", \ + " 35.8, 42.6, 58.8, 95.5, 170.7, 333.9", \ + " 38.3, 46.4, 65.6, 104.3, 177.2, 339.1", \ + " 38.8, 48.3, 70.9, 115.1, 186.8, 345.9", \ + " 35.9, 47.1, 73.6, 124.5, 202.8, 357.1", \ + " 27.5, 40.8, 71.8, 130.3, 219.3, 376.6", \ + " 10.3, 25.9, 62.0, 129.8, 231.6, 407.1", \ + " -21.4, -3.1, 39.2, 118.1, 234.9, 435.5" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 50.2, 79.6, 147.3, 289.2, 538.7, 1071.5", \ + " 56.5, 84.2, 149.6, 289.1, 537.2, 1069.7", \ + " 63.9, 90.5, 154.2, 291.6, 537.2, 1067.7", \ + " 75.3, 100.3, 162.3, 296.7, 539.2, 1065.5", \ + " 89.9, 119.5, 178.8, 309.1, 546.0, 1065.6", \ + " 109.4, 138.9, 204.1, 330.7, 560.6, 1070.7", \ + " 137.4, 166.8, 239.0, 367.0, 589.6, 1085.9", \ + " 175.7, 205.6, 283.3, 423.5, 639.9, 1119.8", \ + " 229.0, 259.9, 344.4, 497.7, 722.3, 1186.6", \ + " 304.1, 336.6, 428.8, 599.7, 845.6, 1304.8" ); }} +timing() { /* ring osc delay xnai21v1x05, path a2 to z 96.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.1 ; */ +/* intrinsic_fall : 98.0 ; */ +/* rise_resistance : 3.24 ; */ +/* fall_resistance : 3.94 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.9, 54.0, 72.1, 116.6, 198.4, 374.2", \ + " 55.4, 61.6, 79.5, 123.6, 204.9, 380.3", \ + " 61.1, 67.2, 84.8, 128.4, 209.3, 384.2", \ + " 68.6, 74.5, 91.5, 134.3, 214.6, 389.0", \ + " 81.3, 87.4, 103.3, 144.2, 223.2, 396.5", \ + " 92.9, 100.3, 119.2, 158.9, 235.3, 406.6", \ + " 102.5, 111.0, 133.1, 180.1, 254.6, 421.8", \ + " 109.8, 119.0, 143.2, 197.1, 283.8, 446.4", \ + " 114.1, 124.0, 149.6, 207.3, 307.6, 487.7", \ + " 113.6, 124.2, 151.1, 211.1, 318.6, 532.4" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 149.0, 176.0, 251.8, 430.4, 752.2, 1443.4", \ + " 152.6, 179.1, 253.1, 428.5, 750.5, 1442.4", \ + " 156.9, 183.1, 256.2, 429.5, 748.7, 1441.1", \ + " 163.3, 189.2, 261.3, 432.3, 748.5, 1438.6", \ + " 175.0, 201.0, 272.1, 439.6, 751.3, 1436.8", \ + " 187.6, 215.7, 289.1, 453.9, 760.1, 1439.9", \ + " 201.6, 229.5, 307.9, 479.0, 780.2, 1451.6", \ + " 219.2, 247.7, 325.0, 506.9, 816.9, 1478.4", \ + " 240.2, 269.3, 348.0, 529.4, 864.0, 1531.0", \ + " 266.5, 296.4, 376.7, 560.5, 898.2, 1615.4" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.9, 87.4, 111.6, 164.6, 258.4, 457.4", \ + " 85.8, 95.4, 119.7, 172.9, 266.7, 465.7", \ + " 91.9, 101.6, 126.0, 179.3, 273.1, 472.2", \ + " 99.5, 109.2, 133.8, 187.2, 281.1, 480.2", \ + " 110.9, 120.7, 145.5, 199.0, 293.0, 492.1", \ + " 124.4, 134.3, 159.4, 213.1, 307.2, 506.3", \ + " 141.9, 152.1, 177.3, 231.1, 325.3, 524.5", \ + " 164.4, 174.8, 200.3, 254.4, 348.6, 547.8", \ + " 194.0, 204.8, 230.9, 285.4, 379.7, 578.9", \ + " 233.6, 245.0, 272.1, 327.4, 422.1, 621.3" ); } +fall_transition(x05_97_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 71.3, 91.7, 146.6, 271.3, 493.9, 967.2", \ + " 71.3, 91.7, 146.5, 271.3, 493.9, 967.2", \ + " 71.4, 91.7, 146.6, 271.3, 493.9, 967.2", \ + " 71.9, 92.0, 146.7, 271.3, 493.9, 967.2", \ + " 73.6, 93.4, 147.3, 271.4, 493.9, 967.2", \ + " 75.2, 95.0, 148.4, 271.9, 493.9, 967.2", \ + " 78.6, 97.4, 149.5, 272.4, 494.2, 967.2", \ + " 83.4, 101.6, 152.4, 273.2, 494.4, 967.4", \ + " 90.6, 108.2, 157.4, 275.6, 494.9, 967.4", \ + " 101.0, 118.2, 165.7, 280.8, 497.1, 967.8" ); }} +timing() { /* ring osc delay xnai21v1x05, path b to z 81.8 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.0 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.9, 69.9, 98.5, 161.1, 271.1, 503.5", \ + " 66.1, 77.1, 105.8, 168.6, 278.6, 511.0", \ + " 72.1, 83.1, 111.7, 174.5, 284.5, 516.9", \ + " 80.7, 91.6, 120.0, 182.5, 292.5, 524.9", \ + " 96.7, 107.2, 135.0, 197.1, 306.8, 539.0", \ + " 116.7, 128.9, 157.5, 218.7, 327.8, 559.6", \ + " 142.1, 156.2, 189.6, 252.3, 360.1, 591.0", \ + " 174.9, 190.9, 229.2, 301.4, 409.1, 638.1", \ + " 219.3, 237.3, 280.6, 363.9, 484.5, 710.6", \ + " 280.9, 301.3, 350.4, 445.0, 585.4, 823.7" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 133.8, 162.6, 239.9, 414.5, 725.5, 1386.8", \ + " 135.1, 163.3, 239.7, 414.5, 725.5, 1386.8", \ + " 139.6, 167.0, 241.8, 414.4, 725.5, 1386.8", \ + " 147.4, 173.9, 246.9, 416.5, 725.5, 1386.8", \ + " 163.5, 189.0, 259.2, 424.5, 727.8, 1386.8", \ + " 189.4, 213.7, 281.0, 440.9, 737.7, 1387.7", \ + " 231.3, 254.1, 318.4, 471.7, 760.1, 1397.9", \ + " 285.6, 313.1, 377.6, 524.1, 802.0, 1424.9", \ + " 358.8, 390.4, 465.7, 610.7, 876.4, 1479.7", \ + " 461.0, 497.1, 583.4, 746.8, 1003.1, 1581.8" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.7, 59.9, 83.9, 136.9, 230.8, 429.8", \ + " 55.6, 64.9, 89.1, 142.3, 236.2, 435.4", \ + " 58.1, 67.5, 91.7, 145.0, 239.0, 438.2", \ + " 60.5, 70.2, 94.6, 148.0, 242.1, 441.3", \ + " 62.1, 72.7, 98.8, 152.5, 246.7, 446.0", \ + " 62.5, 74.1, 102.1, 158.5, 252.8, 452.2", \ + " 60.4, 73.6, 104.5, 165.2, 261.9, 461.3", \ + " 53.7, 69.3, 104.7, 171.1, 274.2, 475.0", \ + " 38.9, 57.8, 99.8, 174.9, 286.5, 496.2", \ + " 11.5, 34.0, 84.4, 172.6, 296.9, 521.9" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.6, 108.8, 173.6, 320.0, 581.0, 1136.4", \ + " 84.9, 109.0, 173.6, 320.0, 581.0, 1136.4", \ + " 86.9, 110.6, 174.5, 320.1, 581.0, 1136.4", \ + " 90.5, 113.8, 177.0, 321.6, 581.1, 1136.4", \ + " 99.7, 121.6, 183.2, 326.0, 583.8, 1136.5", \ + " 114.7, 137.5, 195.9, 335.3, 590.1, 1139.3", \ + " 137.4, 160.4, 221.2, 354.7, 604.0, 1147.6", \ + " 174.2, 197.4, 258.5, 392.1, 631.9, 1165.7", \ + " 232.9, 256.4, 317.7, 452.5, 685.8, 1203.2", \ + " 323.8, 348.0, 410.6, 545.4, 780.3, 1277.6" ); }} +timing() { /* ring osc delay xnai21v1x05, path b to z 99.1 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 84.0 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 81.6, 111.1, 174.8, 285.6, 518.7", \ + " 77.3, 88.8, 118.3, 182.1, 293.0, 526.3", \ + " 83.2, 94.7, 124.2, 188.0, 298.9, 532.1", \ + " 91.5, 102.9, 132.3, 196.0, 306.9, 540.1", \ + " 107.2, 118.2, 147.1, 210.5, 321.1, 554.2", \ + " 129.8, 141.3, 169.3, 231.9, 342.0, 574.7", \ + " 158.3, 171.5, 203.3, 265.3, 374.2, 606.0", \ + " 194.2, 209.2, 245.8, 315.4, 423.0, 653.0", \ + " 242.0, 258.9, 300.3, 380.9, 498.5, 725.3", \ + " 307.7, 326.8, 373.5, 465.1, 602.3, 838.2" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 210.0, 237.3, 311.8, 483.4, 792.3, 1452.0", \ + " 210.2, 237.0, 311.3, 483.3, 792.3, 1452.0", \ + " 213.8, 240.0, 312.7, 483.2, 792.2, 1452.0", \ + " 220.6, 246.1, 317.1, 484.6, 792.2, 1452.0", \ + " 235.6, 260.0, 328.6, 491.9, 794.0, 1452.0", \ + " 260.1, 283.6, 349.3, 507.6, 803.3, 1452.7", \ + " 299.7, 322.5, 385.5, 537.5, 825.0, 1462.2", \ + " 360.5, 382.3, 443.5, 588.9, 866.1, 1488.6", \ + " 444.2, 470.2, 534.5, 674.5, 939.6, 1542.8", \ + " 557.9, 587.8, 662.7, 811.2, 1065.5, 1644.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.2, 65.4, 86.3, 131.5, 210.4, 376.6", \ + " 62.9, 71.1, 92.1, 137.4, 216.4, 382.7", \ + " 66.4, 74.6, 95.5, 140.9, 220.0, 386.4", \ + " 70.6, 78.8, 99.8, 145.3, 224.6, 391.1", \ + " 75.8, 84.9, 106.9, 152.7, 232.2, 399.1", \ + " 80.3, 90.3, 114.4, 162.6, 242.7, 410.2", \ + " 83.3, 94.6, 121.5, 174.2, 257.5, 426.3", \ + " 82.7, 95.9, 126.7, 185.5, 275.9, 448.6", \ + " 75.6, 91.0, 127.3, 194.7, 294.9, 479.5", \ + " 56.9, 75.2, 118.4, 197.8, 311.8, 514.8" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.3, 124.9, 184.3, 320.6, 565.6, 1089.7", \ + " 103.8, 125.5, 184.7, 320.9, 565.8, 1089.7", \ + " 106.8, 127.9, 186.3, 321.5, 566.1, 1089.8", \ + " 111.9, 132.6, 190.2, 324.0, 566.9, 1090.0", \ + " 123.6, 143.4, 199.3, 331.1, 571.5, 1091.1", \ + " 144.1, 162.8, 216.0, 344.6, 581.6, 1096.5", \ + " 172.1, 192.3, 246.2, 369.5, 601.6, 1109.7", \ + " 213.0, 233.7, 289.3, 412.3, 637.3, 1136.5", \ + " 274.6, 295.9, 352.5, 479.1, 699.0, 1186.2", \ + " 366.1, 388.8, 447.6, 576.4, 801.2, 1273.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 84.0 ; */ +/* intrinsic_fall : 64.1 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.64 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 81.6, 111.1, 174.8, 285.6, 518.7", \ + " 77.3, 88.8, 118.3, 182.1, 293.0, 526.3", \ + " 83.2, 94.7, 124.2, 188.0, 298.9, 532.1", \ + " 91.5, 102.9, 132.3, 196.0, 306.9, 540.1", \ + " 107.2, 118.2, 147.1, 210.5, 321.1, 554.2", \ + " 129.8, 141.3, 169.3, 231.9, 342.0, 574.7", \ + " 158.3, 171.5, 203.3, 265.3, 374.2, 606.0", \ + " 194.2, 209.2, 245.8, 315.4, 423.0, 653.0", \ + " 242.0, 258.9, 300.3, 380.9, 498.5, 725.3", \ + " 307.7, 326.8, 373.5, 465.1, 602.3, 838.2" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 210.0, 237.3, 311.8, 483.4, 792.3, 1452.0", \ + " 210.2, 237.0, 311.3, 483.3, 792.3, 1452.0", \ + " 213.8, 240.0, 312.7, 483.2, 792.2, 1452.0", \ + " 220.6, 246.1, 317.1, 484.6, 792.2, 1452.0", \ + " 235.6, 260.0, 328.6, 491.9, 794.0, 1452.0", \ + " 260.1, 283.6, 349.3, 507.6, 803.3, 1452.7", \ + " 299.7, 322.5, 385.5, 537.5, 825.0, 1462.2", \ + " 360.5, 382.3, 443.5, 588.9, 866.1, 1488.6", \ + " 444.2, 470.2, 534.5, 674.5, 939.6, 1542.8", \ + " 557.9, 587.8, 662.7, 811.2, 1065.5, 1644.2" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.2, 65.4, 86.3, 131.5, 210.4, 376.6", \ + " 62.9, 71.1, 92.1, 137.4, 216.4, 382.7", \ + " 66.4, 74.6, 95.5, 140.9, 220.0, 386.4", \ + " 70.6, 78.8, 99.8, 145.3, 224.6, 391.1", \ + " 75.8, 84.9, 106.9, 152.7, 232.2, 399.1", \ + " 80.3, 90.3, 114.4, 162.6, 242.7, 410.2", \ + " 83.3, 94.6, 121.5, 174.2, 257.5, 426.3", \ + " 82.7, 95.9, 126.7, 185.5, 275.9, 448.6", \ + " 75.6, 91.0, 127.3, 194.7, 294.9, 479.5", \ + " 56.9, 75.2, 118.4, 197.8, 311.8, 514.8" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 103.3, 124.9, 184.3, 320.6, 565.6, 1089.7", \ + " 103.8, 125.5, 184.7, 320.9, 565.8, 1089.7", \ + " 106.8, 127.9, 186.3, 321.5, 566.1, 1089.8", \ + " 111.9, 132.6, 190.2, 324.0, 566.9, 1090.0", \ + " 123.6, 143.4, 199.3, 331.1, 571.5, 1091.1", \ + " 144.1, 162.8, 216.0, 344.6, 581.6, 1096.5", \ + " 172.1, 192.3, 246.2, 369.5, 601.6, 1109.7", \ + " 213.0, 233.7, 289.3, 412.3, 637.3, 1136.5", \ + " 274.6, 295.9, 352.5, 479.1, 699.0, 1186.2", \ + " 366.1, 388.8, 447.6, 576.4, 801.2, 1273.9" ); }} +} +} +cell(xnai21v2x05) { /* 2008-01-06:07h59 characteristic delay 16.7 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 982 ; /* xnai21v2x05 */ +cell_footprint : xnai21 ; +pin(a1) { /* xnai21v2x05 FO4 effort 1.78 logical effort 1.59 */ +direction : input ; +capacitance : 4.10 ; +rise_capacitance : 4.11 ; +fall_capacitance : 4.09 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xnai21v2x05 */ +internal_power(a1) { /* xnai21v2x05 2.19 nW/MHz */ +power(pwr_intran_x10) { +values( " 1.15, 1.11, 1.10, 1.09, 1.08, 1.08, 1.07, 1.07, 1.06, 1.06" ); }} +} +pin(a2) { /* xnai21v2x05 FO4 effort 2.28 logical effort 2.84 */ +direction : input ; +capacitance : 5.46 ; +rise_capacitance : 5.49 ; +fall_capacitance : 5.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xnai21v2x05 */ +internal_power(a2) { /* xnai21v2x05 14.42 nW/MHz */ +power(pwr_intran_x10) { +values( " 7.01, 6.91, 7.00, 7.21, 7.69, 8.52, 9.92, 12.16, 15.73, 21.34" ); }} +} +pin(b) { /* xnai21v2x05 FO4 effort 1.75 logical effort 1.45 */ +direction : input ; +capacitance : 3.00 ; +rise_capacitance : 2.85 ; +fall_capacitance : 3.14 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnai21v2x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 84 ; +max_fanout : 2 ; +function : "((a1^a2)'*b)'" ; +internal_power(a1_z_n) { /* xnai21v2x05 19.27 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 8.48, 8.49, 8.50, 8.50, 8.50", \ + " 8.38, 8.41, 8.44, 8.48, 8.49", \ + " 8.40, 8.42, 8.46, 8.51, 8.53", \ + " 8.49, 8.50, 8.54, 8.58, 8.61", \ + " 8.76, 8.75, 8.76, 8.78, 8.80", \ + " 9.29, 9.25, 9.19, 9.16, 9.14", \ + " 10.28, 10.18, 10.02, 9.86, 9.75", \ + " 11.97, 11.79, 11.47, 11.09, 10.80", \ + " 14.80, 14.51, 13.95, 13.24, 12.63", \ + " 19.45, 19.03, 18.16, 16.95, 15.83" ); }} +internal_power(a1_z_p) { /* xnai21v2x05 21.48 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_97_5x10) { +values( " 9.58, 9.59, 9.59, 9.57, 9.55", \ + " 9.48, 9.50, 9.52, 9.52, 9.51", \ + " 9.50, 9.52, 9.54, 9.56, 9.55", \ + " 9.61, 9.62, 9.65, 9.66, 9.66", \ + " 9.93, 9.92, 9.93, 9.93, 9.92", \ + " 10.52, 10.49, 10.45, 10.42, 10.39", \ + " 11.59, 11.52, 11.42, 11.30, 11.21", \ + " 13.36, 13.24, 13.03, 12.79, 12.59", \ + " 16.27, 16.07, 15.71, 15.27, 14.88", \ + " 21.05, 20.73, 20.12, 19.34, 18.65" ); }} +internal_power(a2_z_n) { /* xnai21v2x05 18.69 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 2.20, 2.25, 2.32, 2.36, 2.37", \ + " 2.11, 2.17, 2.27, 2.36, 2.41", \ + " 2.06, 2.11, 2.21, 2.31, 2.37", \ + " 2.02, 2.05, 2.13, 2.24, 2.31", \ + " 1.99, 1.99, 2.02, 2.10, 2.17", \ + " 1.99, 1.94, 1.90, 1.91, 1.95", \ + " 2.05, 1.96, 1.80, 1.67, 1.61", \ + " 2.24, 2.07, 1.78, 1.43, 1.19", \ + " 2.63, 2.39, 1.92, 1.29, 0.74", \ + " 3.39, 3.06, 2.38, 1.37, 0.38" ); }} +internal_power(a2_z_p) { /* xnai21v2x05 22.56 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_97_5x10) { +values( " 4.08, 4.07, 4.02, 3.95, 3.89", \ + " 4.09, 4.09, 4.06, 4.01, 3.95", \ + " 4.09, 4.09, 4.07, 4.01, 3.96", \ + " 4.11, 4.10, 4.07, 4.02, 3.95", \ + " 4.16, 4.13, 4.08, 4.01, 3.93", \ + " 4.25, 4.20, 4.12, 4.00, 3.89", \ + " 4.42, 4.35, 4.20, 4.00, 3.83", \ + " 4.72, 4.61, 4.38, 4.07, 3.77", \ + " 5.24, 5.07, 4.74, 4.27, 3.79", \ + " 6.18, 5.93, 5.44, 4.73, 3.99" ); }} +internal_power(b_z_n) { /* xnai21v2x05 18.38 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 9.32, 9.33, 9.35, 9.35, 9.35", \ + " 9.17, 9.20, 9.24, 9.27, 9.28", \ + " 9.14, 9.16, 9.20, 9.24, 9.25", \ + " 9.15, 9.17, 9.19, 9.22, 9.24", \ + " 9.26, 9.25, 9.24, 9.25, 9.25", \ + " 9.56, 9.51, 9.44, 9.37, 9.32", \ + " 10.18, 10.07, 9.89, 9.68, 9.53", \ + " 11.34, 11.15, 10.79, 10.36, 10.01", \ + " 13.40, 13.10, 12.50, 11.72, 11.02", \ + " 16.94, 16.51, 15.60, 14.29, 13.04" ); }} +timing() { /* ring osc delay xnai21v2x05, path a1 to z 89.3 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.9 ; */ +/* intrinsic_fall : 68.1 ; */ +/* rise_resistance : 3.54 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.8, 59.6, 82.1, 130.2, 214.1, 391.2", \ + " 58.5, 67.4, 89.9, 138.1, 222.0, 399.2", \ + " 64.6, 73.5, 95.9, 144.2, 228.0, 405.2", \ + " 72.8, 81.7, 104.2, 152.3, 236.2, 413.3", \ + " 85.8, 95.6, 118.7, 166.8, 250.5, 427.6", \ + " 100.7, 111.8, 137.9, 187.5, 271.1, 448.1", \ + " 119.5, 132.0, 161.7, 217.4, 302.2, 479.0", \ + " 143.1, 157.2, 190.7, 254.2, 347.0, 524.4", \ + " 173.8, 189.8, 227.9, 300.1, 406.2, 592.0", \ + " 214.0, 232.5, 276.4, 359.0, 480.2, 689.9" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 127.2, 154.0, 227.0, 394.8, 696.2, 1339.1", \ + " 129.7, 155.8, 227.9, 395.2, 696.2, 1339.1", \ + " 134.7, 160.0, 230.6, 396.0, 696.4, 1339.1", \ + " 142.9, 167.5, 236.5, 399.1, 697.0, 1339.1", \ + " 159.3, 182.9, 249.6, 408.2, 701.3, 1339.4", \ + " 184.7, 207.1, 271.2, 425.5, 712.9, 1342.5", \ + " 222.2, 245.0, 306.1, 455.6, 736.4, 1355.7", \ + " 270.1, 294.9, 359.4, 503.5, 777.4, 1384.9", \ + " 336.1, 362.7, 431.8, 578.9, 844.9, 1439.7", \ + " 430.0, 458.7, 532.5, 690.2, 953.7, 1534.5" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.0, 60.3, 81.3, 126.6, 205.7, 372.0", \ + " 58.0, 66.3, 87.4, 132.8, 211.9, 378.4", \ + " 62.7, 71.1, 92.2, 137.7, 216.9, 383.5", \ + " 69.0, 77.4, 98.7, 144.3, 223.7, 390.5", \ + " 77.2, 86.8, 109.5, 155.8, 235.6, 402.8", \ + " 85.1, 96.2, 122.0, 171.3, 252.2, 420.3", \ + " 92.9, 105.6, 135.3, 191.1, 275.6, 445.7", \ + " 99.5, 114.2, 148.6, 212.6, 306.6, 481.2", \ + " 104.0, 120.9, 160.9, 235.1, 342.4, 530.8", \ + " 103.8, 123.5, 170.3, 257.1, 381.3, 594.5" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.0, 118.4, 174.7, 304.8, 539.6, 1042.9", \ + " 100.0, 119.9, 175.7, 305.3, 539.9, 1043.0", \ + " 104.7, 124.0, 178.2, 306.4, 540.3, 1043.1", \ + " 112.9, 131.4, 184.0, 309.5, 541.3, 1043.3", \ + " 129.0, 146.5, 197.1, 319.2, 546.0, 1044.0", \ + " 152.9, 170.2, 218.2, 336.6, 558.6, 1048.0", \ + " 183.0, 202.1, 252.5, 366.2, 582.9, 1062.8", \ + " 224.9, 244.8, 297.8, 413.1, 623.7, 1094.1", \ + " 284.9, 306.0, 361.3, 482.8, 690.4, 1150.0", \ + " 371.2, 394.4, 453.4, 580.0, 796.2, 1244.6" ); }} +timing() { /* ring osc delay xnai21v2x05, path a1 to z 116.4 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.8 ; */ +/* intrinsic_fall : 96.5 ; */ +/* rise_resistance : 5.44 ; */ +/* fall_resistance : 3.98 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.0, 90.2, 122.3, 195.5, 327.1, 608.0", \ + " 84.5, 96.8, 129.1, 202.4, 333.9, 614.8", \ + " 89.4, 101.7, 134.1, 207.3, 338.8, 619.5", \ + " 95.0, 107.4, 139.7, 212.8, 344.2, 624.8", \ + " 102.1, 114.7, 147.0, 219.8, 350.9, 631.3", \ + " 108.9, 121.5, 154.0, 226.6, 357.2, 637.2", \ + " 116.1, 128.7, 160.8, 233.3, 363.3, 642.6", \ + " 122.9, 135.7, 167.8, 239.4, 369.1, 647.3", \ + " 128.5, 141.6, 174.1, 245.4, 373.8, 651.0", \ + " 131.2, 144.8, 177.9, 249.7, 377.6, 652.9" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 126.0, 160.1, 252.2, 463.0, 842.5, 1653.0", \ + " 125.5, 159.6, 251.8, 462.9, 842.5, 1653.0", \ + " 125.7, 159.7, 251.9, 462.9, 842.5, 1653.0", \ + " 127.2, 160.9, 252.7, 463.2, 842.6, 1653.0", \ + " 130.1, 163.4, 254.5, 464.5, 843.1, 1653.0", \ + " 134.3, 167.1, 257.2, 466.2, 844.1, 1653.5", \ + " 139.3, 171.8, 261.6, 468.9, 845.5, 1654.2", \ + " 148.3, 180.0, 267.9, 473.6, 848.1, 1655.3", \ + " 161.6, 192.9, 279.3, 482.1, 853.6, 1657.9", \ + " 180.6, 211.6, 297.2, 497.0, 864.8, 1664.3" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.1, 86.2, 111.2, 164.9, 259.1, 458.3", \ + " 83.7, 93.8, 118.9, 172.7, 266.9, 466.2", \ + " 89.7, 99.8, 124.9, 178.8, 273.1, 472.4", \ + " 97.5, 107.6, 132.8, 186.8, 281.1, 480.5", \ + " 109.8, 120.0, 145.2, 199.2, 293.6, 493.0", \ + " 124.0, 134.5, 160.1, 214.2, 308.7, 508.2", \ + " 141.9, 152.6, 178.6, 233.1, 327.6, 527.1", \ + " 164.0, 175.2, 201.6, 256.3, 351.0, 550.5", \ + " 192.6, 204.2, 231.3, 286.2, 380.8, 580.6", \ + " 229.8, 242.1, 270.1, 325.5, 419.9, 619.6" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.2, 100.1, 161.6, 301.3, 551.5, 1084.9", \ + " 77.3, 100.2, 161.6, 301.3, 551.5, 1084.9", \ + " 77.5, 100.4, 161.7, 301.3, 551.5, 1084.9", \ + " 78.3, 100.9, 162.0, 301.4, 551.5, 1084.9", \ + " 81.1, 103.3, 163.4, 301.9, 551.6, 1084.9", \ + " 85.1, 106.9, 166.2, 303.5, 552.2, 1084.9", \ + " 89.6, 111.2, 169.9, 305.8, 553.4, 1085.3", \ + " 95.4, 116.8, 174.3, 308.8, 555.0, 1086.0", \ + " 103.0, 124.3, 180.7, 312.7, 557.1, 1086.8", \ + " 113.4, 134.7, 189.9, 319.1, 560.2, 1088.0" ); }} +timing() { /* ring osc delay xnai21v2x05, path a2 to z 85.1 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 93.8 ; */ +/* intrinsic_fall : 29.2 ; */ +/* rise_resistance : 5.61 ; */ +/* fall_resistance : 2.92 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.3, 89.1, 123.6, 199.0, 332.0, 613.7", \ + " 82.0, 95.8, 130.8, 206.7, 340.0, 622.0", \ + " 88.0, 101.8, 136.7, 212.8, 346.2, 628.4", \ + " 96.3, 109.9, 144.7, 220.8, 354.5, 636.7", \ + " 110.4, 124.0, 158.6, 234.7, 368.4, 650.9", \ + " 128.1, 143.0, 178.2, 254.1, 387.8, 670.4", \ + " 151.3, 167.5, 206.2, 283.3, 416.9, 699.6", \ + " 182.4, 200.3, 242.8, 326.3, 460.4, 743.1", \ + " 226.2, 246.5, 293.9, 385.5, 526.9, 809.6", \ + " 289.0, 312.7, 366.8, 468.4, 623.2, 912.0" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 118.6, 152.0, 242.9, 450.5, 822.4, 1614.8", \ + " 119.7, 152.7, 243.2, 450.6, 822.4, 1614.8", \ + " 120.2, 153.1, 243.4, 450.6, 822.4, 1614.8", \ + " 121.7, 153.8, 243.8, 450.8, 822.4, 1614.8", \ + " 127.4, 158.8, 246.7, 451.6, 822.6, 1614.8", \ + " 136.7, 167.4, 253.9, 456.3, 824.0, 1614.8", \ + " 153.9, 182.6, 267.1, 467.0, 831.0, 1616.0", \ + " 173.4, 206.4, 290.0, 486.3, 846.2, 1624.2", \ + " 200.4, 235.6, 327.0, 520.3, 874.6, 1644.0", \ + " 242.9, 279.5, 375.5, 579.2, 924.7, 1683.1" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.4, 25.3, 39.5, 78.8, 155.1, 319.2", \ + " 27.1, 31.9, 45.5, 83.8, 159.8, 323.7", \ + " 30.2, 36.0, 50.1, 87.2, 162.7, 326.2", \ + " 32.7, 39.5, 55.7, 91.6, 166.2, 329.1", \ + " 34.5, 42.6, 61.8, 100.3, 172.0, 333.3", \ + " 34.0, 43.5, 66.1, 110.2, 181.0, 339.0", \ + " 29.8, 41.1, 67.5, 118.3, 196.2, 348.8", \ + " 19.7, 33.0, 63.9, 122.3, 210.8, 366.9", \ + " 0.1, 15.6, 51.6, 119.2, 220.3, 395.0", \ + " -35.1, -16.9, 25.1, 103.7, 219.6, 419.0" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 45.0, 74.6, 142.4, 283.9, 533.7, 1067.6", \ + " 51.9, 79.8, 145.1, 284.0, 532.0, 1065.5", \ + " 59.7, 86.5, 150.0, 286.7, 532.1, 1063.1", \ + " 71.6, 96.6, 158.3, 292.0, 534.1, 1060.8", \ + " 84.8, 115.5, 175.1, 304.5, 540.8, 1060.6", \ + " 104.1, 134.0, 200.7, 326.5, 555.6, 1065.3", \ + " 131.5, 161.6, 234.6, 363.0, 584.8, 1080.3", \ + " 168.9, 199.7, 278.3, 419.3, 635.6, 1114.4", \ + " 220.8, 252.9, 338.8, 493.0, 718.4, 1181.8", \ + " 293.5, 327.6, 422.2, 594.3, 841.0, 1300.5" ); }} +timing() { /* ring osc delay xnai21v2x05, path a2 to z 90.6 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 94.3 ; */ +/* rise_resistance : 3.26 ; */ +/* fall_resistance : 3.94 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.3, 48.4, 66.5, 111.6, 193.9, 369.9", \ + " 49.9, 56.0, 73.9, 118.6, 200.4, 376.1", \ + " 55.4, 61.4, 79.0, 123.1, 204.6, 380.0", \ + " 63.0, 68.6, 85.4, 128.6, 209.6, 384.5", \ + " 74.2, 80.7, 97.3, 137.9, 217.5, 391.4", \ + " 83.4, 91.2, 111.3, 152.2, 228.8, 400.7", \ + " 90.0, 98.8, 122.0, 171.4, 247.2, 414.9", \ + " 93.7, 103.2, 128.1, 184.2, 274.3, 438.1", \ + " 93.3, 103.4, 129.6, 189.0, 292.7, 477.7", \ + " 86.0, 96.8, 124.3, 185.8, 296.0, 515.9" ); } +rise_transition(x05_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 137.5, 164.8, 241.1, 420.3, 742.8, 1436.0", \ + " 141.3, 168.0, 242.5, 418.5, 741.0, 1434.8", \ + " 145.2, 171.7, 245.3, 419.2, 739.2, 1433.3", \ + " 150.9, 177.2, 250.0, 421.6, 738.9, 1430.7", \ + " 160.8, 187.8, 259.9, 428.4, 741.7, 1429.4", \ + " 169.0, 199.0, 275.3, 442.0, 750.7, 1432.9", \ + " 181.7, 210.2, 289.6, 465.9, 770.9, 1445.3", \ + " 195.8, 224.9, 303.7, 489.1, 807.4, 1473.0", \ + " 212.4, 242.3, 322.7, 507.8, 850.2, 1526.7", \ + " 234.0, 264.6, 346.9, 534.7, 878.4, 1609.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.8, 83.3, 107.4, 160.3, 254.0, 453.0", \ + " 81.8, 91.4, 115.6, 168.6, 262.4, 461.4", \ + " 88.1, 97.7, 122.1, 175.2, 269.0, 468.0", \ + " 95.9, 105.6, 130.1, 183.4, 277.2, 476.2", \ + " 107.7, 117.6, 142.3, 195.7, 289.6, 488.6", \ + " 121.9, 131.9, 156.9, 210.6, 304.5, 503.6", \ + " 140.5, 150.8, 176.0, 229.8, 323.9, 523.0", \ + " 164.7, 175.2, 200.9, 254.9, 349.1, 548.2", \ + " 197.1, 208.0, 234.3, 288.8, 383.1, 582.3", \ + " 241.0, 252.6, 280.0, 335.5, 430.2, 629.4" ); } +fall_transition(x05_97_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 65.4, 85.8, 140.7, 265.4, 488.1, 961.5", \ + " 65.4, 85.8, 140.7, 265.4, 488.1, 961.5", \ + " 65.5, 85.9, 140.7, 265.4, 488.1, 961.5", \ + " 66.0, 86.2, 140.8, 265.5, 488.1, 961.5", \ + " 67.8, 87.5, 141.4, 265.5, 488.1, 961.5", \ + " 69.3, 89.1, 142.5, 266.1, 488.2, 961.5", \ + " 72.5, 91.3, 143.4, 266.5, 488.4, 961.5", \ + " 77.1, 95.3, 146.2, 267.1, 488.5, 961.6", \ + " 83.7, 101.5, 150.9, 269.4, 489.0, 961.6", \ + " 93.2, 110.7, 158.7, 274.3, 491.0, 962.0" ); }} +timing() { /* ring osc delay xnai21v2x05, path b to z 84.5 */ +related_pin : "b" ; +when : "(a1'*a2')" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.0 ; */ +/* intrinsic_fall : 63.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.65 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.5, 66.6, 95.2, 157.9, 267.8, 500.2", \ + " 62.7, 73.9, 102.6, 165.3, 275.3, 507.8", \ + " 68.8, 79.9, 108.5, 171.3, 281.2, 513.7", \ + " 77.5, 88.4, 116.8, 179.4, 289.3, 521.7", \ + " 93.4, 104.1, 131.9, 194.0, 303.6, 535.8", \ + " 113.0, 125.5, 154.5, 215.7, 324.6, 556.4", \ + " 138.0, 152.3, 186.2, 249.3, 357.1, 587.8", \ + " 170.3, 186.5, 225.3, 298.2, 406.1, 634.9", \ + " 214.2, 232.4, 276.3, 360.2, 481.5, 707.5", \ + " 275.1, 295.9, 345.5, 440.8, 581.9, 820.7" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 125.7, 154.6, 231.8, 406.4, 717.4, 1378.8", \ + " 127.5, 155.5, 231.8, 406.4, 717.4, 1378.8", \ + " 132.2, 159.4, 234.0, 406.4, 717.4, 1378.8", \ + " 140.2, 166.6, 239.3, 408.6, 717.4, 1378.8", \ + " 156.7, 181.9, 251.9, 416.8, 719.9, 1378.8", \ + " 182.8, 207.0, 274.0, 433.5, 730.0, 1379.7", \ + " 224.3, 247.6, 311.6, 464.5, 752.6, 1390.0", \ + " 277.4, 305.5, 371.1, 517.2, 794.7, 1417.2", \ + " 349.5, 381.8, 458.2, 604.0, 869.3, 1472.3", \ + " 450.5, 487.3, 574.9, 739.8, 996.4, 1574.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.6, 56.9, 80.9, 134.0, 227.8, 426.9", \ + " 52.5, 61.9, 86.1, 139.4, 233.3, 432.4", \ + " 55.0, 64.5, 88.8, 142.1, 236.1, 435.3", \ + " 57.2, 67.2, 91.6, 145.1, 239.2, 438.4", \ + " 58.8, 69.5, 95.8, 149.6, 243.7, 443.1", \ + " 58.9, 70.7, 99.0, 155.6, 249.8, 449.2", \ + " 56.3, 69.8, 101.2, 162.1, 259.0, 458.4", \ + " 48.8, 65.0, 101.1, 167.9, 271.2, 472.1", \ + " 33.1, 52.6, 95.6, 171.4, 283.4, 493.3", \ + " 4.6, 27.8, 79.4, 168.7, 293.7, 518.9" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.7, 102.0, 166.8, 313.3, 574.3, 1129.7", \ + " 78.0, 102.2, 166.9, 313.3, 574.3, 1129.7", \ + " 80.2, 103.9, 167.8, 313.4, 574.3, 1129.7", \ + " 84.0, 107.2, 170.4, 314.9, 574.5, 1129.7", \ + " 93.7, 115.3, 176.7, 319.4, 577.1, 1129.8", \ + " 108.1, 130.9, 189.6, 328.7, 583.5, 1132.6", \ + " 131.0, 154.0, 214.8, 348.3, 597.4, 1140.9", \ + " 168.0, 191.1, 252.2, 386.0, 625.5, 1159.0", \ + " 226.6, 250.2, 311.6, 446.4, 679.7, 1196.7", \ + " 317.5, 341.7, 404.6, 539.4, 774.3, 1271.4" ); }} +timing() { /* ring osc delay xnai21v2x05, path b to z 106.8 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.0 ; */ +/* intrinsic_fall : 63.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.65 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.1, 80.7, 110.3, 174.3, 285.3, 518.6", \ + " 76.3, 87.9, 117.7, 181.7, 292.8, 526.2", \ + " 82.2, 93.8, 123.5, 187.6, 298.7, 532.1", \ + " 90.6, 102.1, 131.7, 195.6, 306.7, 540.0", \ + " 106.3, 117.4, 146.5, 210.1, 321.0, 554.1", \ + " 129.1, 140.7, 168.8, 231.6, 341.9, 574.6", \ + " 157.7, 171.0, 202.9, 265.0, 374.1, 606.0", \ + " 193.8, 208.9, 245.5, 315.2, 422.9, 653.0", \ + " 241.9, 258.9, 300.3, 380.9, 498.5, 725.4", \ + " 307.8, 327.0, 373.7, 465.3, 602.5, 838.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 215.5, 242.6, 316.7, 487.7, 796.1, 1455.5", \ + " 215.8, 242.3, 316.0, 487.6, 796.1, 1455.5", \ + " 219.4, 245.3, 317.5, 487.4, 796.1, 1455.5", \ + " 226.2, 251.4, 322.0, 488.9, 796.1, 1455.5", \ + " 241.2, 265.4, 333.4, 496.2, 797.9, 1455.5", \ + " 265.7, 288.9, 354.2, 511.9, 807.2, 1456.2", \ + " 305.1, 327.7, 390.4, 541.8, 828.9, 1465.8", \ + " 365.2, 387.1, 448.3, 593.3, 870.0, 1492.2", \ + " 449.7, 475.3, 538.9, 678.8, 943.6, 1546.4", \ + " 564.0, 593.6, 667.7, 815.3, 1069.5, 1647.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.6, 65.9, 87.0, 132.4, 211.4, 377.8", \ + " 63.3, 71.7, 92.8, 138.3, 217.4, 383.8", \ + " 66.8, 75.1, 96.3, 141.8, 221.0, 387.6", \ + " 71.0, 79.4, 100.6, 146.2, 225.6, 392.2", \ + " 76.4, 85.6, 107.7, 153.6, 233.2, 400.2", \ + " 81.1, 91.2, 115.4, 163.5, 243.7, 411.3", \ + " 84.3, 95.7, 122.7, 175.4, 258.6, 427.3", \ + " 84.0, 97.2, 128.2, 186.9, 277.2, 449.7", \ + " 77.1, 92.6, 129.0, 196.3, 296.3, 480.7", \ + " 58.8, 77.1, 120.3, 199.7, 313.5, 516.2" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.4, 123.8, 182.6, 318.3, 562.9, 1086.5", \ + " 103.0, 124.3, 183.1, 318.7, 563.1, 1086.6", \ + " 105.9, 126.7, 184.6, 319.3, 563.4, 1086.7", \ + " 111.0, 131.4, 188.4, 321.8, 564.3, 1086.9", \ + " 122.6, 142.1, 197.5, 328.8, 568.8, 1088.0", \ + " 143.1, 161.5, 214.2, 342.2, 578.8, 1093.3", \ + " 171.2, 191.0, 244.3, 367.1, 598.8, 1106.5", \ + " 212.3, 232.6, 287.5, 409.9, 634.5, 1133.3", \ + " 273.7, 294.8, 350.9, 476.7, 696.2, 1183.0", \ + " 365.0, 387.5, 445.9, 574.2, 798.3, 1270.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 82.0 ; */ +/* intrinsic_fall : 63.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 3.65 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.1, 80.7, 110.3, 174.3, 285.3, 518.6", \ + " 76.3, 87.9, 117.7, 181.7, 292.8, 526.2", \ + " 82.2, 93.8, 123.5, 187.6, 298.7, 532.1", \ + " 90.6, 102.1, 131.7, 195.6, 306.7, 540.0", \ + " 106.3, 117.4, 146.5, 210.1, 321.0, 554.1", \ + " 129.1, 140.7, 168.8, 231.6, 341.9, 574.6", \ + " 157.7, 171.0, 202.9, 265.0, 374.1, 606.0", \ + " 193.8, 208.9, 245.5, 315.2, 422.9, 653.0", \ + " 241.9, 258.9, 300.3, 380.9, 498.5, 725.4", \ + " 307.8, 327.0, 373.7, 465.3, 602.5, 838.3" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 215.5, 242.6, 316.7, 487.7, 796.1, 1455.5", \ + " 215.8, 242.3, 316.0, 487.6, 796.1, 1455.5", \ + " 219.4, 245.3, 317.5, 487.4, 796.1, 1455.5", \ + " 226.2, 251.4, 322.0, 488.9, 796.1, 1455.5", \ + " 241.2, 265.4, 333.4, 496.2, 797.9, 1455.5", \ + " 265.7, 288.9, 354.2, 511.9, 807.2, 1456.2", \ + " 305.1, 327.7, 390.4, 541.8, 828.9, 1465.8", \ + " 365.2, 387.1, 448.3, 593.3, 870.0, 1492.2", \ + " 449.7, 475.3, 538.9, 678.8, 943.6, 1546.4", \ + " 564.0, 593.6, 667.7, 815.3, 1069.5, 1647.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.6, 65.9, 87.0, 132.4, 211.4, 377.8", \ + " 63.3, 71.7, 92.8, 138.3, 217.4, 383.8", \ + " 66.8, 75.1, 96.3, 141.8, 221.0, 387.6", \ + " 71.0, 79.4, 100.6, 146.2, 225.6, 392.2", \ + " 76.4, 85.6, 107.7, 153.6, 233.2, 400.2", \ + " 81.1, 91.2, 115.4, 163.5, 243.7, 411.3", \ + " 84.3, 95.7, 122.7, 175.4, 258.6, 427.3", \ + " 84.0, 97.2, 128.2, 186.9, 277.2, 449.7", \ + " 77.1, 92.6, 129.0, 196.3, 296.3, 480.7", \ + " 58.8, 77.1, 120.3, 199.7, 313.5, 516.2" ); } +fall_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.4, 123.8, 182.6, 318.3, 562.9, 1086.5", \ + " 103.0, 124.3, 183.1, 318.7, 563.1, 1086.6", \ + " 105.9, 126.7, 184.6, 319.3, 563.4, 1086.7", \ + " 111.0, 131.4, 188.4, 321.8, 564.3, 1086.9", \ + " 122.6, 142.1, 197.5, 328.8, 568.8, 1088.0", \ + " 143.1, 161.5, 214.2, 342.2, 578.8, 1093.3", \ + " 171.2, 191.0, 244.3, 367.1, 598.8, 1106.5", \ + " 212.3, 232.6, 287.5, 409.9, 634.5, 1133.3", \ + " 273.7, 294.8, 350.9, 476.7, 696.2, 1183.0", \ + " 365.0, 387.5, 445.9, 574.2, 798.3, 1270.8" ); }} +} +} +cell(xnr2v0x05) { /* 2008-01-06:08h00 characteristic delay 17.1 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 624 ; /* xnr2v0x05 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v0x05 FO4 effort 1.74 logical effort 1.46 */ +direction : input ; +capacitance : 2.28 ; +rise_capacitance : 2.27 ; +fall_capacitance : 2.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v0x05 */ +} +pin(b) { /* xnr2v0x05 FO4 effort 2.05 logical effort 2.52 */ +direction : input ; +capacitance : 3.45 ; +rise_capacitance : 3.39 ; +fall_capacitance : 3.51 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 46 ; +max_fanout : 2 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v0x05 11.66 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 5.81, 5.82, 5.82, 5.82, 5.81", \ + " 5.75, 5.76, 5.77, 5.78, 5.78", \ + " 5.76, 5.77, 5.78, 5.80, 5.80", \ + " 5.81, 5.82, 5.83, 5.85, 5.85", \ + " 5.97, 5.96, 5.96, 5.97, 5.97", \ + " 6.27, 6.24, 6.21, 6.19, 6.17", \ + " 6.82, 6.77, 6.68, 6.59, 6.53", \ + " 7.77, 7.66, 7.48, 7.28, 7.13", \ + " 9.36, 9.19, 8.87, 8.48, 8.17", \ + " 11.98, 11.73, 11.23, 10.55, 9.96" ); }} +internal_power(a_z_p) { /* xnr2v0x05 14.22 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 7.07, 7.10, 7.13, 7.15, 7.16", \ + " 6.99, 7.02, 7.06, 7.09, 7.10", \ + " 6.99, 7.02, 7.06, 7.10, 7.11", \ + " 7.04, 7.07, 7.11, 7.15, 7.17", \ + " 7.20, 7.22, 7.26, 7.30, 7.32", \ + " 7.53, 7.54, 7.55, 7.58, 7.59", \ + " 8.14, 8.12, 8.10, 8.09, 8.08", \ + " 9.16, 9.11, 9.03, 8.96, 8.91", \ + " 10.86, 10.77, 10.61, 10.43, 10.30", \ + " 13.64, 13.50, 13.22, 12.88, 12.60" ); }} +internal_power(b_z_n) { /* xnr2v0x05 10.71 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 5.37, 5.41, 5.44, 5.46, 5.47", \ + " 5.21, 5.26, 5.33, 5.39, 5.41", \ + " 5.20, 5.24, 5.32, 5.39, 5.42", \ + " 5.26, 5.29, 5.36, 5.42, 5.46", \ + " 5.48, 5.48, 5.51, 5.56, 5.59", \ + " 5.91, 5.88, 5.86, 5.85, 5.87", \ + " 6.70, 6.63, 6.52, 6.43, 6.38", \ + " 8.03, 7.90, 7.69, 7.46, 7.30", \ + " 10.24, 10.04, 9.68, 9.24, 8.88", \ + " 13.84, 13.55, 12.99, 12.25, 11.58" ); }} +internal_power(b_z_p) { /* xnr2v0x05 13.25 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 6.67, 6.65, 6.60, 6.53, 6.46", \ + " 6.55, 6.54, 6.52, 6.46, 6.40", \ + " 6.56, 6.56, 6.54, 6.49, 6.43", \ + " 6.66, 6.65, 6.62, 6.57, 6.51", \ + " 6.92, 6.89, 6.85, 6.79, 6.72", \ + " 7.40, 7.36, 7.29, 7.19, 7.10", \ + " 8.26, 8.19, 8.07, 7.91, 7.77", \ + " 9.67, 9.56, 9.37, 9.13, 8.91", \ + " 11.99, 11.82, 11.52, 11.15, 10.80", \ + " 15.77, 15.51, 15.03, 14.44, 13.90" ); }} +timing() { /* ring osc delay xnr2v0x05, path a to z 98.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.6 ; */ +/* intrinsic_fall : 66.5 ; */ +/* rise_resistance : 6.35 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 65.1, 91.8, 149.6, 250.0, 460.9", \ + " 62.4, 72.9, 99.6, 157.5, 257.8, 468.7", \ + " 68.7, 79.0, 105.7, 163.5, 263.9, 474.8", \ + " 77.2, 87.5, 114.1, 171.7, 272.1, 483.0", \ + " 91.3, 102.3, 128.8, 186.3, 286.5, 497.4", \ + " 107.6, 120.1, 149.5, 207.2, 307.3, 518.1", \ + " 127.7, 141.9, 175.5, 238.3, 338.6, 549.3", \ + " 152.7, 168.8, 206.9, 278.6, 384.2, 595.4", \ + " 185.1, 203.3, 246.5, 328.2, 448.1, 664.2", \ + " 227.7, 248.6, 298.0, 391.2, 528.2, 765.7" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 129.4, 160.2, 245.6, 443.3, 798.4, 1555.1", \ + " 131.8, 161.9, 246.5, 443.7, 798.5, 1555.1", \ + " 136.5, 165.9, 248.8, 444.4, 798.8, 1555.2", \ + " 144.3, 172.9, 254.1, 446.8, 799.4, 1555.3", \ + " 160.1, 187.7, 266.3, 454.8, 802.4, 1555.7", \ + " 184.7, 211.0, 286.8, 470.7, 812.3, 1557.7", \ + " 221.6, 247.6, 320.2, 498.8, 833.7, 1568.1", \ + " 267.9, 296.7, 371.1, 544.0, 871.7, 1594.0", \ + " 330.7, 361.8, 442.5, 615.2, 935.0, 1644.7", \ + " 419.0, 452.5, 539.1, 723.8, 1037.0, 1733.4" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 56.5, 77.4, 122.3, 201.2, 368.6", \ + " 55.1, 63.6, 84.5, 129.4, 208.4, 375.8", \ + " 60.3, 68.9, 89.9, 134.9, 213.9, 381.3", \ + " 67.0, 75.7, 97.0, 142.1, 221.2, 388.6", \ + " 75.8, 85.9, 108.8, 154.6, 233.8, 401.4", \ + " 84.3, 96.1, 122.6, 171.3, 251.4, 419.5", \ + " 92.6, 106.3, 137.3, 193.1, 276.5, 445.9", \ + " 99.7, 115.6, 151.9, 216.8, 309.9, 483.1", \ + " 104.5, 123.0, 165.6, 241.8, 349.1, 535.5", \ + " 104.6, 126.1, 176.2, 266.5, 392.2, 604.3" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.5, 104.1, 160.3, 290.0, 524.6, 1028.0", \ + " 86.5, 106.4, 161.8, 290.8, 524.9, 1028.1", \ + " 91.8, 111.0, 164.9, 292.1, 525.4, 1028.2", \ + " 100.7, 119.0, 171.2, 295.7, 526.5, 1028.3", \ + " 118.0, 135.1, 185.0, 305.9, 531.6, 1029.0", \ + " 143.2, 160.0, 206.9, 324.0, 544.4, 1033.0", \ + " 176.5, 194.4, 242.5, 354.3, 569.1, 1047.4", \ + " 221.0, 240.1, 291.0, 402.6, 610.5, 1078.0", \ + " 283.0, 303.7, 357.8, 475.8, 678.5, 1133.9", \ + " 370.3, 393.4, 452.1, 577.4, 788.3, 1229.4" ); }} +timing() { /* ring osc delay xnr2v0x05, path a to z 118.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 91.9 ; */ +/* intrinsic_fall : 104.8 ; */ +/* rise_resistance : 6.53 ; */ +/* fall_resistance : 6.22 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.8, 86.6, 113.5, 172.3, 275.6, 494.8", \ + " 82.8, 93.6, 120.6, 179.4, 282.8, 502.0", \ + " 87.8, 98.6, 125.7, 184.6, 288.1, 507.3", \ + " 93.5, 104.3, 131.4, 190.4, 294.0, 513.2", \ + " 100.7, 111.6, 138.7, 197.8, 301.5, 520.8", \ + " 107.6, 118.5, 145.8, 205.0, 308.8, 528.2", \ + " 114.3, 125.4, 152.9, 212.1, 316.0, 535.5", \ + " 120.0, 131.5, 159.3, 218.6, 322.5, 542.1", \ + " 123.5, 135.5, 164.0, 223.7, 327.7, 547.4", \ + " 122.5, 135.3, 165.1, 225.6, 330.0, 549.9" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 76.8, 102.0, 170.6, 326.7, 605.6, 1199.0", \ + " 76.9, 102.1, 170.6, 326.7, 605.6, 1199.0", \ + " 77.1, 102.3, 170.7, 326.7, 605.6, 1199.0", \ + " 77.7, 102.7, 170.9, 326.8, 605.6, 1199.0", \ + " 79.3, 104.0, 171.7, 327.1, 605.7, 1199.0", \ + " 81.6, 106.0, 173.0, 327.8, 606.0, 1199.1", \ + " 85.0, 108.8, 175.1, 328.8, 606.4, 1199.3", \ + " 90.4, 113.5, 178.4, 330.8, 607.2, 1199.5", \ + " 98.4, 120.8, 184.3, 334.4, 609.1, 1200.3", \ + " 110.1, 131.9, 193.8, 341.4, 613.4, 1202.4" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.5, 94.8, 120.6, 176.8, 275.3, 483.4", \ + " 92.2, 102.4, 128.4, 184.5, 283.0, 491.2", \ + " 98.3, 108.6, 134.5, 190.7, 289.2, 497.4", \ + " 106.3, 116.6, 142.5, 198.7, 297.3, 505.5", \ + " 119.2, 129.4, 155.3, 211.5, 310.1, 518.3", \ + " 134.4, 144.9, 171.0, 227.1, 325.6, 533.9", \ + " 153.7, 164.4, 190.7, 247.1, 345.5, 553.6", \ + " 178.2, 189.2, 215.8, 272.1, 370.8, 578.8", \ + " 210.3, 221.8, 249.1, 305.8, 404.2, 612.4", \ + " 252.8, 265.0, 293.8, 351.6, 450.2, 658.3" ); } +fall_transition(x05_65_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 71.6, 93.4, 151.7, 284.4, 522.2, 1028.6", \ + " 71.7, 93.5, 151.8, 284.4, 522.2, 1028.6", \ + " 71.9, 93.6, 151.8, 284.5, 522.2, 1028.6", \ + " 72.3, 94.0, 152.0, 284.5, 522.2, 1028.6", \ + " 74.4, 95.6, 152.9, 284.8, 522.3, 1028.6", \ + " 77.8, 98.8, 155.1, 285.7, 522.4, 1028.6", \ + " 81.8, 102.5, 158.3, 287.6, 523.3, 1028.7", \ + " 87.6, 108.0, 162.4, 290.1, 524.6, 1029.3", \ + " 96.0, 116.3, 169.6, 294.4, 526.7, 1030.3", \ + " 107.8, 128.5, 181.3, 303.2, 531.6, 1032.2" ); }} +timing() { /* ring osc delay xnr2v0x05, path b to z 81.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 43.8 ; */ +/* intrinsic_fall : 69.0 ; */ +/* rise_resistance : 5.52 ; */ +/* fall_resistance : 6.28 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.9, 36.5, 58.1, 107.1, 198.4, 398.0", \ + " 36.2, 43.5, 64.8, 113.7, 204.9, 404.3", \ + " 41.9, 49.2, 69.9, 118.6, 209.6, 408.9", \ + " 47.6, 56.0, 76.7, 125.0, 215.7, 414.7", \ + " 55.4, 65.0, 88.2, 136.1, 226.0, 424.6", \ + " 64.0, 74.9, 100.9, 152.3, 240.3, 438.1", \ + " 74.2, 86.7, 115.8, 173.1, 262.0, 457.8", \ + " 85.8, 100.1, 133.2, 196.8, 293.9, 486.7", \ + " 99.3, 115.9, 153.8, 224.9, 332.8, 531.5", \ + " 114.9, 134.1, 178.1, 258.8, 379.5, 596.6" ); } +rise_transition(x05_65_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 81.9, 124.5, 229.0, 449.6, 839.3, 1672.5", \ + " 88.2, 129.2, 231.7, 450.2, 839.0, 1671.9", \ + " 95.8, 135.3, 236.3, 453.2, 839.7, 1671.2", \ + " 107.2, 144.9, 243.6, 458.5, 842.5, 1670.6", \ + " 129.4, 164.3, 258.9, 470.1, 850.0, 1672.7", \ + " 159.6, 194.9, 284.6, 490.1, 864.2, 1679.8", \ + " 191.7, 236.6, 327.1, 525.3, 890.5, 1695.8", \ + " 238.3, 284.8, 392.7, 583.5, 936.9, 1726.8", \ + " 305.0, 353.5, 471.6, 677.2, 1017.9, 1785.4", \ + " 400.1, 451.5, 581.5, 815.5, 1153.8, 1893.4" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 63.4, 89.3, 145.6, 244.1, 452.3", \ + " 59.1, 69.7, 96.1, 152.7, 251.4, 459.7", \ + " 63.9, 74.6, 101.1, 157.8, 256.7, 465.1", \ + " 69.9, 80.6, 107.2, 164.2, 263.2, 471.7", \ + " 77.4, 89.3, 116.9, 174.2, 273.6, 482.3", \ + " 85.1, 98.3, 128.8, 187.7, 287.4, 496.4", \ + " 93.9, 108.8, 142.8, 206.9, 307.7, 517.2", \ + " 103.5, 120.9, 159.7, 230.7, 337.8, 547.9", \ + " 114.1, 134.9, 180.4, 260.9, 378.5, 594.5", \ + " 125.3, 150.5, 205.2, 299.1, 430.8, 664.5" ); } +fall_transition(x05_65_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 80.0, 106.2, 175.8, 332.5, 611.0, 1202.3", \ + " 80.1, 106.1, 175.8, 332.4, 611.0, 1202.3", \ + " 81.5, 106.9, 175.8, 332.4, 610.9, 1202.3", \ + " 85.5, 110.2, 178.0, 333.0, 610.9, 1202.2", \ + " 94.6, 117.9, 184.0, 337.1, 612.6, 1202.2", \ + " 111.2, 132.2, 195.1, 345.6, 618.4, 1204.3", \ + " 129.3, 153.8, 216.5, 362.3, 631.3, 1211.9", \ + " 155.1, 181.1, 249.5, 393.0, 655.8, 1229.1", \ + " 194.6, 221.9, 293.8, 446.1, 700.7, 1263.2", \ + " 256.5, 284.5, 359.4, 519.2, 779.3, 1326.6" ); }} +timing() { /* ring osc delay xnr2v0x05, path b to z 95.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 78.5 ; */ +/* intrinsic_fall : 77.4 ; */ +/* rise_resistance : 6.45 ; */ +/* fall_resistance : 4.53 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.3, 73.5, 99.4, 157.1, 259.8, 478.5", \ + " 70.1, 80.4, 106.5, 164.4, 267.1, 485.8", \ + " 75.1, 85.4, 111.6, 169.6, 272.4, 491.1", \ + " 80.8, 91.1, 117.4, 175.5, 278.4, 497.1", \ + " 88.0, 98.3, 124.9, 183.1, 286.1, 504.8", \ + " 95.4, 105.9, 132.2, 190.4, 293.4, 512.1", \ + " 102.6, 113.4, 139.8, 197.8, 300.7, 519.4", \ + " 108.9, 120.0, 146.9, 204.9, 307.5, 526.1", \ + " 112.9, 124.7, 152.5, 210.9, 313.6, 531.8", \ + " 112.5, 125.2, 154.4, 213.9, 317.5, 535.7" ); } +rise_transition(x05_65_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 58.2, 79.9, 138.7, 271.4, 507.0, 1007.2", \ + " 58.3, 79.9, 138.7, 271.4, 507.0, 1007.2", \ + " 58.5, 80.0, 138.7, 271.4, 507.0, 1007.2", \ + " 59.4, 80.4, 138.7, 271.4, 507.0, 1007.2", \ + " 60.2, 81.5, 139.3, 271.4, 507.0, 1007.2", \ + " 63.1, 82.7, 139.0, 271.8, 507.0, 1007.2", \ + " 67.1, 86.0, 140.3, 271.2, 507.2, 1007.2", \ + " 72.7, 91.1, 143.5, 271.9, 506.6, 1007.2", \ + " 80.5, 98.7, 149.2, 275.2, 507.3, 1006.9", \ + " 91.1, 109.6, 158.5, 281.8, 512.0, 1006.9" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 64.5, 81.5, 121.4, 196.5, 361.0", \ + " 65.7, 72.3, 89.5, 129.4, 204.4, 368.7", \ + " 72.1, 78.7, 95.9, 135.7, 210.5, 374.6", \ + " 80.6, 87.3, 104.5, 144.2, 218.6, 382.4", \ + " 95.2, 101.8, 119.2, 158.4, 232.2, 395.3", \ + " 113.1, 120.6, 138.5, 177.4, 250.3, 412.1", \ + " 133.8, 142.3, 162.2, 203.2, 275.4, 435.5", \ + " 158.7, 168.0, 189.6, 233.4, 309.1, 468.1", \ + " 190.4, 200.7, 224.0, 269.9, 349.3, 514.4", \ + " 231.8, 243.1, 268.7, 317.1, 398.6, 572.8" ); } +fall_transition(x05_65_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 110.8, 129.7, 170.6, 285.0, 508.0, 996.1", \ + " 100.7, 119.0, 168.2, 284.4, 507.9, 996.1", \ + " 97.6, 115.7, 165.3, 284.1, 507.9, 996.1", \ + " 96.2, 114.3, 163.6, 283.4, 507.8, 996.0", \ + " 97.2, 115.6, 165.0, 283.7, 507.3, 995.9", \ + " 99.3, 119.4, 170.6, 288.4, 509.0, 995.1", \ + " 105.0, 125.2, 177.2, 297.9, 516.3, 996.7", \ + " 112.9, 133.9, 186.8, 307.9, 530.0, 1006.1", \ + " 124.2, 146.1, 200.1, 320.8, 544.8, 1026.2", \ + " 140.2, 163.1, 218.6, 339.6, 561.6, 1051.8" ); }} +} +} +cell(xnr2v0x1) { /* 2008-01-06:08h00 characteristic delay 16.6 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 982 ; /* xnr2v0x1 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v0x1 FO4 effort 1.70 logical effort 1.42 */ +direction : input ; +capacitance : 3.33 ; +rise_capacitance : 3.31 ; +fall_capacitance : 3.35 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v0x1 */ +} +pin(b) { /* xnr2v0x1 FO4 effort 1.97 logical effort 2.43 */ +direction : input ; +capacitance : 4.95 ; +rise_capacitance : 4.87 ; +fall_capacitance : 5.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 70 ; +max_fanout : 4 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v0x1 16.99 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_97_5x10) { +values( " 8.46, 8.47, 8.47, 8.47, 8.46", \ + " 8.37, 8.38, 8.40, 8.42, 8.42", \ + " 8.38, 8.40, 8.42, 8.44, 8.45", \ + " 8.47, 8.48, 8.50, 8.52, 8.53", \ + " 8.70, 8.70, 8.69, 8.70, 8.70", \ + " 9.16, 9.12, 9.07, 9.03, 9.01", \ + " 10.00, 9.92, 9.77, 9.64, 9.55", \ + " 11.43, 11.27, 10.99, 10.68, 10.46", \ + " 13.84, 13.58, 13.09, 12.49, 12.02", \ + " 17.79, 17.41, 16.63, 15.61, 14.71" ); }} +internal_power(a_z_p) { /* xnr2v0x1 20.73 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_97_5x10) { +values( " 10.30, 10.34, 10.39, 10.43, 10.43", \ + " 10.18, 10.22, 10.28, 10.33, 10.34", \ + " 10.18, 10.23, 10.29, 10.35, 10.37", \ + " 10.26, 10.30, 10.36, 10.42, 10.45", \ + " 10.51, 10.54, 10.59, 10.65, 10.68", \ + " 11.01, 11.02, 11.04, 11.07, 11.10", \ + " 11.93, 11.90, 11.86, 11.84, 11.84", \ + " 13.47, 13.40, 13.27, 13.16, 13.08", \ + " 16.05, 15.91, 15.66, 15.38, 15.17", \ + " 20.24, 20.02, 19.60, 19.07, 18.63" ); }} +internal_power(b_z_n) { /* xnr2v0x1 14.94 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_97_5x10) { +values( " 7.47, 7.53, 7.59, 7.62, 7.63", \ + " 7.23, 7.31, 7.42, 7.51, 7.54", \ + " 7.22, 7.30, 7.41, 7.51, 7.56", \ + " 7.33, 7.38, 7.47, 7.57, 7.63", \ + " 7.68, 7.68, 7.72, 7.79, 7.84", \ + " 8.36, 8.31, 8.26, 8.25, 8.26", \ + " 9.58, 9.46, 9.28, 9.13, 9.05", \ + " 11.62, 11.41, 11.07, 10.70, 10.44", \ + " 14.99, 14.67, 14.09, 13.40, 12.84", \ + " 20.45, 19.99, 19.10, 17.94, 16.92" ); }} +internal_power(b_z_p) { /* xnr2v0x1 18.89 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_97_5x10) { +values( " 9.49, 9.47, 9.40, 9.29, 9.19", \ + " 9.31, 9.31, 9.28, 9.20, 9.11", \ + " 9.34, 9.34, 9.31, 9.24, 9.16", \ + " 9.49, 9.48, 9.44, 9.37, 9.29", \ + " 9.90, 9.86, 9.80, 9.71, 9.60", \ + " 10.65, 10.58, 10.47, 10.32, 10.18", \ + " 11.96, 11.85, 11.66, 11.43, 11.21", \ + " 14.11, 13.94, 13.64, 13.27, 12.93", \ + " 17.65, 17.37, 16.90, 16.33, 15.80", \ + " 23.38, 22.98, 22.23, 21.31, 20.48" ); }} +timing() { /* ring osc delay xnr2v0x1, path a to z 95.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 65.3 ; */ +/* rise_resistance : 4.23 ; */ +/* fall_resistance : 3.33 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 63.6, 90.4, 148.2, 248.5, 459.4", \ + " 60.9, 71.4, 98.2, 156.0, 256.3, 467.2", \ + " 67.2, 77.6, 104.3, 162.1, 262.4, 473.3", \ + " 75.8, 86.1, 112.6, 170.3, 270.6, 481.4", \ + " 89.7, 100.8, 127.4, 184.8, 285.0, 495.8", \ + " 105.7, 118.4, 148.0, 205.7, 305.8, 516.6", \ + " 125.6, 139.9, 173.7, 236.8, 337.1, 547.8", \ + " 150.4, 166.5, 204.9, 276.9, 382.7, 593.9", \ + " 182.4, 200.8, 244.3, 326.3, 446.4, 662.7", \ + " 224.6, 245.7, 295.5, 389.0, 526.4, 764.2" ); } +rise_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 125.4, 156.1, 241.4, 439.1, 794.2, 1550.9", \ + " 127.9, 157.9, 242.4, 439.5, 794.3, 1550.9", \ + " 132.7, 162.0, 244.7, 440.2, 794.6, 1551.0", \ + " 140.6, 169.1, 250.1, 442.7, 795.2, 1551.1", \ + " 156.6, 184.0, 262.4, 450.8, 798.3, 1551.5", \ + " 181.3, 207.4, 283.0, 466.7, 808.2, 1553.5", \ + " 217.9, 244.1, 316.5, 494.9, 829.7, 1563.9", \ + " 263.8, 292.7, 367.5, 540.2, 867.7, 1590.0", \ + " 326.2, 357.4, 438.4, 611.4, 931.1, 1640.7", \ + " 414.0, 447.7, 534.6, 719.8, 1033.1, 1729.4" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 55.3, 76.2, 121.1, 200.0, 367.4", \ + " 53.9, 62.3, 83.3, 128.2, 207.2, 374.6", \ + " 59.1, 67.6, 88.7, 133.6, 212.6, 380.0", \ + " 65.6, 74.5, 95.8, 140.9, 219.9, 387.4", \ + " 74.2, 84.4, 107.5, 153.3, 232.6, 400.2", \ + " 82.4, 94.4, 121.1, 170.1, 250.2, 418.3", \ + " 90.4, 104.3, 135.6, 191.6, 275.2, 444.7", \ + " 97.1, 113.3, 149.9, 215.2, 308.6, 481.8", \ + " 101.5, 120.3, 163.3, 240.0, 347.6, 534.2", \ + " 101.2, 123.0, 173.5, 264.3, 390.4, 602.9" ); } +fall_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.9, 101.4, 157.6, 287.3, 522.0, 1025.5", \ + " 84.1, 103.9, 159.2, 288.1, 522.3, 1025.6", \ + " 89.5, 108.6, 162.3, 289.5, 522.8, 1025.6", \ + " 98.4, 116.7, 168.8, 293.2, 523.9, 1025.8", \ + " 116.0, 132.8, 182.6, 303.4, 529.1, 1026.5", \ + " 141.0, 157.9, 204.7, 321.6, 541.9, 1030.5", \ + " 174.1, 192.0, 240.2, 352.0, 566.7, 1045.0", \ + " 218.4, 237.6, 288.5, 400.4, 608.2, 1075.7", \ + " 280.1, 300.9, 355.1, 473.4, 676.3, 1131.7", \ + " 367.1, 390.3, 449.2, 574.8, 786.1, 1227.2" ); }} +timing() { /* ring osc delay xnr2v0x1, path a to z 113.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 88.5 ; */ +/* intrinsic_fall : 102.7 ; */ +/* rise_resistance : 4.20 ; */ +/* fall_resistance : 4.15 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.4, 82.9, 108.9, 165.6, 265.3, 476.6", \ + " 79.4, 89.9, 116.0, 172.7, 272.4, 483.8", \ + " 84.4, 94.9, 121.0, 177.8, 277.6, 489.0", \ + " 90.0, 100.4, 126.6, 183.5, 283.4, 494.8", \ + " 97.0, 107.5, 133.8, 190.7, 290.7, 502.2", \ + " 103.6, 114.3, 140.6, 197.8, 297.8, 509.4", \ + " 110.0, 120.9, 147.5, 204.6, 304.8, 516.4", \ + " 115.4, 126.6, 153.6, 210.8, 311.1, 522.8", \ + " 118.4, 130.3, 158.0, 215.7, 316.0, 527.9", \ + " 116.9, 129.6, 158.6, 217.2, 318.0, 530.1" ); } +rise_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.9, 96.1, 162.1, 312.5, 581.4, 1153.7", \ + " 72.0, 96.2, 162.1, 312.5, 581.4, 1153.7", \ + " 72.2, 96.3, 162.2, 312.6, 581.4, 1153.7", \ + " 72.9, 96.8, 162.5, 312.6, 581.4, 1153.7", \ + " 74.6, 98.2, 163.3, 313.0, 581.5, 1153.7", \ + " 76.9, 100.3, 164.6, 313.7, 581.8, 1153.7", \ + " 80.4, 103.1, 166.8, 314.8, 582.3, 1153.9", \ + " 85.7, 107.8, 170.2, 316.8, 583.1, 1154.2", \ + " 93.7, 115.2, 176.1, 320.5, 585.2, 1155.0", \ + " 105.4, 126.3, 185.7, 327.7, 589.6, 1157.2" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.3, 92.6, 118.6, 174.8, 273.2, 481.4", \ + " 90.0, 100.3, 126.3, 182.5, 281.0, 489.2", \ + " 96.1, 106.4, 132.4, 188.7, 287.2, 495.4", \ + " 104.1, 114.4, 140.4, 196.7, 295.2, 503.5", \ + " 116.9, 127.2, 153.1, 209.3, 307.9, 516.1", \ + " 131.9, 142.4, 168.6, 224.7, 323.3, 531.5", \ + " 151.0, 161.7, 188.0, 244.5, 342.9, 551.0", \ + " 175.3, 186.2, 212.9, 269.3, 367.9, 576.0", \ + " 207.1, 218.6, 245.9, 302.6, 401.1, 609.3", \ + " 249.2, 261.5, 290.2, 348.1, 446.8, 654.9" ); } +fall_transition(x1_97_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 68.4, 90.1, 148.4, 281.1, 518.9, 1025.3", \ + " 68.5, 90.2, 148.4, 281.1, 518.9, 1025.3", \ + " 68.6, 90.3, 148.5, 281.1, 518.9, 1025.3", \ + " 69.1, 90.7, 148.7, 281.2, 518.9, 1025.3", \ + " 71.2, 92.4, 149.6, 281.4, 518.9, 1025.3", \ + " 74.6, 95.5, 151.9, 282.4, 519.1, 1025.3", \ + " 78.5, 99.2, 155.0, 284.3, 520.0, 1025.3", \ + " 84.2, 104.6, 159.0, 286.8, 521.3, 1026.0", \ + " 92.4, 112.8, 166.1, 291.0, 523.4, 1027.0", \ + " 104.0, 124.7, 177.6, 299.7, 528.3, 1029.0" ); }} +timing() { /* ring osc delay xnr2v0x1, path b to z 76.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 41.6 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 3.69 ; */ +/* fall_resistance : 4.19 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.1, 34.7, 56.1, 105.1, 196.7, 396.6", \ + " 34.5, 41.7, 62.7, 111.6, 203.1, 402.9", \ + " 39.9, 47.4, 67.8, 116.5, 207.8, 407.4", \ + " 45.2, 53.8, 74.6, 122.8, 213.8, 413.2", \ + " 52.6, 62.4, 85.9, 133.8, 223.9, 422.8", \ + " 60.8, 72.0, 98.2, 150.0, 238.1, 436.2", \ + " 70.5, 83.2, 112.7, 170.4, 259.6, 455.7", \ + " 81.5, 96.1, 129.7, 193.7, 291.1, 484.4", \ + " 94.2, 111.2, 149.7, 221.4, 329.5, 529.0", \ + " 108.9, 128.7, 173.4, 254.8, 375.9, 593.6" ); } +rise_transition(x1_97_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 76.7, 119.7, 224.2, 444.7, 834.8, 1668.5", \ + " 83.5, 124.6, 227.0, 445.4, 834.4, 1667.8", \ + " 91.2, 130.8, 231.7, 448.4, 835.1, 1667.0", \ + " 102.9, 140.6, 239.1, 453.7, 837.9, 1666.3", \ + " 125.7, 160.2, 254.5, 465.3, 845.3, 1668.4", \ + " 153.7, 191.2, 280.4, 485.3, 859.4, 1675.3", \ + " 185.3, 231.1, 322.9, 520.6, 885.6, 1691.1", \ + " 231.2, 278.6, 387.9, 578.9, 932.0, 1722.0", \ + " 297.0, 346.4, 466.1, 672.7, 1013.0, 1780.4", \ + " 391.0, 443.2, 575.0, 810.4, 1149.0, 1888.4" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.7, 60.1, 86.0, 142.3, 240.8, 449.0", \ + " 55.8, 66.4, 92.8, 149.4, 248.1, 456.4", \ + " 60.5, 71.2, 97.7, 154.5, 253.4, 461.8", \ + " 66.3, 77.0, 103.7, 160.7, 259.8, 468.3", \ + " 73.2, 85.3, 113.2, 170.7, 270.0, 478.8", \ + " 80.5, 94.0, 124.8, 184.0, 283.8, 492.8", \ + " 88.8, 104.1, 138.5, 203.1, 304.1, 513.6", \ + " 97.9, 115.8, 155.1, 226.7, 334.3, 544.4", \ + " 107.8, 129.2, 175.6, 256.8, 374.8, 591.1", \ + " 118.2, 144.3, 200.0, 294.7, 426.9, 661.1" ); } +fall_transition(x1_97_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 75.0, 101.3, 171.1, 328.0, 606.8, 1198.2", \ + " 75.0, 101.2, 171.0, 327.9, 606.8, 1198.2", \ + " 76.9, 102.3, 171.2, 327.9, 606.7, 1198.2", \ + " 81.2, 105.9, 173.7, 328.7, 606.6, 1198.1", \ + " 90.8, 113.8, 179.9, 333.0, 608.6, 1198.0", \ + " 106.9, 128.9, 191.3, 341.8, 614.6, 1200.4", \ + " 124.7, 149.7, 213.3, 359.0, 627.8, 1208.2", \ + " 150.6, 176.9, 246.0, 390.1, 652.6, 1225.6", \ + " 190.3, 217.8, 290.3, 443.4, 698.0, 1260.1", \ + " 252.7, 280.7, 356.1, 516.5, 777.0, 1323.7" ); }} +timing() { /* ring osc delay xnr2v0x1, path b to z 90.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.7 ; */ +/* intrinsic_fall : 75.5 ; */ +/* rise_resistance : 4.15 ; */ +/* fall_resistance : 3.03 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.7, 69.6, 94.6, 150.3, 249.4, 460.3", \ + " 66.5, 76.5, 101.7, 157.6, 256.7, 467.6", \ + " 71.4, 81.4, 106.8, 162.7, 261.9, 472.8", \ + " 76.8, 86.8, 112.3, 168.4, 267.7, 478.6", \ + " 83.7, 93.8, 119.4, 175.6, 274.9, 485.8", \ + " 90.7, 100.9, 126.3, 182.5, 281.9, 492.8", \ + " 97.5, 108.0, 133.6, 189.5, 288.7, 499.6", \ + " 103.2, 114.1, 140.2, 196.1, 295.1, 505.8", \ + " 106.6, 118.2, 145.1, 201.5, 300.6, 511.0", \ + " 105.4, 117.9, 146.3, 203.8, 303.8, 514.4" ); } +rise_transition(x1_97_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 53.7, 74.6, 131.4, 259.4, 486.6, 969.0", \ + " 53.9, 74.7, 131.4, 259.5, 486.6, 969.0", \ + " 54.1, 74.8, 131.4, 259.5, 486.6, 969.0", \ + " 55.3, 75.2, 131.4, 259.5, 486.6, 969.0", \ + " 56.1, 76.2, 132.1, 259.5, 486.6, 969.0", \ + " 59.1, 77.8, 131.4, 259.7, 486.6, 969.0", \ + " 63.2, 81.2, 133.3, 259.1, 486.8, 969.0", \ + " 68.9, 86.5, 136.6, 260.2, 486.2, 969.0", \ + " 76.7, 94.2, 142.5, 263.7, 487.3, 968.6", \ + " 87.4, 105.3, 152.0, 270.4, 492.3, 969.0" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.2, 62.7, 79.8, 119.8, 195.0, 359.7", \ + " 63.9, 70.5, 87.7, 127.7, 202.9, 367.5", \ + " 70.2, 76.9, 94.1, 134.0, 209.0, 373.3", \ + " 78.7, 85.5, 102.7, 142.4, 217.1, 381.0", \ + " 93.2, 99.8, 117.2, 156.5, 230.5, 393.8", \ + " 110.6, 118.2, 136.3, 175.2, 248.3, 410.4", \ + " 130.8, 139.3, 159.3, 200.6, 273.1, 433.4", \ + " 155.2, 164.6, 186.2, 230.2, 306.4, 465.7", \ + " 186.5, 196.8, 220.1, 266.1, 345.9, 511.7", \ + " 227.3, 238.7, 264.3, 312.8, 394.5, 569.4" ); } +fall_transition(x1_97_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 106.7, 125.5, 165.4, 281.1, 505.1, 993.7", \ + " 95.8, 114.2, 163.3, 280.6, 505.0, 993.7", \ + " 92.5, 110.7, 160.7, 280.4, 505.0, 993.7", \ + " 90.9, 109.2, 159.0, 279.8, 504.9, 993.6", \ + " 91.9, 110.6, 160.6, 280.2, 504.4, 993.5", \ + " 94.2, 114.2, 166.1, 284.9, 506.1, 992.6", \ + " 99.8, 120.1, 172.3, 294.1, 513.3, 994.3", \ + " 107.6, 128.8, 182.0, 303.6, 526.8, 1003.7", \ + " 118.8, 140.8, 195.0, 316.2, 541.0, 1023.9", \ + " 134.6, 157.5, 213.2, 334.5, 557.4, 1049.0" ); }} +} +} +cell(xnr2v0x2) { /* 2008-01-06:08h00 characteristic delay 15.8 ps */ +area : 13 ; /* tracks */ +cell_leakage_power : 1964 ; /* xnr2v0x2 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v0x2 FO4 effort 1.56 logical effort 1.41 */ +direction : input ; +capacitance : 6.93 ; +rise_capacitance : 6.91 ; +fall_capacitance : 6.96 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v0x2 */ +} +pin(b) { /* xnr2v0x2 FO4 effort 1.93 logical effort 2.26 */ +direction : input ; +capacitance : 9.84 ; +rise_capacitance : 9.65 ; +fall_capacitance : 10.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 150 ; +max_fanout : 6 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v0x2 29.94 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_195_5x10) { +values( " 14.86, 14.88, 14.90, 14.90, 14.88", \ + " 14.65, 14.69, 14.75, 14.79, 14.79", \ + " 14.70, 14.74, 14.80, 14.86, 14.87", \ + " 14.92, 14.93, 14.97, 15.02, 15.04", \ + " 15.49, 15.45, 15.42, 15.43, 15.43", \ + " 16.57, 16.45, 16.28, 16.16, 16.10", \ + " 18.50, 18.25, 17.87, 17.50, 17.27", \ + " 21.72, 21.30, 20.58, 19.80, 19.24", \ + " 27.07, 26.42, 25.22, 23.78, 22.65", \ + " 35.74, 34.82, 32.99, 30.58, 28.50" ); }} +internal_power(a_z_p) { /* xnr2v0x2 35.95 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_195_5x10) { +values( " 17.67, 17.83, 18.04, 18.19, 18.25", \ + " 17.39, 17.55, 17.79, 17.98, 18.06", \ + " 17.43, 17.57, 17.81, 18.02, 18.12", \ + " 17.65, 17.76, 17.98, 18.19, 18.31", \ + " 18.27, 18.33, 18.49, 18.68, 18.81", \ + " 19.44, 19.43, 19.49, 19.61, 19.71", \ + " 21.54, 21.43, 21.33, 21.30, 21.32", \ + " 25.02, 24.78, 24.45, 24.17, 24.02", \ + " 30.73, 30.32, 29.67, 29.00, 28.53", \ + " 39.92, 39.31, 38.24, 36.98, 35.97" ); }} +internal_power(b_z_n) { /* xnr2v0x2 32.57 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_195_5x10) { +values( " 16.29, 16.40, 16.51, 16.57, 16.58", \ + " 15.78, 15.95, 16.18, 16.35, 16.43", \ + " 15.75, 15.91, 16.16, 16.37, 16.47", \ + " 15.95, 16.07, 16.29, 16.51, 16.64", \ + " 16.64, 16.68, 16.80, 16.97, 17.10", \ + " 18.02, 17.96, 17.91, 17.94, 18.00", \ + " 20.52, 20.34, 20.06, 19.82, 19.69", \ + " 24.72, 24.37, 23.77, 23.11, 22.64", \ + " 31.72, 31.14, 30.07, 28.78, 27.71", \ + " 43.12, 42.25, 40.55, 38.32, 36.31" ); }} +internal_power(b_z_p) { /* xnr2v0x2 41.23 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_195_5x10) { +values( " 20.59, 20.54, 20.36, 20.12, 19.93", \ + " 20.28, 20.28, 20.19, 20.01, 19.83", \ + " 20.37, 20.37, 20.31, 20.15, 19.97", \ + " 20.69, 20.68, 20.61, 20.46, 20.28", \ + " 21.55, 21.50, 21.39, 21.20, 20.99", \ + " 23.11, 23.00, 22.81, 22.53, 22.25", \ + " 25.82, 25.65, 25.32, 24.88, 24.46", \ + " 30.27, 29.99, 29.47, 28.78, 28.11", \ + " 37.59, 37.12, 36.28, 35.21, 34.16", \ + " 49.53, 48.82, 47.42, 45.67, 44.03" ); }} +timing() { /* ring osc delay xnr2v0x2, path a to z 80.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.4 ; */ +/* intrinsic_fall : 57.6 ; */ +/* rise_resistance : 2.00 ; */ +/* fall_resistance : 1.60 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.4, 51.3, 76.4, 130.8, 225.5, 425.0", \ + " 49.3, 59.1, 84.2, 138.6, 233.4, 432.8", \ + " 55.6, 65.3, 90.3, 144.7, 239.5, 438.9", \ + " 63.8, 73.7, 98.6, 152.9, 247.6, 447.1", \ + " 75.4, 86.9, 113.2, 167.4, 262.1, 461.5", \ + " 89.1, 102.1, 132.1, 188.2, 282.9, 482.3", \ + " 106.5, 121.2, 155.3, 218.2, 314.1, 513.6", \ + " 128.4, 145.1, 183.7, 255.4, 359.1, 559.6", \ + " 157.1, 176.1, 220.1, 301.6, 419.8, 628.0", \ + " 195.0, 217.0, 267.7, 360.8, 495.7, 728.0" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.4, 129.1, 211.1, 399.7, 736.8, 1454.2", \ + " 103.4, 131.9, 212.3, 400.1, 737.0, 1454.2", \ + " 109.2, 136.9, 215.5, 400.9, 737.2, 1454.3", \ + " 118.1, 144.9, 221.6, 404.1, 737.8, 1454.4", \ + " 135.2, 160.7, 234.8, 413.1, 741.7, 1454.8", \ + " 160.7, 184.8, 255.9, 429.8, 752.6, 1457.3", \ + " 193.7, 220.5, 289.7, 458.5, 775.1, 1469.1", \ + " 236.6, 265.5, 340.1, 503.9, 813.9, 1496.7", \ + " 296.3, 327.2, 407.0, 575.2, 877.6, 1548.8", \ + " 381.0, 414.6, 499.9, 680.3, 979.7, 1638.5" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.5, 47.7, 67.7, 110.6, 186.0, 345.1", \ + " 46.4, 54.6, 74.8, 117.7, 193.1, 352.2", \ + " 51.4, 59.8, 80.1, 123.1, 198.5, 357.7", \ + " 57.2, 66.2, 87.0, 130.3, 205.7, 365.0", \ + " 64.2, 74.6, 97.9, 142.3, 218.2, 377.7", \ + " 70.8, 82.9, 109.7, 158.3, 235.3, 395.5", \ + " 76.8, 90.8, 122.2, 177.9, 259.5, 421.4", \ + " 81.2, 97.5, 134.3, 199.1, 291.0, 457.7", \ + " 82.8, 101.8, 145.0, 221.2, 327.1, 508.9", \ + " 78.9, 101.2, 152.0, 242.4, 366.6, 574.0" ); } +fall_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 69.7, 90.1, 144.8, 269.4, 493.6, 972.5", \ + " 73.4, 92.9, 146.3, 270.0, 493.7, 972.4", \ + " 79.2, 97.9, 149.8, 271.1, 493.9, 972.4", \ + " 88.4, 106.2, 156.5, 275.0, 494.7, 972.3", \ + " 105.9, 122.3, 170.3, 285.4, 499.8, 972.5", \ + " 128.4, 145.9, 191.9, 303.4, 512.6, 976.3", \ + " 159.0, 177.5, 226.0, 333.5, 537.3, 990.7", \ + " 200.9, 220.5, 271.8, 381.5, 578.6, 1021.5", \ + " 259.8, 281.1, 335.7, 451.9, 646.7, 1077.7", \ + " 343.2, 367.3, 426.9, 550.3, 754.9, 1173.7" ); }} +timing() { /* ring osc delay xnr2v0x2, path a to z 97.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.4 ; */ +/* intrinsic_fall : 90.1 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.97 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.9, 69.3, 95.6, 152.5, 252.3, 463.7", \ + " 65.8, 76.2, 102.4, 159.4, 259.3, 470.8", \ + " 70.7, 81.0, 107.2, 164.3, 264.3, 475.8", \ + " 76.2, 86.4, 112.5, 169.6, 269.6, 481.2", \ + " 82.9, 93.2, 119.3, 176.2, 276.3, 487.9", \ + " 89.4, 99.8, 125.7, 182.7, 282.7, 494.3", \ + " 95.8, 106.3, 132.2, 189.0, 289.0, 500.6", \ + " 101.0, 112.0, 138.2, 194.7, 294.7, 506.2", \ + " 104.0, 115.6, 142.6, 199.4, 299.2, 510.8", \ + " 102.5, 114.9, 143.3, 200.9, 301.4, 513.0" ); } +rise_transition(x2_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.6, 93.0, 159.4, 310.4, 579.6, 1152.0", \ + " 68.7, 93.1, 159.4, 310.4, 579.6, 1152.0", \ + " 69.2, 93.4, 159.5, 310.4, 579.6, 1152.0", \ + " 70.8, 94.5, 160.0, 310.4, 579.6, 1152.0", \ + " 73.9, 96.9, 161.4, 311.0, 579.7, 1152.0", \ + " 77.5, 100.0, 163.5, 311.9, 580.2, 1152.0", \ + " 82.8, 104.7, 166.7, 313.5, 580.8, 1152.4", \ + " 90.4, 111.7, 172.1, 316.7, 582.2, 1153.0", \ + " 100.8, 121.9, 180.9, 322.6, 585.5, 1154.5", \ + " 115.0, 136.3, 194.2, 333.6, 592.9, 1158.7" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.0, 79.4, 104.6, 157.9, 251.1, 448.1", \ + " 76.7, 87.1, 112.2, 165.6, 258.9, 455.9", \ + " 82.8, 93.1, 118.3, 171.8, 265.1, 462.2", \ + " 90.8, 101.0, 126.1, 179.7, 273.1, 470.2", \ + " 103.1, 113.5, 138.6, 192.2, 285.6, 482.8", \ + " 117.5, 128.3, 153.8, 207.4, 300.8, 498.1", \ + " 135.9, 147.0, 172.8, 226.8, 320.2, 517.4", \ + " 159.2, 170.8, 197.3, 251.2, 345.0, 542.1", \ + " 189.6, 201.9, 229.6, 284.2, 377.7, 575.2", \ + " 229.9, 243.2, 272.7, 329.0, 423.0, 620.4" ); } +fall_transition(x2_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 54.4, 75.3, 130.7, 256.7, 482.1, 961.6", \ + " 54.5, 75.4, 130.8, 256.7, 482.1, 961.6", \ + " 54.7, 75.6, 130.8, 256.7, 482.1, 961.6", \ + " 55.4, 76.0, 131.1, 256.7, 482.1, 961.6", \ + " 58.2, 78.2, 132.2, 257.0, 482.1, 961.6", \ + " 61.5, 81.6, 134.6, 258.1, 482.2, 961.6", \ + " 65.9, 85.6, 137.9, 260.0, 483.2, 961.6", \ + " 71.7, 91.4, 142.5, 262.7, 484.4, 962.4", \ + " 79.9, 99.8, 150.1, 267.3, 486.7, 963.4", \ + " 91.4, 111.6, 162.0, 276.4, 491.8, 965.5" ); }} +timing() { /* ring osc delay xnr2v0x2, path b to z 75.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.7 ; */ +/* intrinsic_fall : 67.5 ; */ +/* rise_resistance : 1.71 ; */ +/* fall_resistance : 1.97 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 36.4, 57.1, 102.6, 187.5, 376.6", \ + " 36.6, 43.7, 64.1, 109.5, 194.2, 383.0", \ + " 42.6, 49.6, 69.4, 114.6, 199.1, 387.7", \ + " 48.5, 56.6, 76.6, 121.4, 205.5, 393.6", \ + " 56.6, 65.9, 88.3, 133.3, 216.3, 403.6", \ + " 65.7, 76.1, 101.0, 150.2, 231.5, 417.4", \ + " 76.3, 88.2, 116.1, 171.0, 254.4, 437.8", \ + " 88.4, 102.0, 133.6, 194.7, 286.9, 467.5", \ + " 102.5, 118.2, 154.4, 222.5, 325.6, 513.6", \ + " 118.9, 137.1, 178.9, 256.1, 371.9, 578.3" ); } +rise_transition(x2_195_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 73.1, 110.3, 206.0, 413.2, 781.4, 1570.7", \ + " 80.4, 115.9, 209.4, 414.3, 781.4, 1570.2", \ + " 88.8, 123.1, 214.6, 417.6, 782.4, 1569.8", \ + " 101.2, 133.9, 223.1, 423.5, 785.7, 1569.5", \ + " 124.8, 154.9, 240.1, 436.3, 794.0, 1572.4", \ + " 154.8, 187.3, 267.6, 457.9, 809.4, 1580.3", \ + " 188.4, 228.4, 311.9, 495.0, 837.4, 1597.6", \ + " 236.0, 278.3, 377.1, 555.1, 885.9, 1630.3", \ + " 303.0, 348.5, 456.9, 651.1, 969.3, 1691.3", \ + " 398.1, 447.3, 567.8, 788.0, 1107.8, 1802.8" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.3, 62.0, 86.0, 138.6, 231.3, 428.0", \ + " 58.2, 68.2, 92.7, 145.7, 238.6, 435.4", \ + " 62.7, 72.7, 97.5, 150.6, 243.7, 440.6", \ + " 68.3, 78.5, 103.4, 156.7, 249.9, 446.9", \ + " 75.4, 86.9, 112.9, 166.6, 260.0, 457.1", \ + " 83.0, 95.8, 124.7, 180.2, 273.8, 471.2", \ + " 91.5, 106.2, 138.9, 199.6, 294.6, 492.2", \ + " 101.0, 118.2, 155.8, 223.6, 325.3, 523.4", \ + " 111.4, 131.9, 176.2, 253.6, 365.8, 570.8", \ + " 122.4, 147.1, 200.3, 291.0, 417.4, 640.6" ); } +fall_transition(x2_195_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 66.6, 90.6, 155.3, 302.2, 564.8, 1124.1", \ + " 67.2, 91.0, 155.5, 302.2, 564.8, 1124.1", \ + " 68.4, 91.5, 155.8, 302.4, 564.8, 1124.1", \ + " 72.8, 95.1, 157.8, 303.0, 565.0, 1124.2", \ + " 82.8, 103.5, 164.2, 307.2, 566.8, 1124.3", \ + " 99.4, 119.5, 176.2, 316.2, 572.9, 1126.7", \ + " 118.4, 140.9, 199.3, 333.8, 586.3, 1134.8", \ + " 145.0, 168.8, 231.9, 365.5, 611.5, 1152.7", \ + " 184.9, 209.8, 276.0, 418.2, 657.3, 1187.7", \ + " 246.2, 271.8, 340.7, 489.7, 736.6, 1252.3" ); }} +timing() { /* ring osc delay xnr2v0x2, path b to z 93.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.5 ; */ +/* intrinsic_fall : 75.9 ; */ +/* rise_resistance : 2.07 ; */ +/* fall_resistance : 1.44 ; */ +cell_rise(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.7, 77.6, 102.4, 157.8, 256.7, 467.6", \ + " 74.6, 84.6, 109.7, 165.3, 264.3, 475.1", \ + " 79.3, 89.4, 114.8, 170.5, 269.5, 480.3", \ + " 84.3, 94.5, 120.1, 176.1, 275.2, 486.0", \ + " 90.5, 100.7, 126.7, 182.9, 282.1, 493.0", \ + " 96.8, 107.2, 133.0, 189.4, 288.8, 499.7", \ + " 102.6, 113.3, 139.4, 195.8, 295.2, 506.2", \ + " 107.2, 118.3, 145.0, 201.6, 300.9, 512.0", \ + " 109.3, 121.0, 148.5, 205.8, 305.5, 516.2", \ + " 106.5, 119.1, 147.9, 206.2, 306.7, 517.9" ); } +rise_transition(x2_195_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 53.6, 74.2, 130.3, 258.0, 485.2, 967.6", \ + " 53.7, 74.2, 130.3, 258.0, 485.2, 967.6", \ + " 53.8, 74.3, 130.3, 258.0, 485.2, 967.6", \ + " 54.4, 74.6, 130.4, 258.0, 485.2, 967.6", \ + " 54.6, 75.3, 130.8, 258.0, 485.2, 967.6", \ + " 56.6, 75.7, 130.1, 258.4, 485.2, 967.6", \ + " 59.6, 78.2, 131.1, 257.2, 485.3, 967.6", \ + " 63.9, 81.9, 133.4, 257.7, 484.2, 967.6", \ + " 70.0, 87.6, 137.5, 259.9, 484.5, 966.8", \ + " 78.5, 95.9, 144.0, 264.1, 487.4, 966.2" ); } +cell_fall(x2_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 61.9, 77.9, 116.0, 187.6, 343.9", \ + " 64.1, 70.1, 86.2, 124.3, 195.8, 351.9", \ + " 70.6, 76.7, 92.9, 130.8, 202.0, 357.8", \ + " 79.3, 85.4, 101.6, 139.3, 210.1, 365.5", \ + " 93.6, 99.7, 115.9, 153.3, 223.4, 377.9", \ + " 111.0, 117.9, 134.7, 171.6, 240.8, 394.1", \ + " 131.2, 138.8, 157.3, 196.4, 265.2, 416.8", \ + " 155.6, 163.9, 183.6, 225.0, 297.7, 448.6", \ + " 186.9, 195.9, 216.9, 260.0, 335.8, 493.9", \ + " 227.8, 237.7, 260.6, 305.6, 383.1, 549.2" ); } +fall_transition(x2_195_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 104.6, 122.3, 164.7, 271.7, 482.0, 943.7", \ + " 97.9, 114.9, 162.0, 271.2, 481.9, 943.7", \ + " 96.5, 113.2, 159.3, 271.1, 482.0, 943.7", \ + " 96.3, 112.8, 158.5, 270.5, 482.2, 943.8", \ + " 97.7, 114.7, 160.7, 271.6, 482.1, 943.8", \ + " 99.8, 118.0, 166.2, 276.9, 484.7, 943.5", \ + " 105.3, 123.9, 172.3, 286.4, 492.8, 946.5", \ + " 113.2, 132.4, 181.9, 295.8, 506.8, 957.5", \ + " 124.5, 144.5, 194.8, 308.7, 520.7, 978.8", \ + " 140.4, 161.3, 212.9, 327.3, 537.7, 1003.0" ); }} +} +} +cell(xnr2v0x3) { /* 2008-01-06:08h00 characteristic delay 15.5 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 2911 ; /* xnr2v0x3 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v0x3 FO4 effort 1.56 logical effort 1.37 */ +direction : input ; +capacitance : 9.95 ; +rise_capacitance : 9.91 ; +fall_capacitance : 9.98 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v0x3 */ +} +pin(b) { /* xnr2v0x3 FO4 effort 1.90 logical effort 2.24 */ +direction : input ; +capacitance : 14.37 ; +rise_capacitance : 14.07 ; +fall_capacitance : 14.67 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 221 ; +max_fanout : 8 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v0x3 46.00 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 22.83, 22.85, 22.88, 22.88, 22.85", \ + " 22.53, 22.58, 22.67, 22.72, 22.72", \ + " 22.62, 22.66, 22.75, 22.82, 22.84", \ + " 22.92, 22.94, 23.00, 23.07, 23.09", \ + " 23.75, 23.70, 23.66, 23.66, 23.66", \ + " 25.31, 25.15, 24.92, 24.74, 24.65", \ + " 28.12, 27.77, 27.22, 26.70, 26.36", \ + " 32.82, 32.22, 31.18, 30.06, 29.25", \ + " 40.63, 39.69, 37.96, 35.89, 34.24", \ + " 53.32, 51.99, 49.34, 45.84, 42.81" ); }} +internal_power(a_z_p) { /* xnr2v0x3 54.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 26.82, 27.04, 27.33, 27.55, 27.63", \ + " 26.42, 26.64, 26.98, 27.24, 27.36", \ + " 26.48, 26.68, 27.01, 27.30, 27.45", \ + " 26.79, 26.95, 27.26, 27.56, 27.73", \ + " 27.69, 27.78, 28.01, 28.28, 28.46", \ + " 29.41, 29.40, 29.47, 29.65, 29.79", \ + " 32.47, 32.32, 32.17, 32.13, 32.16", \ + " 37.56, 37.22, 36.74, 36.34, 36.12", \ + " 45.92, 45.34, 44.40, 43.43, 42.74", \ + " 59.42, 58.53, 56.98, 55.15, 53.68" ); }} +internal_power(b_z_n) { /* xnr2v0x3 46.67 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 23.29, 23.45, 23.61, 23.70, 23.72", \ + " 22.56, 22.81, 23.14, 23.40, 23.51", \ + " 22.55, 22.77, 23.12, 23.43, 23.59", \ + " 22.86, 23.03, 23.34, 23.66, 23.85", \ + " 23.92, 23.97, 24.12, 24.37, 24.55", \ + " 25.99, 25.89, 25.80, 25.83, 25.91", \ + " 29.74, 29.45, 29.01, 28.63, 28.43", \ + " 36.01, 35.47, 34.54, 33.53, 32.81", \ + " 46.40, 45.50, 43.88, 41.92, 40.31", \ + " 63.27, 61.95, 59.38, 56.01, 53.00" ); }} +internal_power(b_z_p) { /* xnr2v0x3 60.38 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 30.09, 30.02, 29.78, 29.45, 29.18", \ + " 29.64, 29.65, 29.54, 29.29, 29.05", \ + " 29.79, 29.80, 29.72, 29.51, 29.27", \ + " 30.28, 30.27, 30.19, 29.98, 29.73", \ + " 31.57, 31.50, 31.35, 31.10, 30.80", \ + " 33.90, 33.75, 33.47, 33.09, 32.68", \ + " 37.94, 37.69, 37.21, 36.58, 35.97", \ + " 44.55, 44.13, 43.37, 42.36, 41.38", \ + " 55.40, 54.71, 53.45, 51.87, 50.32", \ + " 73.06, 72.00, 69.92, 67.31, 64.89" ); }} +timing() { /* ring osc delay xnr2v0x3, path a to z 82.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 63.9 ; */ +/* intrinsic_fall : 59.1 ; */ +/* rise_resistance : 1.35 ; */ +/* fall_resistance : 1.08 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 53.0, 78.6, 133.9, 230.3, 433.3", \ + " 50.9, 60.8, 86.4, 141.8, 238.2, 441.2", \ + " 57.2, 67.0, 92.5, 147.8, 244.3, 447.3", \ + " 65.5, 75.5, 100.8, 156.0, 252.5, 455.5", \ + " 77.5, 89.0, 115.4, 170.6, 266.9, 469.9", \ + " 91.5, 104.5, 134.6, 191.3, 287.7, 490.6", \ + " 109.2, 123.9, 158.2, 221.6, 318.9, 521.9", \ + " 131.6, 148.2, 187.1, 259.2, 364.0, 568.0", \ + " 160.7, 179.7, 223.9, 306.0, 425.4, 636.5", \ + " 199.3, 221.3, 272.1, 365.9, 502.1, 736.9" ); } +rise_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.6, 134.8, 218.3, 410.1, 753.3, 1483.4", \ + " 108.3, 137.5, 219.4, 410.5, 753.4, 1483.4", \ + " 113.9, 142.3, 222.4, 411.3, 753.6, 1483.5", \ + " 122.7, 150.1, 228.4, 414.3, 754.2, 1483.6", \ + " 139.5, 165.7, 241.4, 423.1, 757.9, 1484.0", \ + " 164.9, 189.6, 262.4, 439.7, 768.6, 1486.2", \ + " 198.6, 225.6, 295.9, 468.2, 790.8, 1497.7", \ + " 242.0, 271.1, 346.5, 513.4, 829.3, 1524.8", \ + " 302.1, 333.3, 414.1, 584.4, 892.7, 1576.6", \ + " 387.4, 421.3, 507.6, 690.3, 994.6, 1665.8" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.1, 49.3, 69.6, 113.3, 190.0, 351.9", \ + " 47.9, 56.3, 76.7, 120.4, 197.1, 359.1", \ + " 53.0, 61.4, 82.0, 125.8, 202.5, 364.6", \ + " 59.0, 67.9, 89.0, 133.0, 209.8, 371.9", \ + " 66.3, 76.7, 100.0, 145.1, 222.2, 384.6", \ + " 73.2, 85.2, 112.1, 161.1, 239.4, 402.4", \ + " 79.5, 93.6, 125.0, 181.1, 263.7, 428.4", \ + " 84.4, 100.7, 137.5, 202.7, 295.5, 464.8", \ + " 86.5, 105.6, 148.8, 225.4, 332.3, 516.1", \ + " 83.3, 105.6, 156.6, 247.4, 372.6, 582.2" ); } +fall_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.4, 94.1, 149.7, 276.6, 504.8, 992.2", \ + " 76.9, 96.7, 151.2, 277.1, 504.9, 992.1", \ + " 82.6, 101.7, 154.5, 278.2, 505.1, 992.1", \ + " 91.6, 109.9, 161.1, 282.0, 505.8, 992.0", \ + " 108.8, 125.7, 174.8, 292.2, 510.6, 992.2", \ + " 131.7, 149.5, 196.2, 310.1, 523.3, 995.7", \ + " 162.6, 181.4, 230.5, 339.9, 547.7, 1009.8", \ + " 204.8, 224.6, 276.5, 387.7, 588.7, 1040.2", \ + " 264.1, 285.6, 340.8, 458.5, 656.5, 1095.9", \ + " 348.2, 372.4, 432.5, 557.5, 765.0, 1191.5" ); }} +timing() { /* ring osc delay xnr2v0x3, path a to z 98.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 75.0 ; */ +/* intrinsic_fall : 91.5 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.5, 69.9, 96.1, 152.9, 252.7, 464.1", \ + " 66.4, 76.8, 102.9, 159.9, 259.8, 471.2", \ + " 71.4, 81.7, 107.8, 164.8, 264.7, 476.2", \ + " 76.9, 87.1, 113.1, 170.1, 270.1, 481.6", \ + " 83.7, 94.0, 120.0, 176.9, 276.9, 488.5", \ + " 90.3, 100.6, 126.5, 183.4, 283.4, 495.0", \ + " 96.7, 107.2, 133.1, 189.8, 289.8, 501.3", \ + " 102.1, 113.0, 139.2, 195.7, 295.6, 507.0", \ + " 105.2, 116.7, 143.7, 200.4, 300.2, 511.7", \ + " 103.7, 116.1, 144.4, 202.1, 302.6, 514.1" ); } +rise_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 70.7, 95.1, 161.5, 312.4, 581.7, 1154.0", \ + " 70.8, 95.2, 161.5, 312.5, 581.7, 1154.0", \ + " 71.3, 95.5, 161.6, 312.5, 581.7, 1154.0", \ + " 72.8, 96.6, 162.1, 312.5, 581.7, 1154.0", \ + " 75.8, 99.0, 163.5, 313.1, 581.8, 1154.0", \ + " 79.4, 102.1, 165.6, 314.0, 582.2, 1154.1", \ + " 84.7, 106.7, 168.8, 315.6, 582.8, 1154.5", \ + " 92.3, 113.7, 174.1, 318.7, 584.2, 1155.0", \ + " 102.7, 123.8, 182.9, 324.6, 587.5, 1156.5", \ + " 116.9, 138.2, 196.2, 335.6, 594.9, 1160.7" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.5, 81.0, 106.5, 160.7, 255.5, 456.0", \ + " 78.2, 88.7, 114.2, 168.5, 263.3, 463.8", \ + " 84.3, 94.7, 120.2, 174.6, 269.5, 470.1", \ + " 92.3, 102.7, 128.1, 182.6, 277.6, 478.2", \ + " 104.8, 115.3, 140.7, 195.1, 290.2, 490.9", \ + " 119.3, 130.1, 156.0, 210.4, 305.5, 506.2", \ + " 137.8, 148.9, 175.1, 229.9, 325.0, 525.7", \ + " 161.2, 172.9, 199.7, 254.5, 349.8, 550.5", \ + " 191.8, 204.2, 232.1, 287.5, 382.7, 583.7", \ + " 232.3, 245.7, 275.5, 332.5, 428.1, 629.0" ); } +fall_transition(x3_292_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 56.0, 77.1, 133.4, 261.6, 490.9, 979.0", \ + " 56.1, 77.2, 133.5, 261.6, 490.9, 979.0", \ + " 56.3, 77.4, 133.6, 261.6, 490.9, 979.0", \ + " 56.9, 77.9, 133.8, 261.6, 490.9, 979.0", \ + " 59.6, 80.0, 134.8, 261.8, 491.0, 979.0", \ + " 63.0, 83.3, 137.3, 262.9, 491.1, 979.0", \ + " 67.3, 87.3, 140.5, 264.8, 492.0, 979.1", \ + " 73.1, 93.0, 145.0, 267.4, 493.2, 979.8", \ + " 81.2, 101.3, 152.5, 271.9, 495.4, 980.7", \ + " 92.6, 113.1, 164.3, 280.9, 500.4, 982.7" ); }} +timing() { /* ring osc delay xnr2v0x3, path b to z 74.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 45.0 ; */ +/* intrinsic_fall : 66.3 ; */ +/* rise_resistance : 1.16 ; */ +/* fall_resistance : 1.33 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.7, 36.3, 57.1, 103.1, 190.0, 383.0", \ + " 36.3, 43.5, 64.0, 109.9, 196.6, 389.4", \ + " 42.2, 49.4, 69.3, 115.0, 201.4, 394.0", \ + " 48.0, 56.3, 76.4, 121.7, 207.7, 399.8", \ + " 56.0, 65.4, 88.1, 133.5, 218.4, 409.7", \ + " 64.9, 75.5, 100.8, 150.4, 233.4, 423.3", \ + " 75.3, 87.4, 115.7, 171.3, 256.0, 443.3", \ + " 87.3, 101.1, 133.2, 195.0, 288.4, 472.7", \ + " 101.1, 117.1, 153.8, 222.9, 327.2, 518.5", \ + " 117.2, 135.7, 178.2, 256.6, 373.7, 583.3" ); } +rise_transition(x3_292_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 75.7, 114.0, 211.5, 422.4, 797.8, 1602.0", \ + " 83.0, 119.6, 214.7, 423.4, 797.6, 1601.4", \ + " 91.3, 126.7, 219.9, 426.6, 798.5, 1600.8", \ + " 103.7, 137.4, 228.3, 432.5, 801.7, 1600.4", \ + " 127.0, 158.2, 245.1, 445.0, 809.8, 1602.9", \ + " 157.7, 190.2, 272.4, 466.3, 824.8, 1610.5", \ + " 190.9, 232.1, 316.5, 503.1, 852.3, 1627.2", \ + " 238.0, 281.8, 382.2, 562.8, 900.2, 1659.3", \ + " 304.8, 351.7, 462.1, 658.5, 983.0, 1719.4", \ + " 399.6, 450.3, 573.1, 796.2, 1120.9, 1829.8" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.4, 61.1, 85.5, 139.0, 233.3, 433.5", \ + " 57.3, 67.3, 92.2, 146.0, 240.6, 440.9", \ + " 61.7, 71.9, 96.9, 150.9, 245.6, 446.0", \ + " 67.3, 77.5, 102.7, 156.9, 251.8, 452.3", \ + " 74.3, 85.8, 112.2, 166.7, 261.7, 462.4", \ + " 81.7, 94.6, 124.0, 180.3, 275.6, 476.4", \ + " 90.4, 105.2, 138.1, 199.8, 296.4, 497.5", \ + " 99.9, 117.3, 155.3, 223.9, 327.2, 528.7", \ + " 110.5, 131.2, 176.0, 254.3, 368.0, 576.2", \ + " 121.9, 146.8, 200.4, 292.1, 420.1, 646.4" ); } +fall_transition(x3_292_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 67.4, 91.9, 158.0, 307.6, 574.9, 1144.3", \ + " 68.0, 92.3, 158.0, 307.6, 574.9, 1144.3", \ + " 69.4, 93.0, 158.4, 307.7, 574.9, 1144.3", \ + " 73.8, 96.7, 160.6, 308.4, 575.0, 1144.3", \ + " 83.9, 105.2, 167.1, 312.8, 577.0, 1144.4", \ + " 100.4, 121.3, 179.3, 321.9, 583.2, 1146.9", \ + " 119.4, 142.6, 202.4, 339.6, 596.6, 1155.0", \ + " 146.0, 170.6, 235.1, 371.3, 621.9, 1172.8", \ + " 186.0, 211.7, 279.5, 424.2, 667.7, 1207.8", \ + " 247.3, 273.7, 344.3, 496.0, 746.8, 1272.2" ); }} +timing() { /* ring osc delay xnr2v0x3, path b to z 93.5 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.2 ; */ +/* intrinsic_fall : 76.0 ; */ +/* rise_resistance : 1.38 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.8, 77.7, 102.5, 157.9, 256.9, 467.8", \ + " 74.7, 84.7, 109.8, 165.5, 264.5, 475.3", \ + " 79.3, 89.3, 114.7, 170.6, 269.7, 480.5", \ + " 84.1, 94.3, 119.9, 175.9, 275.1, 485.9", \ + " 90.0, 100.2, 126.2, 182.5, 281.7, 492.6", \ + " 96.0, 106.3, 132.2, 188.7, 288.1, 499.0", \ + " 101.6, 112.2, 138.3, 194.7, 294.2, 505.2", \ + " 105.9, 116.9, 143.5, 200.2, 299.6, 510.7", \ + " 107.7, 119.3, 146.7, 203.9, 303.7, 514.5", \ + " 104.8, 117.2, 145.7, 203.9, 304.4, 515.7" ); } +rise_transition(x3_292_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 55.0, 75.7, 132.0, 259.7, 486.9, 969.3", \ + " 55.1, 75.7, 132.0, 259.7, 486.9, 969.3", \ + " 55.3, 75.8, 132.0, 259.7, 486.9, 969.3", \ + " 55.9, 76.1, 132.0, 259.7, 486.9, 969.3", \ + " 56.0, 76.8, 132.4, 259.7, 486.9, 969.3", \ + " 57.9, 77.2, 131.8, 260.1, 486.9, 969.3", \ + " 60.9, 79.5, 132.7, 259.0, 487.0, 969.3", \ + " 65.3, 83.3, 135.0, 259.5, 485.9, 969.3", \ + " 71.6, 89.1, 139.0, 261.7, 486.3, 968.5", \ + " 80.3, 97.5, 145.5, 265.9, 489.3, 968.1" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.2, 62.3, 78.7, 117.9, 191.1, 350.4", \ + " 64.3, 70.5, 87.0, 126.2, 199.2, 358.3", \ + " 70.9, 77.1, 93.6, 132.6, 205.4, 364.2", \ + " 79.4, 85.7, 102.2, 140.9, 213.3, 371.8", \ + " 93.6, 99.7, 116.3, 154.6, 226.3, 384.0", \ + " 110.8, 117.7, 134.8, 172.6, 243.4, 399.8", \ + " 130.7, 138.3, 157.1, 197.1, 267.4, 422.1", \ + " 155.0, 163.2, 183.1, 225.4, 299.7, 453.6", \ + " 186.0, 195.0, 216.1, 259.9, 337.4, 498.5", \ + " 226.7, 236.6, 259.4, 305.1, 384.2, 553.7" ); } +fall_transition(x3_292_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 106.2, 124.3, 166.3, 276.6, 492.2, 962.8", \ + " 98.6, 116.0, 164.3, 276.2, 492.1, 962.8", \ + " 96.7, 113.8, 161.4, 276.3, 492.2, 962.8", \ + " 96.0, 113.1, 160.5, 275.8, 492.3, 962.8", \ + " 97.4, 115.0, 162.6, 276.9, 492.2, 962.8", \ + " 99.8, 118.6, 168.2, 282.0, 494.6, 962.4", \ + " 105.6, 124.6, 174.2, 291.4, 502.5, 965.4", \ + " 113.9, 133.3, 183.8, 300.7, 516.4, 976.2", \ + " 125.5, 145.6, 196.7, 313.4, 530.3, 997.4", \ + " 141.7, 162.7, 215.0, 331.8, 546.9, 1021.9" ); }} +} +} +cell(xnr2v0x4) { /* 2008-01-06:08h01 characteristic delay 15.4 ps */ +area : 21 ; /* tracks */ +cell_leakage_power : 3904 ; /* xnr2v0x4 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v0x4 FO4 effort 1.52 logical effort 1.34 */ +direction : input ; +capacitance : 12.94 ; +rise_capacitance : 12.90 ; +fall_capacitance : 12.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v0x4 */ +} +pin(b) { /* xnr2v0x4 FO4 effort 1.92 logical effort 2.24 */ +direction : input ; +capacitance : 19.35 ; +rise_capacitance : 18.93 ; +fall_capacitance : 19.77 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 296 ; +max_fanout : 10 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v0x4 59.19 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_390_5x10) { +values( " 29.30, 29.34, 29.37, 29.37, 29.33", \ + " 28.93, 29.00, 29.11, 29.18, 29.18", \ + " 29.07, 29.13, 29.24, 29.33, 29.35", \ + " 29.51, 29.53, 29.60, 29.68, 29.70", \ + " 30.67, 30.59, 30.52, 30.51, 30.49", \ + " 32.82, 32.58, 32.25, 32.00, 31.86", \ + " 36.67, 36.19, 35.41, 34.68, 34.21", \ + " 43.10, 42.26, 40.82, 39.27, 38.16", \ + " 53.72, 52.44, 50.05, 47.20, 44.96", \ + " 70.95, 69.12, 65.50, 60.73, 56.60" ); }} +internal_power(a_z_p) { /* xnr2v0x4 72.09 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_390_5x10) { +values( " 35.36, 35.68, 36.11, 36.42, 36.54", \ + " 34.85, 35.17, 35.64, 36.03, 36.20", \ + " 34.94, 35.22, 35.70, 36.12, 36.34", \ + " 35.38, 35.61, 36.04, 36.48, 36.73", \ + " 36.63, 36.76, 37.08, 37.47, 37.73", \ + " 38.97, 38.96, 39.08, 39.34, 39.55", \ + " 43.14, 42.94, 42.75, 42.71, 42.77", \ + " 50.06, 49.60, 48.96, 48.43, 48.14", \ + " 61.41, 60.61, 59.34, 58.03, 57.12", \ + " 79.67, 78.46, 76.36, 73.89, 71.93" ); }} +internal_power(b_z_n) { /* xnr2v0x4 65.00 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_390_5x10) { +values( " 32.46, 32.67, 32.88, 33.00, 33.02", \ + " 31.48, 31.81, 32.26, 32.60, 32.75", \ + " 31.44, 31.75, 32.23, 32.64, 32.85", \ + " 31.84, 32.07, 32.50, 32.94, 33.19", \ + " 33.22, 33.30, 33.53, 33.88, 34.13", \ + " 35.97, 35.85, 35.77, 35.83, 35.94", \ + " 40.95, 40.60, 40.05, 39.58, 39.33", \ + " 49.32, 48.63, 47.45, 46.15, 45.20", \ + " 63.23, 62.08, 59.98, 57.42, 55.30", \ + " 85.89, 84.17, 80.81, 76.38, 72.40" ); }} +internal_power(b_z_p) { /* xnr2v0x4 81.75 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_390_5x10) { +values( " 40.77, 40.65, 40.28, 39.81, 39.44", \ + " 40.19, 40.17, 39.98, 39.62, 39.28", \ + " 40.39, 40.38, 40.24, 39.93, 39.58", \ + " 41.04, 41.01, 40.88, 40.57, 40.21", \ + " 42.77, 42.66, 42.45, 42.07, 41.65", \ + " 45.89, 45.69, 45.30, 44.76, 44.19", \ + " 51.32, 50.97, 50.33, 49.47, 48.61", \ + " 60.18, 59.63, 58.61, 57.25, 55.92", \ + " 74.75, 73.84, 72.18, 70.06, 67.97", \ + " 98.51, 97.10, 94.34, 90.86, 87.61" ); }} +timing() { /* ring osc delay xnr2v0x4, path a to z 80.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.6 ; */ +/* intrinsic_fall : 57.2 ; */ +/* rise_resistance : 1.02 ; */ +/* fall_resistance : 0.81 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.6, 51.7, 77.3, 132.6, 228.9, 431.3", \ + " 49.5, 59.5, 85.1, 140.5, 236.7, 439.3", \ + " 55.8, 65.7, 91.2, 146.5, 242.8, 445.4", \ + " 64.0, 74.1, 99.5, 154.8, 251.0, 453.6", \ + " 75.7, 87.3, 114.0, 169.3, 265.5, 468.1", \ + " 89.5, 102.7, 133.0, 190.0, 286.4, 489.0", \ + " 107.0, 121.9, 156.4, 220.1, 317.7, 520.5", \ + " 129.1, 146.0, 185.0, 257.6, 362.7, 566.8", \ + " 158.1, 177.3, 221.8, 304.2, 424.0, 635.5", \ + " 196.5, 218.7, 269.9, 364.0, 500.5, 735.9" ); } +rise_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 101.2, 131.5, 215.2, 406.9, 749.2, 1477.0", \ + " 105.1, 134.4, 216.4, 407.3, 749.3, 1477.1", \ + " 110.9, 139.2, 219.5, 408.2, 749.6, 1477.2", \ + " 119.6, 147.1, 225.5, 411.2, 750.2, 1477.3", \ + " 136.5, 162.7, 238.4, 420.1, 754.0, 1477.8", \ + " 161.7, 186.5, 259.3, 436.5, 764.8, 1480.2", \ + " 194.6, 221.9, 292.5, 464.8, 787.0, 1492.0", \ + " 237.5, 266.8, 342.5, 509.6, 825.4, 1519.3", \ + " 296.9, 328.3, 409.3, 580.1, 888.2, 1571.1", \ + " 381.5, 415.5, 501.9, 684.8, 989.3, 1659.9" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.4, 47.7, 67.9, 111.6, 188.3, 350.2", \ + " 46.3, 54.6, 75.0, 118.7, 195.4, 357.3", \ + " 51.3, 59.7, 80.3, 124.1, 200.8, 362.8", \ + " 57.0, 66.0, 87.1, 131.1, 208.0, 370.0", \ + " 64.0, 74.4, 97.9, 143.1, 220.3, 382.6", \ + " 70.5, 82.6, 109.5, 158.7, 237.2, 400.3", \ + " 76.4, 90.4, 121.9, 178.1, 260.9, 425.9", \ + " 80.6, 97.0, 133.8, 199.0, 291.9, 461.6", \ + " 81.9, 101.1, 144.4, 220.9, 327.6, 511.9", \ + " 77.7, 100.1, 151.1, 241.8, 366.6, 576.1" ); } +fall_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.3, 92.3, 148.5, 276.6, 506.6, 997.7", \ + " 74.9, 95.1, 150.0, 277.1, 506.7, 997.6", \ + " 80.7, 100.1, 153.5, 278.2, 506.9, 997.6", \ + " 89.8, 108.3, 160.1, 282.1, 507.6, 997.5", \ + " 106.8, 124.0, 173.6, 292.3, 512.5, 997.6", \ + " 129.1, 147.2, 194.9, 309.9, 525.1, 1001.2", \ + " 159.4, 178.5, 228.5, 339.4, 549.2, 1015.3", \ + " 201.1, 221.3, 273.8, 386.6, 589.8, 1045.4", \ + " 259.8, 281.6, 337.4, 456.4, 656.7, 1100.5", \ + " 343.1, 367.6, 428.4, 554.3, 763.7, 1194.7" ); }} +timing() { /* ring osc delay xnr2v0x4, path a to z 98.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.9 ; */ +/* intrinsic_fall : 91.2 ; */ +/* rise_resistance : 1.05 ; */ +/* fall_resistance : 0.99 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 70.0, 96.3, 153.3, 253.2, 464.6", \ + " 66.4, 76.8, 103.1, 160.2, 260.2, 471.6", \ + " 71.3, 81.6, 107.9, 165.0, 265.0, 476.6", \ + " 76.7, 86.9, 113.0, 170.2, 270.3, 481.9", \ + " 83.3, 93.6, 119.6, 176.6, 276.8, 488.4", \ + " 89.7, 100.0, 125.9, 182.9, 283.0, 494.6", \ + " 95.9, 106.3, 132.2, 188.9, 289.0, 500.6", \ + " 101.0, 111.8, 137.9, 194.4, 294.4, 505.9", \ + " 103.7, 115.1, 141.9, 198.6, 298.5, 510.0", \ + " 101.8, 114.0, 142.1, 199.6, 300.1, 511.7" ); } +rise_transition(x4_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.1, 95.6, 162.1, 313.1, 582.4, 1154.7", \ + " 71.3, 95.7, 162.1, 313.1, 582.4, 1154.7", \ + " 71.7, 96.0, 162.2, 313.1, 582.4, 1154.7", \ + " 73.2, 97.1, 162.6, 313.2, 582.4, 1154.7", \ + " 76.2, 99.4, 164.0, 313.7, 582.5, 1154.7", \ + " 79.8, 102.5, 166.0, 314.6, 582.9, 1154.8", \ + " 85.2, 107.1, 169.2, 316.1, 583.5, 1155.2", \ + " 92.7, 114.1, 174.5, 319.3, 584.9, 1155.7", \ + " 103.3, 124.3, 183.3, 325.1, 588.2, 1157.2", \ + " 117.8, 138.8, 196.7, 336.1, 595.6, 1161.5" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 80.5, 105.6, 159.1, 252.3, 449.2", \ + " 77.8, 88.2, 113.3, 166.8, 260.1, 457.1", \ + " 83.9, 94.2, 119.4, 172.9, 266.3, 463.4", \ + " 91.9, 102.1, 127.3, 180.9, 274.3, 471.5", \ + " 104.3, 114.7, 139.7, 193.3, 286.8, 484.1", \ + " 118.8, 129.4, 154.9, 208.5, 302.0, 499.3", \ + " 137.3, 148.2, 174.0, 227.9, 321.4, 518.7", \ + " 160.8, 172.2, 198.6, 252.5, 346.2, 543.4", \ + " 191.6, 203.7, 231.1, 285.6, 379.2, 576.7", \ + " 232.4, 245.4, 274.7, 330.8, 424.8, 622.2" ); } +fall_transition(x4_390_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 56.8, 77.6, 133.0, 259.0, 484.3, 963.8", \ + " 56.9, 77.7, 133.0, 259.0, 484.3, 963.8", \ + " 57.1, 77.9, 133.1, 259.0, 484.3, 963.8", \ + " 57.8, 78.3, 133.3, 259.0, 484.3, 963.8", \ + " 60.5, 80.5, 134.4, 259.2, 484.3, 963.8", \ + " 63.9, 83.9, 136.8, 260.3, 484.5, 963.8", \ + " 68.3, 87.9, 140.1, 262.2, 485.4, 963.9", \ + " 74.2, 93.7, 144.7, 264.9, 486.7, 964.6", \ + " 82.5, 102.2, 152.3, 269.5, 489.0, 965.6", \ + " 94.2, 114.2, 164.3, 278.6, 494.1, 967.7" ); }} +timing() { /* ring osc delay xnr2v0x4, path b to z 76.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 46.2 ; */ +/* intrinsic_fall : 67.4 ; */ +/* rise_resistance : 0.87 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.7, 37.3, 58.2, 104.3, 191.0, 383.3", \ + " 37.3, 44.5, 65.1, 111.2, 197.7, 389.7", \ + " 43.3, 50.4, 70.5, 116.3, 202.5, 394.3", \ + " 49.2, 57.4, 77.6, 123.1, 208.9, 400.3", \ + " 57.4, 66.7, 89.3, 134.8, 219.6, 410.3", \ + " 66.5, 77.0, 102.1, 151.7, 234.7, 424.0", \ + " 77.3, 89.2, 117.3, 172.7, 257.3, 444.2", \ + " 89.7, 103.3, 135.0, 196.5, 289.7, 473.6", \ + " 104.2, 119.8, 156.1, 224.6, 328.6, 519.4", \ + " 121.0, 139.2, 181.1, 258.7, 375.2, 584.3" ); } +rise_transition(x4_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 77.2, 114.6, 211.1, 421.0, 795.0, 1596.2", \ + " 84.4, 120.2, 214.3, 422.0, 794.9, 1595.7", \ + " 92.6, 127.3, 219.6, 425.3, 795.8, 1595.2", \ + " 104.9, 138.0, 228.0, 431.2, 799.0, 1594.9", \ + " 128.2, 158.8, 244.9, 443.8, 807.2, 1597.6", \ + " 159.8, 190.9, 272.3, 465.1, 822.3, 1605.4", \ + " 193.4, 233.5, 316.4, 501.9, 849.9, 1622.2", \ + " 240.9, 283.6, 382.5, 561.8, 897.8, 1654.4", \ + " 308.0, 354.0, 462.7, 657.5, 980.6, 1714.4", \ + " 403.1, 453.1, 574.0, 795.3, 1118.6, 1824.8" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.4, 62.0, 86.0, 138.6, 231.3, 428.0", \ + " 58.3, 68.2, 92.7, 145.7, 238.6, 435.4", \ + " 62.8, 72.8, 97.5, 150.6, 243.6, 440.5", \ + " 68.3, 78.4, 103.2, 156.6, 249.8, 446.8", \ + " 75.4, 86.8, 112.7, 166.3, 259.8, 456.9", \ + " 83.0, 95.7, 124.5, 179.9, 273.5, 470.9", \ + " 91.6, 106.2, 138.6, 199.3, 294.3, 491.9", \ + " 101.1, 118.2, 155.6, 223.3, 325.0, 523.1", \ + " 111.6, 131.9, 176.0, 253.3, 365.4, 570.4", \ + " 122.7, 147.2, 200.0, 290.6, 417.0, 640.1" ); } +fall_transition(x4_390_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 68.8, 92.8, 157.7, 304.6, 567.2, 1126.6", \ + " 69.3, 93.1, 157.7, 304.6, 567.2, 1126.6", \ + " 70.5, 93.7, 158.0, 304.7, 567.2, 1126.6", \ + " 74.7, 97.2, 160.1, 305.4, 567.4, 1126.6", \ + " 84.5, 105.5, 166.4, 309.7, 569.3, 1126.8", \ + " 101.2, 121.4, 178.5, 318.7, 575.4, 1129.2", \ + " 120.3, 143.0, 201.6, 336.4, 588.9, 1137.4", \ + " 147.1, 171.2, 234.4, 368.2, 614.2, 1155.4", \ + " 187.2, 212.4, 278.8, 421.1, 660.2, 1190.6", \ + " 248.6, 274.5, 343.8, 492.9, 739.7, 1255.3" ); }} +timing() { /* ring osc delay xnr2v0x4, path b to z 93.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.7 ; */ +/* intrinsic_fall : 76.1 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.73 ; */ +cell_rise(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 77.0, 101.6, 157.0, 255.9, 466.8", \ + " 74.3, 84.1, 109.1, 164.6, 263.5, 474.3", \ + " 78.9, 88.9, 114.1, 169.7, 268.7, 479.5", \ + " 83.8, 93.8, 119.3, 175.1, 274.2, 485.0", \ + " 89.6, 99.7, 125.6, 181.7, 280.9, 491.7", \ + " 95.6, 105.9, 131.6, 187.9, 287.2, 498.1", \ + " 101.1, 111.7, 137.7, 193.9, 293.3, 504.2", \ + " 105.3, 116.2, 142.7, 199.3, 298.6, 509.5", \ + " 106.7, 118.2, 145.6, 202.7, 302.4, 513.1", \ + " 103.1, 115.5, 144.1, 202.3, 302.8, 514.0" ); } +rise_transition(x4_390_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 55.7, 76.3, 132.6, 260.4, 487.5, 969.9", \ + " 55.8, 76.3, 132.6, 260.3, 487.5, 969.9", \ + " 55.9, 76.4, 132.6, 260.4, 487.5, 969.9", \ + " 56.5, 76.7, 132.6, 260.3, 487.5, 969.9", \ + " 56.4, 77.3, 133.0, 260.4, 487.5, 969.9", \ + " 58.4, 77.7, 132.3, 260.7, 487.5, 969.9", \ + " 61.2, 80.0, 133.2, 259.5, 487.6, 969.9", \ + " 65.4, 83.5, 135.4, 260.0, 486.5, 969.9", \ + " 71.4, 89.0, 139.3, 262.2, 486.9, 969.1", \ + " 79.8, 97.2, 145.6, 266.3, 489.8, 968.7" ); } +cell_fall(x4_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.1, 62.2, 78.6, 117.7, 190.8, 350.0", \ + " 64.3, 70.5, 87.0, 126.0, 198.9, 358.0", \ + " 70.9, 77.1, 93.6, 132.5, 205.2, 363.9", \ + " 79.5, 85.8, 102.3, 140.9, 213.2, 371.6", \ + " 93.8, 100.0, 116.6, 154.8, 226.4, 383.9", \ + " 111.2, 118.2, 135.3, 173.1, 243.8, 400.1", \ + " 131.4, 139.1, 157.9, 198.1, 268.3, 422.9", \ + " 155.9, 164.2, 184.3, 226.8, 301.3, 455.1", \ + " 187.4, 196.4, 217.7, 261.9, 339.8, 501.2", \ + " 228.6, 238.5, 261.6, 307.7, 387.4, 557.9" ); } +fall_transition(x4_390_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 105.8, 124.0, 166.9, 278.0, 494.6, 968.3", \ + " 98.8, 116.3, 164.9, 277.6, 494.6, 968.3", \ + " 97.3, 114.4, 162.2, 277.6, 494.6, 968.3", \ + " 96.9, 114.0, 161.4, 277.1, 494.8, 968.3", \ + " 98.6, 116.2, 163.8, 278.4, 494.8, 968.4", \ + " 101.0, 119.8, 169.6, 283.8, 497.4, 968.1", \ + " 106.8, 125.8, 175.7, 293.5, 505.8, 971.4", \ + " 114.9, 134.5, 185.4, 303.2, 520.3, 982.8", \ + " 126.5, 146.7, 198.3, 316.1, 534.9, 1005.0", \ + " 142.5, 163.7, 216.5, 334.6, 551.8, 1031.0" ); }} +} +} +cell(xnr2v6x1) { /* 2008-01-06:08h01 characteristic delay 23.7 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1294 ; /* xnr2v6x1 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v6x1 FO4 effort 2.13 logical effort 2.76 */ +direction : input ; +capacitance : 5.97 ; +rise_capacitance : 6.13 ; +fall_capacitance : 5.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v6x1 */ +} +pin(b) { /* xnr2v6x1 FO4 effort 1.90 logical effort 2.77 */ +direction : input ; +capacitance : 5.67 ; +rise_capacitance : 5.47 ; +fall_capacitance : 5.88 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v6x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 89 ; +max_fanout : 4 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v6x1 19.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.02, 10.07, 10.12, 10.15, 10.14", \ + " 9.71, 9.77, 9.86, 9.92, 9.95", \ + " 9.68, 9.73, 9.82, 9.90, 9.93", \ + " 9.77, 9.80, 9.86, 9.94, 9.98", \ + " 10.11, 10.10, 10.10, 10.14, 10.17", \ + " 10.85, 10.77, 10.68, 10.62, 10.60", \ + " 12.31, 12.13, 11.86, 11.61, 11.46", \ + " 14.89, 14.57, 14.04, 13.46, 13.05", \ + " 19.31, 18.82, 17.91, 16.82, 15.94", \ + " 26.49, 25.82, 24.48, 22.66, 21.04" ); }} +internal_power(a_z_p) { /* xnr2v6x1 21.30 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.85, 10.87, 10.85, 10.80, 10.74", \ + " 10.60, 10.63, 10.65, 10.63, 10.58", \ + " 10.55, 10.59, 10.62, 10.61, 10.58", \ + " 10.59, 10.62, 10.65, 10.66, 10.63", \ + " 10.82, 10.82, 10.84, 10.85, 10.82", \ + " 11.35, 11.32, 11.28, 11.26, 11.22", \ + " 12.39, 12.30, 12.17, 12.05, 11.95", \ + " 14.24, 14.06, 13.78, 13.49, 13.26", \ + " 17.37, 17.08, 16.58, 16.01, 15.55", \ + " 22.50, 22.07, 21.30, 20.32, 19.47" ); }} +internal_power(b_z_n) { /* xnr2v6x1 17.58 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 8.73, 8.85, 8.98, 9.05, 9.08", \ + " 8.48, 8.58, 8.75, 8.89, 8.96", \ + " 8.51, 8.58, 8.72, 8.86, 8.94", \ + " 8.70, 8.71, 8.79, 8.90, 8.98", \ + " 9.23, 9.15, 9.10, 9.13, 9.16", \ + " 10.23, 10.04, 9.81, 9.66, 9.60", \ + " 12.01, 11.67, 11.19, 10.77, 10.51", \ + " 14.98, 14.46, 13.63, 12.80, 12.20", \ + " 19.83, 19.11, 17.84, 16.40, 15.28", \ + " 27.59, 26.68, 24.89, 22.62, 20.70" ); }} +internal_power(b_z_p) { /* xnr2v6x1 24.36 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 12.51, 12.47, 12.34, 12.15, 12.00", \ + " 12.29, 12.26, 12.17, 12.02, 11.89", \ + " 12.26, 12.23, 12.14, 12.01, 11.88", \ + " 12.31, 12.27, 12.18, 12.05, 11.92", \ + " 12.57, 12.50, 12.38, 12.23, 12.09", \ + " 13.16, 13.04, 12.87, 12.67, 12.49", \ + " 14.29, 14.10, 13.82, 13.51, 13.25", \ + " 16.22, 15.95, 15.50, 15.01, 14.61", \ + " 19.44, 19.07, 18.40, 17.63, 16.99", \ + " 24.68, 24.20, 23.26, 22.08, 21.05" ); }} +timing() { /* ring osc delay xnr2v6x1, path a to z 75.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.8 ; */ +/* intrinsic_fall : 45.5 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.9, 59.7, 93.9, 171.5, 309.1, 601.2", \ + " 54.2, 67.1, 101.5, 179.4, 317.3, 609.5", \ + " 59.7, 72.5, 106.8, 184.9, 322.8, 615.1", \ + " 66.3, 79.2, 113.4, 191.5, 329.5, 621.9", \ + " 74.6, 89.3, 124.5, 202.4, 340.5, 632.9", \ + " 83.8, 100.1, 139.1, 217.6, 355.6, 648.1", \ + " 95.1, 113.6, 156.7, 240.9, 378.5, 670.8", \ + " 109.6, 130.9, 179.0, 272.0, 413.0, 704.6", \ + " 129.5, 154.4, 209.0, 311.9, 465.4, 756.8", \ + " 157.8, 186.9, 250.1, 365.4, 534.8, 838.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 78.6, 113.6, 207.0, 417.5, 791.4, 1583.4", \ + " 79.4, 114.0, 207.2, 417.6, 791.4, 1583.4", \ + " 81.6, 115.3, 207.4, 417.5, 791.3, 1583.4", \ + " 86.2, 119.0, 209.5, 417.6, 791.3, 1583.4", \ + " 96.5, 127.7, 216.0, 420.7, 791.3, 1583.4", \ + " 113.8, 144.0, 228.7, 429.3, 794.9, 1583.3", \ + " 137.0, 170.5, 253.3, 447.5, 806.7, 1585.7", \ + " 173.9, 208.2, 296.1, 481.6, 831.6, 1598.6", \ + " 232.7, 267.3, 357.3, 543.6, 879.6, 1630.5", \ + " 318.7, 355.7, 448.4, 642.5, 967.4, 1695.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.5, 38.8, 64.2, 120.4, 219.1, 427.3", \ + " 36.4, 45.4, 70.7, 126.9, 225.7, 434.0", \ + " 41.9, 50.8, 75.8, 132.0, 230.8, 439.1", \ + " 47.5, 57.7, 82.7, 138.9, 237.6, 445.8", \ + " 54.2, 66.3, 94.8, 150.8, 249.4, 457.6", \ + " 60.4, 74.6, 108.0, 168.0, 266.3, 474.2", \ + " 66.2, 82.6, 121.8, 192.2, 291.9, 499.4", \ + " 70.7, 89.8, 135.4, 218.1, 330.0, 536.7", \ + " 73.0, 95.3, 148.6, 245.0, 377.1, 593.6", \ + " 71.2, 97.6, 160.4, 272.6, 427.2, 678.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.4, 96.4, 161.8, 309.0, 570.6, 1119.0", \ + " 75.2, 99.0, 162.5, 308.7, 570.4, 1119.0", \ + " 81.2, 104.5, 166.3, 309.7, 570.3, 1119.0", \ + " 90.6, 113.5, 173.6, 313.8, 570.7, 1118.9", \ + " 108.7, 131.1, 189.3, 325.0, 576.3, 1118.9", \ + " 129.4, 156.1, 214.7, 345.7, 590.3, 1122.1", \ + " 159.3, 188.8, 254.3, 382.3, 618.6, 1137.6", \ + " 201.1, 233.9, 305.9, 440.2, 668.7, 1172.8", \ + " 260.6, 297.7, 377.6, 525.4, 752.7, 1240.7", \ + " 346.2, 388.9, 479.2, 641.9, 885.1, 1361.0" ); }} +timing() { /* ring osc delay xnr2v6x1, path a to z 118.5 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.4 ; */ +/* intrinsic_fall : 104.0 ; */ +/* rise_resistance : 4.28 ; */ +/* fall_resistance : 3.08 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.9, 96.8, 130.8, 207.5, 344.3, 635.8", \ + " 90.4, 103.4, 137.6, 214.4, 351.3, 642.8", \ + " 95.0, 108.0, 142.4, 219.3, 356.3, 647.8", \ + " 100.3, 113.4, 147.9, 224.9, 361.9, 653.4", \ + " 107.5, 120.6, 155.1, 232.3, 369.3, 660.8", \ + " 115.9, 128.5, 162.2, 239.4, 376.4, 667.9", \ + " 124.1, 137.0, 170.6, 246.2, 383.3, 674.7", \ + " 131.2, 144.2, 178.0, 253.7, 389.1, 680.4", \ + " 136.2, 149.4, 183.3, 259.2, 394.2, 683.9", \ + " 136.9, 150.5, 184.6, 260.3, 395.8, 684.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.5, 155.3, 248.3, 458.2, 831.6, 1623.7", \ + " 120.7, 155.3, 248.3, 458.2, 831.6, 1623.7", \ + " 121.0, 155.6, 248.3, 458.2, 831.6, 1623.7", \ + " 121.8, 156.3, 248.5, 458.2, 831.5, 1623.7", \ + " 121.7, 155.8, 247.9, 457.8, 831.5, 1623.7", \ + " 122.7, 156.3, 247.5, 456.4, 830.4, 1623.7", \ + " 124.9, 157.1, 248.1, 455.7, 828.7, 1622.5", \ + " 131.7, 163.2, 249.7, 455.9, 827.8, 1620.8", \ + " 140.7, 171.8, 257.1, 456.8, 827.9, 1619.8", \ + " 153.0, 183.5, 267.5, 464.8, 829.0, 1620.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.6, 93.2, 118.8, 174.0, 271.5, 478.8", \ + " 90.2, 100.8, 126.5, 181.7, 279.2, 486.5", \ + " 96.5, 107.1, 132.9, 188.2, 285.7, 493.0", \ + " 104.9, 115.5, 141.4, 196.9, 294.4, 501.8", \ + " 118.6, 129.3, 155.4, 211.0, 308.6, 516.0", \ + " 135.0, 146.0, 172.4, 228.2, 326.0, 533.3", \ + " 155.9, 167.2, 194.0, 250.0, 347.8, 555.2", \ + " 182.1, 193.9, 221.3, 277.6, 375.3, 582.7", \ + " 216.4, 228.8, 257.0, 313.9, 411.7, 618.9", \ + " 262.0, 275.3, 304.9, 362.7, 460.8, 667.8" ); } +fall_transition(x1_130_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 55.5, 76.1, 133.0, 264.5, 501.4, 1007.2", \ + " 55.5, 76.1, 132.9, 264.4, 501.4, 1007.2", \ + " 55.6, 76.2, 132.9, 264.4, 501.4, 1007.2", \ + " 56.0, 76.4, 133.0, 264.5, 501.4, 1007.2", \ + " 58.0, 77.8, 133.6, 264.6, 501.4, 1007.2", \ + " 61.4, 80.6, 135.3, 265.4, 501.6, 1007.2", \ + " 66.3, 84.7, 137.9, 266.4, 502.0, 1007.2", \ + " 73.2, 90.9, 142.1, 268.3, 502.5, 1007.3", \ + " 82.8, 99.8, 149.0, 271.7, 503.7, 1007.4", \ + " 96.4, 112.8, 160.0, 278.5, 506.7, 1008.1" ); }} +timing() { /* ring osc delay xnr2v6x1, path b to z 58.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.5 ; */ +/* intrinsic_fall : 36.7 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.09 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.7, 37.1, 68.2, 145.1, 282.8, 575.0", \ + " 34.0, 44.0, 74.9, 152.1, 290.0, 582.3", \ + " 39.4, 50.0, 80.4, 157.3, 295.3, 587.6", \ + " 44.9, 57.4, 88.0, 164.4, 302.2, 594.5", \ + " 53.0, 67.6, 101.9, 177.2, 314.5, 606.5", \ + " 62.9, 79.6, 119.1, 196.0, 332.3, 623.6", \ + " 75.8, 95.0, 139.9, 225.4, 359.7, 649.6", \ + " 92.0, 114.4, 165.5, 263.2, 401.5, 688.8", \ + " 113.2, 139.5, 198.6, 309.6, 465.4, 749.8", \ + " 141.3, 172.5, 242.0, 369.0, 547.4, 846.1" ); } +rise_transition(x1_130_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 70.1, 111.2, 216.5, 448.4, 859.7, 1729.2", \ + " 76.9, 115.9, 218.4, 448.2, 859.6, 1729.2", \ + " 84.7, 122.4, 223.0, 450.2, 859.2, 1729.1", \ + " 96.3, 132.6, 230.8, 454.9, 860.3, 1728.9", \ + " 119.2, 152.6, 247.3, 466.3, 866.6, 1728.7", \ + " 146.6, 183.4, 274.0, 486.9, 880.5, 1734.0", \ + " 179.0, 224.2, 316.7, 523.2, 907.7, 1750.4", \ + " 228.7, 274.2, 383.4, 582.4, 956.2, 1784.1", \ + " 304.3, 350.6, 465.0, 676.1, 1039.7, 1848.1", \ + " 418.9, 466.8, 585.1, 819.3, 1177.7, 1963.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.2, 31.4, 55.4, 110.7, 208.6, 416.2", \ + " 30.1, 38.3, 62.4, 118.0, 216.1, 423.8", \ + " 33.7, 43.5, 67.5, 123.2, 221.3, 429.1", \ + " 36.7, 48.3, 74.4, 130.0, 228.1, 435.9", \ + " 39.9, 53.9, 85.3, 141.7, 239.8, 447.6", \ + " 42.1, 58.5, 95.7, 158.7, 256.5, 464.1", \ + " 42.7, 62.1, 105.8, 180.8, 281.7, 488.8", \ + " 40.7, 63.6, 114.7, 203.0, 318.8, 525.6", \ + " 34.1, 61.3, 121.5, 225.1, 362.4, 581.8", \ + " 19.9, 52.4, 124.1, 246.2, 407.7, 664.4" ); } +fall_transition(x1_130_6x10) { /* 6%-94%, scaled to 0%-100% */ +values( " 46.6, 74.5, 145.5, 303.6, 583.9, 1176.5", \ + " 52.9, 79.2, 148.0, 303.9, 584.0, 1176.5", \ + " 60.2, 85.7, 152.9, 306.6, 584.0, 1176.5", \ + " 71.8, 95.8, 161.4, 312.4, 586.6, 1176.4", \ + " 88.7, 115.8, 178.8, 325.7, 595.2, 1177.9", \ + " 109.6, 140.8, 206.1, 349.1, 612.7, 1186.9", \ + " 141.5, 174.2, 248.9, 388.9, 645.3, 1208.9", \ + " 188.3, 223.1, 302.6, 450.8, 701.3, 1251.8", \ + " 257.9, 295.9, 380.3, 542.8, 792.7, 1329.3", \ + " 365.9, 405.1, 495.6, 668.9, 935.8, 1462.8" ); }} +timing() { /* ring osc delay xnr2v6x1, path b to z 143.6 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 120.7 ; */ +/* intrinsic_fall : 122.9 ; */ +/* rise_resistance : 4.24 ; */ +/* fall_resistance : 3.05 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.9, 120.4, 153.4, 228.7, 364.7, 655.6", \ + " 114.5, 127.2, 160.4, 235.9, 371.8, 662.6", \ + " 119.2, 132.0, 165.6, 241.3, 377.3, 668.0", \ + " 124.6, 137.7, 171.6, 247.7, 383.7, 674.4", \ + " 132.1, 145.3, 179.7, 256.1, 392.3, 683.0", \ + " 139.8, 152.7, 187.6, 264.4, 400.8, 691.6", \ + " 149.4, 162.6, 196.4, 272.6, 409.3, 700.1", \ + " 157.3, 171.0, 205.7, 281.4, 417.0, 708.0", \ + " 162.9, 177.1, 212.6, 289.5, 424.4, 714.3", \ + " 163.8, 178.7, 215.2, 293.2, 429.6, 717.5" ); } +rise_transition(x1_130_6x10) { /* 33%-67%, scaled to 0%-100% */ +values( " 104.9, 134.6, 213.8, 391.5, 706.3, 1373.9", \ + " 104.9, 134.6, 213.8, 391.5, 706.3, 1373.9", \ + " 105.1, 134.6, 213.8, 391.5, 706.3, 1373.9", \ + " 105.3, 134.7, 213.8, 391.5, 706.3, 1373.9", \ + " 106.0, 135.1, 213.9, 391.5, 706.3, 1373.9", \ + " 101.9, 132.6, 214.2, 391.5, 706.3, 1373.9", \ + " 100.1, 128.6, 206.3, 389.9, 706.3, 1373.9", \ + " 102.4, 130.2, 206.1, 381.5, 703.9, 1373.9", \ + " 107.0, 134.2, 208.8, 382.0, 695.3, 1373.8", \ + " 114.5, 140.9, 213.7, 385.5, 695.7, 1364.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.2, 112.7, 137.4, 192.2, 289.6, 496.9", \ + " 110.6, 120.3, 145.0, 199.8, 297.2, 504.4", \ + " 116.8, 126.5, 151.3, 206.1, 303.5, 510.7", \ + " 125.0, 134.8, 159.8, 214.7, 312.0, 519.2", \ + " 138.3, 148.2, 173.6, 228.7, 326.0, 533.1", \ + " 154.3, 164.7, 190.4, 245.8, 343.1, 550.2", \ + " 175.8, 186.2, 211.9, 267.5, 364.9, 571.9", \ + " 202.6, 213.4, 239.9, 295.5, 392.5, 599.4", \ + " 237.8, 248.9, 276.1, 332.6, 429.7, 635.7", \ + " 284.7, 296.4, 324.5, 382.0, 479.8, 685.3" ); } +fall_transition(x1_130_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 96.0, 118.6, 179.1, 316.1, 561.9, 1086.8", \ + " 96.0, 118.6, 179.0, 316.1, 561.9, 1086.8", \ + " 96.1, 118.7, 179.1, 316.1, 561.9, 1086.8", \ + " 96.5, 119.0, 179.2, 316.2, 561.9, 1086.8", \ + " 98.7, 120.5, 180.0, 316.4, 562.0, 1086.8", \ + " 98.2, 120.1, 179.8, 316.7, 562.3, 1086.8", \ + " 98.4, 120.4, 179.5, 315.3, 561.0, 1087.0", \ + " 102.6, 123.3, 180.0, 315.2, 559.6, 1084.7", \ + " 109.9, 130.2, 185.4, 315.8, 559.4, 1082.9", \ + " 121.4, 141.2, 195.0, 322.4, 560.1, 1082.4" ); }} +} +} +cell(xnr2v8x05) { /* 2008-01-06:08h01 characteristic delay 13.7 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 832 ; /* xnr2v8x05 */ +cell_footprint : xnr2 ; +pin(a) { /* xnr2v8x05 FO4 effort 2.68 logical effort 1.24 */ +direction : input ; +capacitance : 2.33 ; +rise_capacitance : 2.35 ; +fall_capacitance : 2.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr2v8x05 */ +} +pin(b) { /* xnr2v8x05 FO4 effort 2.53 logical effort 1.96 */ +direction : input ; +capacitance : 4.29 ; +rise_capacitance : 4.34 ; +fall_capacitance : 4.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr2v8x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 3 ; +function : "(a^b)'" ; +internal_power(a_z_n) { /* xnr2v8x05 23.79 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 11.88, 11.88, 11.93, 11.98, 11.99", \ + " 11.77, 11.77, 11.82, 11.87, 11.89", \ + " 11.78, 11.78, 11.83, 11.88, 11.89", \ + " 11.85, 11.85, 11.90, 11.94, 11.96", \ + " 12.06, 12.05, 12.10, 12.15, 12.17", \ + " 12.45, 12.44, 12.49, 12.54, 12.56", \ + " 13.16, 13.15, 13.18, 13.23, 13.25", \ + " 14.33, 14.31, 14.34, 14.38, 14.41", \ + " 16.24, 16.20, 16.22, 16.26, 16.29", \ + " 19.31, 19.25, 19.25, 19.29, 19.31" ); }} +internal_power(a_z_p) { /* xnr2v8x05 23.60 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 11.82, 11.80, 11.84, 11.88, 11.89", \ + " 11.73, 11.71, 11.74, 11.79, 11.80", \ + " 11.73, 11.71, 11.74, 11.79, 11.80", \ + " 11.80, 11.77, 11.80, 11.84, 11.86", \ + " 12.03, 11.99, 12.00, 12.04, 12.06", \ + " 12.47, 12.41, 12.40, 12.43, 12.45", \ + " 13.24, 13.14, 13.11, 13.12, 13.13", \ + " 14.48, 14.35, 14.28, 14.26, 14.26", \ + " 16.50, 16.33, 16.20, 16.14, 16.12", \ + " 19.75, 19.51, 19.31, 19.20, 19.15" ); }} +internal_power(b_z_n) { /* xnr2v8x05 20.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 10.09, 10.07, 10.10, 10.15, 10.18", \ + " 10.08, 10.06, 10.10, 10.14, 10.17", \ + " 10.18, 10.17, 10.20, 10.25, 10.28", \ + " 10.37, 10.36, 10.40, 10.44, 10.47", \ + " 10.78, 10.76, 10.80, 10.84, 10.87", \ + " 11.45, 11.43, 11.46, 11.50, 11.53", \ + " 12.54, 12.52, 12.54, 12.58, 12.61", \ + " 14.25, 14.21, 14.23, 14.26, 14.29", \ + " 16.93, 16.88, 16.88, 16.92, 16.95", \ + " 21.28, 21.20, 21.17, 21.22, 21.27" ); }} +internal_power(b_z_p) { /* xnr2v8x05 18.19 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 8.89, 8.91, 8.97, 9.01, 9.02", \ + " 8.80, 8.82, 8.87, 8.92, 8.94", \ + " 8.87, 8.88, 8.94, 8.99, 9.01", \ + " 9.04, 9.05, 9.10, 9.15, 9.17", \ + " 9.45, 9.44, 9.48, 9.53, 9.56", \ + " 10.16, 10.13, 10.15, 10.20, 10.22", \ + " 11.35, 11.30, 11.30, 11.34, 11.36", \ + " 13.26, 13.18, 13.15, 13.17, 13.19", \ + " 16.30, 16.18, 16.11, 16.10, 16.11", \ + " 21.17, 20.98, 20.85, 20.81, 20.82" ); }} +timing() { /* ring osc delay xnr2v8x05, path a to z 155.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 145.7 ; */ +/* intrinsic_fall : 143.4 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 4.02 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 122.8, 139.4, 176.8, 255.3, 393.5, 686.6", \ + " 130.6, 147.2, 184.6, 263.1, 401.3, 694.4", \ + " 136.9, 153.5, 190.9, 269.4, 407.6, 700.7", \ + " 145.1, 161.7, 199.1, 277.6, 415.7, 708.9", \ + " 158.0, 174.6, 212.0, 290.4, 428.6, 721.8", \ + " 173.3, 189.9, 227.3, 305.8, 444.0, 737.1", \ + " 192.7, 209.4, 246.8, 325.3, 463.4, 756.6", \ + " 217.4, 234.1, 271.5, 350.0, 488.2, 781.3", \ + " 249.8, 266.6, 304.1, 382.6, 520.7, 813.9", \ + " 292.7, 309.7, 347.4, 426.0, 564.1, 857.2" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.5, 91.7, 181.0, 388.8, 765.1, 1569.8", \ + " 58.5, 91.8, 181.0, 388.8, 765.1, 1569.8", \ + " 58.5, 91.8, 181.0, 388.8, 765.1, 1569.8", \ + " 58.5, 91.8, 181.0, 388.8, 765.1, 1569.8", \ + " 58.7, 91.9, 181.1, 388.9, 765.1, 1569.8", \ + " 59.0, 92.2, 181.3, 388.9, 765.1, 1569.8", \ + " 59.5, 92.7, 181.6, 389.1, 765.2, 1569.8", \ + " 60.2, 93.3, 182.0, 389.3, 765.2, 1569.8", \ + " 61.4, 94.4, 182.8, 389.6, 765.3, 1569.7", \ + " 63.3, 96.2, 184.2, 390.3, 765.6, 1569.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.9, 135.4, 170.2, 234.4, 341.6, 567.1", \ + " 124.9, 142.4, 177.2, 241.4, 348.6, 574.1", \ + " 130.0, 147.5, 182.3, 246.6, 353.7, 579.3", \ + " 135.9, 153.4, 188.2, 252.4, 359.5, 585.1", \ + " 143.5, 161.0, 195.8, 260.1, 367.2, 592.8", \ + " 150.9, 168.4, 203.3, 267.6, 374.7, 600.3", \ + " 158.6, 176.2, 211.0, 275.3, 382.5, 608.1", \ + " 165.9, 183.5, 218.5, 282.9, 390.0, 615.5", \ + " 171.6, 189.4, 224.7, 289.2, 396.4, 621.9", \ + " 173.9, 192.0, 227.6, 292.4, 399.7, 625.2" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.6, 79.8, 141.8, 279.5, 531.1, 1076.4", \ + " 53.6, 79.9, 141.9, 279.5, 531.1, 1076.4", \ + " 53.6, 79.9, 141.9, 279.5, 531.1, 1076.4", \ + " 53.7, 79.9, 141.9, 279.5, 531.1, 1076.4", \ + " 53.9, 80.1, 142.0, 279.5, 531.1, 1076.4", \ + " 54.1, 80.4, 142.2, 279.7, 531.2, 1076.5", \ + " 54.6, 80.8, 142.5, 279.7, 531.2, 1076.5", \ + " 55.5, 81.6, 143.1, 280.0, 531.2, 1076.4", \ + " 57.0, 83.0, 144.3, 280.7, 531.5, 1076.4", \ + " 59.2, 85.2, 146.2, 282.0, 532.2, 1076.6" ); }} +timing() { /* ring osc delay xnr2v8x05, path a to z 134.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.2 ; */ +/* intrinsic_fall : 133.9 ; */ +/* rise_resistance : 5.01 ; */ +/* fall_resistance : 4.08 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.5, 108.0, 146.4, 225.1, 363.2, 656.3", \ + " 97.7, 115.2, 153.5, 232.2, 370.4, 663.5", \ + " 103.2, 120.6, 159.0, 237.7, 375.8, 669.0", \ + " 110.4, 127.8, 166.1, 244.9, 383.0, 676.2", \ + " 122.1, 139.5, 177.7, 256.4, 394.5, 687.7", \ + " 135.2, 153.1, 191.5, 270.1, 408.2, 701.3", \ + " 149.1, 167.7, 207.0, 285.8, 423.7, 716.8", \ + " 163.2, 182.7, 223.1, 302.2, 440.1, 733.0", \ + " 176.8, 197.7, 239.8, 319.4, 457.2, 750.0", \ + " 188.3, 211.0, 255.8, 337.0, 474.7, 767.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.5, 96.0, 184.4, 390.7, 765.8, 1569.8", \ + " 62.6, 96.1, 184.5, 390.7, 765.8, 1569.9", \ + " 62.7, 96.2, 184.5, 390.7, 765.8, 1569.9", \ + " 63.0, 96.5, 184.8, 390.8, 765.8, 1569.9", \ + " 64.6, 97.8, 185.5, 391.1, 765.9, 1569.9", \ + " 69.1, 101.8, 188.2, 392.3, 766.2, 1569.9", \ + " 75.1, 107.9, 193.2, 395.1, 767.3, 1570.0", \ + " 83.4, 116.2, 200.0, 399.3, 769.2, 1570.6", \ + " 94.7, 128.1, 210.2, 405.9, 772.4, 1571.6", \ + " 110.5, 144.9, 226.2, 417.2, 778.8, 1574.0" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.8, 121.1, 157.8, 223.2, 330.7, 556.3", \ + " 109.5, 128.8, 165.5, 230.9, 338.4, 564.0", \ + " 115.6, 134.8, 171.6, 237.1, 344.6, 570.1", \ + " 123.9, 143.1, 179.9, 245.4, 352.9, 578.5", \ + " 138.3, 157.5, 194.4, 259.9, 367.5, 593.1", \ + " 157.1, 176.8, 214.1, 279.8, 387.4, 613.0", \ + " 180.3, 201.4, 240.4, 306.9, 414.5, 640.1", \ + " 208.9, 231.4, 272.7, 341.0, 449.1, 674.6", \ + " 245.5, 269.7, 313.9, 384.4, 493.5, 719.1", \ + " 293.3, 319.8, 367.7, 441.6, 551.9, 778.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.3, 88.7, 149.5, 284.5, 533.8, 1077.4", \ + " 62.3, 88.8, 149.6, 284.5, 533.8, 1077.4", \ + " 62.5, 88.9, 149.7, 284.5, 533.8, 1077.4", \ + " 62.8, 89.3, 150.0, 284.7, 533.9, 1077.4", \ + " 64.4, 90.7, 151.0, 285.1, 534.0, 1077.5", \ + " 69.7, 95.3, 154.2, 286.7, 534.6, 1077.6", \ + " 77.7, 103.8, 161.6, 291.2, 536.6, 1078.1", \ + " 87.4, 114.1, 172.1, 299.0, 541.0, 1079.6", \ + " 99.9, 127.6, 185.6, 309.9, 547.6, 1082.6", \ + " 117.0, 145.8, 204.6, 325.5, 557.9, 1087.3" ); }} +timing() { /* ring osc delay xnr2v8x05, path b to z 119.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 110.0 ; */ +/* intrinsic_fall : 108.0 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.5, 103.0, 140.2, 218.7, 356.9, 650.1", \ + " 94.5, 111.0, 148.2, 226.7, 364.9, 658.1", \ + " 101.0, 117.5, 154.8, 233.2, 371.4, 664.6", \ + " 109.6, 126.1, 163.3, 241.8, 379.9, 673.1", \ + " 123.1, 139.7, 176.9, 255.4, 393.5, 686.8", \ + " 139.0, 155.3, 192.5, 270.9, 409.1, 702.3", \ + " 158.3, 174.7, 211.7, 289.8, 427.9, 721.2", \ + " 181.9, 198.4, 235.6, 313.5, 451.4, 744.6", \ + " 212.3, 228.9, 266.3, 344.5, 482.0, 775.1", \ + " 252.1, 268.9, 306.6, 385.6, 523.6, 816.1" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.7, 92.0, 181.2, 388.9, 765.1, 1569.8", \ + " 58.7, 92.0, 181.1, 388.9, 765.1, 1569.8", \ + " 58.7, 92.0, 181.1, 388.9, 765.1, 1569.8", \ + " 58.8, 92.0, 181.2, 388.9, 765.1, 1569.8", \ + " 58.6, 92.0, 181.2, 388.9, 765.1, 1569.8", \ + " 58.1, 91.5, 180.8, 388.8, 765.1, 1569.8", \ + " 58.8, 91.8, 180.6, 388.3, 764.7, 1569.7", \ + " 59.8, 92.9, 181.2, 388.2, 764.3, 1569.2", \ + " 61.3, 94.3, 182.7, 388.8, 764.3, 1568.7", \ + " 63.5, 96.5, 185.1, 391.3, 765.8, 1569.2" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.0, 98.7, 134.1, 199.1, 306.6, 532.2", \ + " 88.2, 106.0, 141.3, 206.3, 313.7, 539.4", \ + " 93.6, 111.2, 146.5, 211.5, 318.9, 544.6", \ + " 100.3, 117.9, 153.1, 217.9, 325.4, 551.0", \ + " 111.3, 128.4, 163.3, 228.1, 335.5, 561.2", \ + " 122.4, 139.1, 173.3, 237.8, 345.2, 570.8", \ + " 131.4, 148.1, 182.3, 246.2, 353.4, 579.1", \ + " 138.5, 155.3, 189.7, 253.9, 360.4, 585.9", \ + " 143.2, 160.1, 194.7, 259.4, 366.4, 591.0", \ + " 143.5, 160.6, 195.5, 261.0, 369.6, 595.2" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 81.4, 144.0, 281.6, 532.6, 1077.1", \ + " 54.2, 81.1, 143.8, 281.5, 532.5, 1077.1", \ + " 53.6, 80.7, 143.5, 281.3, 532.5, 1077.1", \ + " 52.8, 79.9, 143.0, 281.1, 532.4, 1077.1", \ + " 51.7, 78.7, 142.0, 280.5, 532.1, 1077.0", \ + " 52.3, 78.2, 140.8, 279.5, 531.7, 1076.9", \ + " 52.7, 78.6, 140.5, 278.6, 530.6, 1076.3", \ + " 53.4, 79.3, 141.5, 278.6, 530.0, 1075.3", \ + " 54.6, 80.5, 142.7, 280.3, 530.5, 1075.1", \ + " 56.4, 82.2, 144.6, 283.5, 534.7, 1077.7" ); }} +timing() { /* ring osc delay xnr2v8x05, path b to z 98.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.7 ; */ +/* intrinsic_fall : 99.9 ; */ +/* rise_resistance : 5.00 ; */ +/* fall_resistance : 4.00 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 76.0, 113.7, 192.3, 330.4, 623.5", \ + " 65.6, 82.4, 120.1, 198.7, 336.8, 630.0", \ + " 70.6, 87.2, 124.8, 203.5, 341.7, 634.8", \ + " 76.2, 92.7, 130.3, 209.0, 347.2, 640.3", \ + " 82.7, 99.6, 137.5, 216.2, 354.4, 647.5", \ + " 89.0, 106.0, 144.3, 223.3, 361.4, 654.6", \ + " 95.1, 112.4, 150.8, 230.3, 368.5, 661.6", \ + " 99.8, 117.9, 157.0, 236.4, 375.3, 668.3", \ + " 101.8, 120.9, 161.4, 241.8, 380.6, 674.1", \ + " 98.3, 118.8, 161.5, 243.8, 383.9, 677.8" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.7, 89.1, 180.0, 388.8, 765.2, 1569.8", \ + " 54.6, 89.1, 180.0, 388.8, 765.2, 1569.8", \ + " 54.8, 89.3, 180.1, 388.8, 765.2, 1569.8", \ + " 56.3, 90.4, 180.6, 389.0, 765.3, 1569.8", \ + " 59.2, 93.0, 182.4, 389.9, 765.5, 1569.8", \ + " 62.2, 96.0, 185.0, 391.5, 766.5, 1569.9", \ + " 67.2, 100.3, 188.6, 393.8, 767.9, 1570.7", \ + " 74.2, 107.2, 193.7, 397.5, 769.9, 1571.9", \ + " 83.9, 117.2, 202.8, 403.8, 774.2, 1574.0", \ + " 97.1, 131.1, 216.6, 415.6, 783.0, 1579.3" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.8, 90.6, 124.7, 188.6, 295.7, 521.3", \ + " 80.2, 97.0, 131.1, 195.0, 302.1, 527.7", \ + " 85.9, 102.6, 136.6, 200.6, 307.7, 533.3", \ + " 93.6, 110.2, 144.2, 208.2, 315.3, 540.9", \ + " 106.0, 122.8, 156.9, 221.0, 328.2, 553.8", \ + " 120.3, 137.8, 173.1, 237.5, 344.7, 570.3", \ + " 139.2, 157.3, 193.3, 259.2, 366.7, 592.2", \ + " 164.0, 182.9, 220.0, 286.6, 395.3, 620.8", \ + " 197.3, 217.4, 256.5, 324.3, 433.3, 659.8", \ + " 242.4, 264.2, 306.4, 376.9, 486.8, 714.0" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 49.1, 75.7, 138.8, 277.9, 530.4, 1076.3", \ + " 49.0, 75.6, 138.7, 277.9, 530.4, 1076.3", \ + " 48.9, 75.5, 138.7, 277.8, 530.4, 1076.3", \ + " 49.4, 75.8, 138.8, 277.9, 530.4, 1076.3", \ + " 52.5, 78.4, 140.3, 278.5, 530.7, 1076.3", \ + " 56.3, 82.6, 144.2, 281.0, 531.7, 1076.6", \ + " 61.1, 87.2, 149.1, 284.9, 534.5, 1077.5", \ + " 67.6, 93.8, 154.8, 289.9, 537.9, 1079.9", \ + " 77.1, 103.7, 164.1, 296.6, 542.9, 1082.6", \ + " 90.3, 118.0, 178.9, 308.7, 551.2, 1087.4" ); }} +} +} +cell(xnr3v1x05) { /* 2008-01-06:08h01 characteristic delay 22.7 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 1478 ; /* xnr3v1x05 */ +cell_footprint : xnr3 ; +pin(a) { /* xnr3v1x05 FO4 effort 3.42 logical effort 2.19 */ +direction : input ; +capacitance : 3.44 ; +rise_capacitance : 3.44 ; +fall_capacitance : 3.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr3v1x05 */ +} +pin(b) { /* xnr3v1x05 FO4 effort 3.74 logical effort 3.26 */ +direction : input ; +capacitance : 5.12 ; +rise_capacitance : 5.12 ; +fall_capacitance : 5.12 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr3v1x05 */ +} +pin(c) { /* xnr3v1x05 FO4 effort 2.09 logical effort 2.49 */ +direction : input ; +capacitance : 3.75 ; +rise_capacitance : 3.75 ; +fall_capacitance : 3.74 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xnr3v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 68 ; +max_fanout : 2 ; +function : "(a^b^c)'" ; +internal_power(a_z_n) { /* xnr3v1x05 37.55 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 18.71, 18.77, 18.84, 18.90, 18.92", \ + " 18.57, 18.63, 18.70, 18.76, 18.78", \ + " 18.57, 18.62, 18.70, 18.76, 18.78", \ + " 18.65, 18.70, 18.78, 18.84, 18.86", \ + " 18.91, 18.97, 19.04, 19.10, 19.13", \ + " 19.45, 19.50, 19.58, 19.64, 19.67", \ + " 20.43, 20.48, 20.56, 20.62, 20.65", \ + " 22.08, 22.13, 22.20, 22.27, 22.31", \ + " 24.83, 24.87, 24.94, 25.01, 25.05", \ + " 29.32, 29.35, 29.42, 29.49, 29.53" ); }} +internal_power(a_z_p) { /* xnr3v1x05 37.55 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 18.71, 18.76, 18.84, 18.90, 18.92", \ + " 18.57, 18.62, 18.70, 18.76, 18.78", \ + " 18.57, 18.62, 18.70, 18.76, 18.78", \ + " 18.64, 18.70, 18.77, 18.83, 18.86", \ + " 18.91, 18.96, 19.04, 19.10, 19.13", \ + " 19.45, 19.49, 19.57, 19.63, 19.66", \ + " 20.42, 20.47, 20.54, 20.60, 20.63", \ + " 22.07, 22.11, 22.17, 22.23, 22.26", \ + " 24.81, 24.84, 24.90, 24.95, 24.98", \ + " 29.28, 29.30, 29.34, 29.39, 29.41" ); }} +internal_power(b_z_n) { /* xnr3v1x05 36.61 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 18.14, 18.19, 18.27, 18.33, 18.35", \ + " 17.99, 18.04, 18.12, 18.18, 18.20", \ + " 18.03, 18.08, 18.16, 18.22, 18.24", \ + " 18.18, 18.23, 18.31, 18.36, 18.39", \ + " 18.58, 18.63, 18.71, 18.77, 18.79", \ + " 19.33, 19.38, 19.46, 19.52, 19.55", \ + " 20.65, 20.70, 20.77, 20.83, 20.86", \ + " 22.80, 22.85, 22.92, 22.99, 23.02", \ + " 26.32, 26.37, 26.44, 26.50, 26.54", \ + " 32.06, 32.09, 32.16, 32.23, 32.27" ); }} +internal_power(b_z_p) { /* xnr3v1x05 36.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 18.14, 18.20, 18.27, 18.33, 18.35", \ + " 17.99, 18.05, 18.12, 18.18, 18.20", \ + " 18.03, 18.09, 18.16, 18.22, 18.24", \ + " 18.18, 18.23, 18.31, 18.37, 18.39", \ + " 18.58, 18.63, 18.71, 18.77, 18.80", \ + " 19.33, 19.38, 19.46, 19.52, 19.55", \ + " 20.64, 20.69, 20.77, 20.83, 20.86", \ + " 22.80, 22.84, 22.91, 22.98, 23.01", \ + " 26.31, 26.35, 26.42, 26.48, 26.51", \ + " 32.03, 32.07, 32.12, 32.18, 32.21" ); }} +internal_power(c_z_n) { /* xnr3v1x05 12.86 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 6.42, 6.45, 6.49, 6.51, 6.51", \ + " 6.26, 6.30, 6.36, 6.40, 6.42", \ + " 6.28, 6.31, 6.36, 6.41, 6.43", \ + " 6.38, 6.39, 6.43, 6.48, 6.51", \ + " 6.65, 6.64, 6.65, 6.68, 6.71", \ + " 7.19, 7.14, 7.09, 7.08, 7.08", \ + " 8.14, 8.05, 7.92, 7.80, 7.75", \ + " 9.75, 9.60, 9.34, 9.06, 8.88", \ + " 12.40, 12.18, 11.77, 11.25, 10.83", \ + " 16.69, 16.39, 15.78, 14.95, 14.17" ); }} +internal_power(c_z_p) { /* xnr3v1x05 15.54 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 7.78, 7.76, 7.71, 7.64, 7.58", \ + " 7.67, 7.65, 7.61, 7.55, 7.50", \ + " 7.71, 7.69, 7.66, 7.59, 7.53", \ + " 7.84, 7.82, 7.77, 7.70, 7.64", \ + " 8.16, 8.13, 8.06, 7.98, 7.90", \ + " 8.74, 8.69, 8.60, 8.48, 8.38", \ + " 9.76, 9.67, 9.53, 9.36, 9.20", \ + " 11.42, 11.30, 11.09, 10.81, 10.57", \ + " 14.13, 13.95, 13.65, 13.23, 12.85", \ + " 18.52, 18.26, 17.80, 17.19, 16.59" ); }} +timing() { /* ring osc delay xnr3v1x05, path a to z 184.5 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 164.2 ; */ +/* intrinsic_fall : 161.8 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 150.5, 164.7, 201.9, 284.4, 429.8, 738.1", \ + " 158.2, 172.4, 209.6, 292.1, 437.6, 745.8", \ + " 164.3, 178.5, 215.7, 298.2, 443.7, 751.9", \ + " 172.6, 186.8, 224.0, 306.5, 452.0, 760.2", \ + " 186.8, 200.9, 238.1, 320.6, 466.1, 774.4", \ + " 204.8, 218.9, 256.0, 338.5, 484.0, 792.3", \ + " 227.1, 241.2, 278.2, 360.7, 506.2, 814.5", \ + " 254.5, 268.5, 305.5, 387.9, 533.4, 841.7", \ + " 289.6, 303.6, 340.4, 422.8, 568.3, 876.6", \ + " 335.3, 349.3, 386.0, 468.2, 613.7, 922.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 97.1, 132.9, 230.1, 450.8, 843.9, 1678.8", \ + " 97.1, 132.9, 230.1, 450.8, 843.9, 1678.8", \ + " 97.1, 133.0, 230.1, 450.8, 843.9, 1678.8", \ + " 97.2, 133.0, 230.1, 450.8, 843.9, 1678.8", \ + " 97.3, 133.1, 230.1, 450.8, 843.9, 1678.8", \ + " 97.7, 133.4, 230.2, 450.8, 843.9, 1678.8", \ + " 98.5, 133.9, 230.5, 450.9, 843.9, 1678.8", \ + " 99.4, 134.6, 230.9, 451.0, 844.0, 1678.8", \ + " 100.8, 135.7, 231.4, 451.2, 844.0, 1678.8", \ + " 102.9, 137.4, 232.4, 451.5, 844.1, 1678.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 136.9, 148.3, 176.4, 236.6, 341.9, 564.6", \ + " 143.9, 155.4, 183.5, 243.7, 348.9, 571.6", \ + " 149.3, 160.7, 188.8, 249.0, 354.3, 577.0", \ + " 156.3, 167.7, 195.8, 256.0, 361.3, 584.0", \ + " 167.2, 178.7, 206.8, 267.0, 372.3, 595.0", \ + " 179.4, 190.8, 219.0, 279.2, 384.5, 607.3", \ + " 192.3, 203.8, 232.0, 292.3, 397.6, 620.4", \ + " 205.6, 217.0, 245.2, 305.6, 411.0, 633.8", \ + " 218.6, 230.1, 258.3, 318.7, 424.2, 647.0", \ + " 229.7, 241.3, 269.6, 330.1, 435.6, 658.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.5, 84.7, 151.2, 303.6, 576.0, 1156.0", \ + " 60.8, 84.9, 151.4, 303.6, 576.1, 1156.0", \ + " 61.3, 85.3, 151.6, 303.7, 576.1, 1156.0", \ + " 61.9, 85.8, 151.9, 303.8, 576.1, 1156.1", \ + " 62.9, 86.7, 152.4, 304.0, 576.2, 1156.1", \ + " 64.5, 88.0, 153.2, 304.4, 576.3, 1156.1" ); }} +timing() { /* ring osc delay xnr3v1x05, path a to z 182.3 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 164.2 ; */ +/* intrinsic_fall : 161.8 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 137.7, 149.0, 176.2, 233.1, 332.2, 542.5", \ + " 145.4, 156.6, 183.8, 240.7, 339.9, 550.2", \ + " 151.5, 162.7, 189.9, 246.8, 346.0, 556.3", \ + " 159.5, 170.7, 198.0, 254.9, 354.0, 564.3", \ + " 172.4, 183.6, 210.9, 267.8, 366.9, 577.2", \ + " 187.7, 198.9, 226.2, 283.1, 382.3, 592.6", \ + " 206.8, 218.0, 245.3, 302.3, 401.5, 611.8", \ + " 230.8, 242.0, 269.4, 326.4, 425.6, 635.9", \ + " 261.9, 273.2, 300.6, 357.7, 456.9, 667.3", \ + " 302.8, 314.1, 341.6, 398.8, 498.1, 708.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.1, 149.5, 229.0, 415.0, 752.3, 1476.2", \ + " 121.1, 149.5, 229.0, 415.0, 752.4, 1476.2", \ + " 121.1, 149.5, 229.0, 415.0, 752.4, 1476.2", \ + " 121.1, 149.5, 229.0, 415.0, 752.4, 1476.2", \ + " 121.3, 149.7, 229.1, 415.1, 752.4, 1476.2", \ + " 121.7, 150.1, 229.4, 415.3, 752.5, 1476.2", \ + " 122.3, 150.6, 229.8, 415.5, 752.7, 1476.3", \ + " 123.0, 151.2, 230.3, 415.9, 752.9, 1476.4", \ + " 124.1, 152.2, 231.2, 416.5, 753.3, 1476.6", \ + " 125.8, 153.8, 232.4, 417.3, 753.8, 1476.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 148.8, 158.8, 182.5, 230.6, 311.1, 477.4", \ + " 155.6, 165.6, 189.4, 237.5, 318.0, 484.3", \ + " 160.7, 170.7, 194.5, 242.6, 323.1, 489.4", \ + " 166.8, 176.8, 200.6, 248.7, 329.2, 495.5", \ + " 175.1, 185.1, 208.9, 257.0, 337.5, 503.8", \ + " 183.4, 193.4, 217.2, 265.3, 345.8, 512.2", \ + " 191.9, 201.9, 225.7, 273.9, 354.5, 520.9", \ + " 200.3, 210.4, 234.2, 282.5, 363.1, 529.5", \ + " 207.8, 217.9, 241.9, 290.2, 370.9, 537.4", \ + " 212.6, 222.7, 246.8, 295.4, 376.2, 542.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 94.6, 114.8, 171.3, 304.2, 546.0, 1067.4", \ + " 94.6, 114.8, 171.3, 304.2, 546.0, 1067.4", \ + " 94.6, 114.8, 171.3, 304.2, 546.0, 1067.4", \ + " 94.7, 114.8, 171.3, 304.2, 546.0, 1067.4", \ + " 94.8, 114.9, 171.4, 304.3, 546.0, 1067.4", \ + " 95.1, 115.2, 171.6, 304.4, 546.1, 1067.4", \ + " 95.4, 115.4, 171.9, 304.6, 546.3, 1067.5", \ + " 95.9, 116.0, 172.3, 304.9, 546.5, 1067.6", \ + " 96.9, 116.9, 173.1, 305.5, 546.9, 1067.8", \ + " 98.4, 118.3, 174.3, 306.5, 547.6, 1068.2" ); }} +timing() { /* ring osc delay xnr3v1x05, path a to z 158.9 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 162.5 ; */ +/* intrinsic_fall : 164.0 ; */ +/* rise_resistance : 6.18 ; */ +/* fall_resistance : 4.77 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.0, 124.3, 151.8, 208.9, 308.3, 518.7", \ + " 120.0, 131.4, 158.8, 216.0, 315.3, 525.8", \ + " 125.4, 136.7, 164.2, 221.3, 320.7, 531.1", \ + " 132.3, 143.7, 171.1, 228.3, 327.6, 538.1", \ + " 143.3, 154.6, 182.0, 239.2, 338.6, 549.0", \ + " 155.5, 166.9, 194.4, 251.7, 351.0, 561.5", \ + " 168.5, 180.1, 207.9, 265.4, 364.8, 575.2", \ + " 181.8, 193.6, 221.8, 279.6, 379.1, 589.6", \ + " 195.0, 207.1, 236.0, 294.3, 393.9, 604.5", \ + " 206.3, 219.0, 248.9, 308.3, 408.5, 619.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 123.7, 151.9, 231.1, 416.6, 753.6, 1477.1", \ + " 123.7, 152.0, 231.1, 416.7, 753.6, 1477.1", \ + " 123.9, 152.1, 231.2, 416.7, 753.7, 1477.1", \ + " 124.2, 152.4, 231.4, 416.8, 753.7, 1477.1", \ + " 125.5, 153.5, 232.2, 417.2, 753.9, 1477.2", \ + " 128.8, 156.5, 234.5, 418.7, 754.6, 1477.4", \ + " 133.6, 161.0, 238.1, 421.2, 756.1, 1478.0", \ + " 140.2, 167.1, 243.2, 424.8, 758.4, 1479.0", \ + " 150.0, 176.3, 251.0, 430.6, 762.0, 1480.7", \ + " 164.3, 190.1, 263.3, 440.1, 768.8, 1484.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 121.5, 131.7, 155.9, 204.5, 285.4, 452.1", \ + " 129.2, 139.5, 163.7, 212.3, 293.2, 459.9", \ + " 135.3, 145.6, 169.8, 218.5, 299.4, 466.0", \ + " 143.6, 153.9, 178.1, 226.8, 307.7, 474.4", \ + " 157.8, 168.1, 192.4, 241.2, 322.2, 488.9", \ + " 176.0, 186.5, 211.0, 260.0, 341.1, 508.0", \ + " 198.6, 209.5, 234.7, 284.3, 365.9, 533.1", \ + " 226.3, 237.6, 263.6, 314.1, 396.6, 564.4", \ + " 261.7, 273.6, 300.7, 352.5, 435.9, 604.7", \ + " 307.6, 320.4, 349.2, 403.0, 488.0, 658.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.2, 119.1, 175.1, 307.1, 548.0, 1068.4", \ + " 99.3, 119.2, 175.1, 307.1, 548.0, 1068.4", \ + " 99.4, 119.3, 175.2, 307.2, 548.0, 1068.4", \ + " 99.8, 119.6, 175.5, 307.3, 548.1, 1068.5", \ + " 101.2, 120.9, 176.4, 307.9, 548.4, 1068.6", \ + " 105.3, 124.6, 179.4, 309.9, 549.5, 1069.0", \ + " 111.6, 130.7, 184.8, 314.1, 552.4, 1070.3", \ + " 119.4, 138.3, 191.8, 319.8, 556.6, 1072.8", \ + " 129.9, 148.7, 201.4, 327.8, 562.6, 1076.3", \ + " 144.7, 163.5, 215.6, 339.9, 572.2, 1082.1" ); }} +timing() { /* ring osc delay xnr3v1x05, path a to z 209.5 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 162.5 ; */ +/* intrinsic_fall : 164.0 ; */ +/* rise_resistance : 6.18 ; */ +/* fall_resistance : 4.77 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 178.2, 192.4, 229.7, 312.1, 457.6, 765.8", \ + " 185.0, 199.3, 236.5, 319.0, 464.5, 772.7", \ + " 190.1, 204.4, 241.6, 324.1, 469.6, 777.8", \ + " 196.2, 210.5, 247.7, 330.2, 475.7, 783.9", \ + " 204.5, 218.8, 256.0, 338.5, 484.0, 792.2", \ + " 212.8, 227.0, 264.3, 346.7, 492.2, 800.4", \ + " 221.3, 235.5, 272.8, 355.3, 500.7, 809.0", \ + " 229.7, 243.9, 281.2, 363.7, 509.1, 817.4", \ + " 237.2, 251.4, 288.6, 371.1, 516.6, 824.8", \ + " 241.9, 256.1, 293.3, 375.8, 521.3, 829.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.9, 132.8, 230.0, 450.8, 843.9, 1678.8", \ + " 97.0, 132.9, 230.1, 450.8, 843.9, 1678.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 161.6, 173.1, 201.2, 261.3, 366.6, 589.3", \ + " 169.2, 180.7, 208.8, 269.0, 374.3, 596.9", \ + " 175.3, 186.8, 214.9, 275.1, 380.4, 603.1", \ + " 183.4, 194.9, 223.0, 283.1, 388.4, 611.1", \ + " 196.3, 207.8, 235.9, 296.0, 401.3, 624.0", \ + " 211.6, 223.1, 251.2, 311.4, 416.6, 639.3", \ + " 230.7, 242.2, 270.3, 330.4, 435.7, 658.4", \ + " 254.7, 266.2, 294.3, 354.5, 459.8, 682.5", \ + " 285.9, 297.3, 325.5, 385.7, 491.0, 713.7", \ + " 326.8, 338.3, 366.4, 426.6, 531.9, 754.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.2, 84.5, 151.1, 303.5, 576.0, 1156.0", \ + " 60.2, 84.5, 151.1, 303.5, 576.0, 1156.0", \ + " 60.3, 84.5, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.5, 84.7, 151.3, 303.6, 576.1, 1156.0" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 162.5 ; */ +/* intrinsic_fall : 164.0 ; */ +/* rise_resistance : 6.18 ; */ +/* fall_resistance : 4.77 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 178.2, 192.4, 229.7, 312.1, 457.6, 765.8", \ + " 185.0, 199.3, 236.5, 319.0, 464.5, 772.7", \ + " 190.1, 204.4, 241.6, 324.1, 469.6, 777.8", \ + " 196.2, 210.5, 247.7, 330.2, 475.7, 783.9", \ + " 204.5, 218.8, 256.0, 338.5, 484.0, 792.2", \ + " 212.8, 227.0, 264.3, 346.7, 492.2, 800.4", \ + " 221.3, 235.5, 272.8, 355.3, 500.7, 809.0", \ + " 229.7, 243.9, 281.2, 363.7, 509.1, 817.4", \ + " 237.2, 251.4, 288.6, 371.1, 516.6, 824.8", \ + " 241.9, 256.1, 293.3, 375.8, 521.3, 829.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.7, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.9, 132.8, 230.0, 450.8, 843.9, 1678.8", \ + " 97.0, 132.9, 230.1, 450.8, 843.9, 1678.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 161.6, 173.1, 201.2, 261.3, 366.6, 589.3", \ + " 169.2, 180.7, 208.8, 269.0, 374.3, 596.9", \ + " 175.3, 186.8, 214.9, 275.1, 380.4, 603.1", \ + " 183.4, 194.9, 223.0, 283.1, 388.4, 611.1", \ + " 196.3, 207.8, 235.9, 296.0, 401.3, 624.0", \ + " 211.6, 223.1, 251.2, 311.4, 416.6, 639.3", \ + " 230.7, 242.2, 270.3, 330.4, 435.7, 658.4", \ + " 254.7, 266.2, 294.3, 354.5, 459.8, 682.5", \ + " 285.9, 297.3, 325.5, 385.7, 491.0, 713.7", \ + " 326.8, 338.3, 366.4, 426.6, 531.9, 754.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.2, 84.5, 151.1, 303.5, 576.0, 1156.0", \ + " 60.2, 84.5, 151.1, 303.5, 576.0, 1156.0", \ + " 60.3, 84.5, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.5, 84.7, 151.3, 303.6, 576.1, 1156.0" ); }} +timing() { /* ring osc delay xnr3v1x05, path b to z 169.0 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 137.3 ; */ +/* intrinsic_fall : 161.1 ; */ +/* rise_resistance : 6.18 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 122.1, 136.4, 173.7, 256.1, 401.6, 709.8", \ + " 129.0, 143.3, 180.6, 263.1, 408.5, 716.7", \ + " 134.4, 148.7, 186.0, 268.5, 413.9, 722.1", \ + " 141.3, 155.6, 192.9, 275.3, 420.8, 729.0", \ + " 151.5, 165.8, 203.0, 285.5, 431.0, 739.2", \ + " 162.6, 176.8, 214.1, 296.6, 442.0, 750.3", \ + " 175.8, 189.9, 227.1, 309.6, 455.1, 763.3", \ + " 190.9, 205.0, 242.1, 324.6, 470.1, 778.4", \ + " 208.6, 222.6, 259.6, 342.1, 487.6, 795.9", \ + " 229.0, 243.0, 279.9, 362.2, 507.7, 816.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.2, 132.3, 229.8, 450.8, 843.9, 1678.8", \ + " 96.2, 132.3, 229.8, 450.8, 843.9, 1678.8", \ + " 96.2, 132.3, 229.8, 450.8, 843.9, 1678.8", \ + " 96.2, 132.3, 229.8, 450.8, 843.9, 1678.8", \ + " 96.5, 132.5, 229.9, 450.8, 843.9, 1678.8", \ + " 96.9, 132.8, 230.0, 450.8, 843.9, 1678.8", \ + " 97.3, 133.1, 230.2, 450.8, 843.9, 1678.8", \ + " 98.0, 133.6, 230.4, 450.9, 843.9, 1678.8", \ + " 99.0, 134.4, 230.7, 451.0, 844.0, 1678.8", \ + " 100.5, 135.5, 231.3, 451.1, 844.0, 1678.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 139.8, 151.3, 179.4, 239.5, 344.8, 567.5", \ + " 145.9, 157.4, 185.5, 245.6, 350.9, 573.5", \ + " 150.5, 161.9, 190.0, 250.2, 355.4, 578.1", \ + " 156.2, 167.6, 195.7, 255.9, 361.1, 583.8", \ + " 165.3, 176.8, 204.9, 265.1, 370.4, 593.0", \ + " 176.1, 187.6, 215.7, 276.0, 381.3, 604.0", \ + " 189.1, 200.6, 228.7, 289.0, 394.3, 617.0", \ + " 204.7, 216.3, 244.5, 304.8, 410.1, 632.9", \ + " 223.9, 235.5, 263.7, 324.1, 429.5, 652.3", \ + " 247.0, 258.6, 286.9, 347.4, 452.9, 675.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.1, 84.4, 151.1, 303.5, 576.0, 1156.0", \ + " 60.5, 84.7, 151.2, 303.5, 576.0, 1156.0", \ + " 60.9, 85.0, 151.4, 303.6, 576.1, 1156.0", \ + " 61.4, 85.5, 151.7, 303.7, 576.1, 1156.0", \ + " 62.3, 86.2, 152.1, 303.9, 576.2, 1156.1", \ + " 63.8, 87.3, 152.8, 304.3, 576.3, 1156.1" ); }} +timing() { /* ring osc delay xnr3v1x05, path b to z 169.8 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 137.3 ; */ +/* intrinsic_fall : 161.1 ; */ +/* rise_resistance : 6.18 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.7, 125.0, 152.5, 209.7, 309.0, 519.5", \ + " 121.9, 133.2, 160.7, 217.8, 317.2, 527.7", \ + " 128.4, 139.7, 167.1, 224.3, 323.7, 534.2", \ + " 136.8, 148.1, 175.5, 232.7, 332.1, 542.6", \ + " 150.3, 161.6, 189.0, 246.2, 345.6, 556.1", \ + " 165.8, 177.1, 204.5, 261.6, 361.0, 571.5", \ + " 184.8, 196.1, 223.4, 280.4, 379.7, 590.2", \ + " 208.1, 219.3, 246.7, 303.7, 402.8, 613.3", \ + " 237.8, 249.1, 276.5, 333.6, 432.7, 643.0", \ + " 276.5, 287.8, 315.3, 372.5, 471.8, 682.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 123.7, 152.0, 231.1, 416.7, 753.7, 1477.1", \ + " 123.7, 151.9, 231.1, 416.7, 753.7, 1477.1", \ + " 123.6, 151.9, 231.1, 416.7, 753.7, 1477.1", \ + " 123.6, 151.9, 231.1, 416.7, 753.7, 1477.1", \ + " 123.4, 151.7, 231.0, 416.6, 753.6, 1477.1", \ + " 122.8, 151.2, 230.6, 416.4, 753.5, 1477.1", \ + " 122.9, 151.2, 230.5, 416.1, 753.2, 1476.9", \ + " 123.6, 151.9, 230.8, 416.3, 753.2, 1476.6", \ + " 124.6, 152.8, 231.7, 416.7, 753.4, 1476.6", \ + " 126.1, 154.1, 232.8, 417.6, 753.9, 1476.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 148.9, 158.9, 182.7, 230.8, 311.3, 477.6", \ + " 155.9, 165.9, 189.7, 237.8, 318.3, 484.6", \ + " 160.6, 170.6, 194.3, 242.4, 322.9, 489.3", \ + " 165.4, 175.4, 199.2, 247.3, 327.8, 494.1", \ + " 171.3, 181.3, 205.1, 253.2, 333.7, 500.1", \ + " 177.1, 187.1, 210.9, 259.0, 339.5, 505.8", \ + " 183.4, 193.4, 217.0, 265.0, 345.4, 511.7", \ + " 188.9, 198.9, 222.7, 270.7, 351.0, 517.2", \ + " 192.7, 202.8, 226.6, 274.8, 355.4, 521.6", \ + " 192.9, 203.1, 227.1, 275.8, 357.0, 524.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 94.7, 114.8, 171.4, 304.2, 546.0, 1067.4", \ + " 94.7, 114.8, 171.3, 304.2, 546.0, 1067.4", \ + " 94.7, 114.8, 171.4, 304.2, 546.0, 1067.4", \ + " 94.6, 114.8, 171.4, 304.2, 546.0, 1067.4", \ + " 94.8, 114.9, 171.4, 304.3, 546.0, 1067.4", \ + " 94.5, 114.6, 171.2, 304.2, 546.0, 1067.4", \ + " 94.5, 114.5, 171.0, 303.8, 545.6, 1067.1", \ + " 95.1, 115.1, 171.4, 304.0, 545.6, 1066.8", \ + " 96.0, 116.0, 172.3, 304.7, 546.1, 1067.2", \ + " 97.4, 117.4, 173.8, 306.7, 548.2, 1069.2" ); }} +timing() { /* ring osc delay xnr3v1x05, path b to z 140.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 161.7 ; */ +/* intrinsic_fall : 136.2 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.9, 127.2, 154.4, 211.3, 310.4, 520.7", \ + " 122.0, 133.3, 160.5, 217.3, 316.5, 526.8", \ + " 126.6, 137.8, 165.0, 221.9, 321.0, 531.3", \ + " 132.3, 143.5, 170.7, 227.6, 326.7, 537.1", \ + " 141.4, 152.7, 179.9, 236.8, 335.9, 546.3", \ + " 152.3, 163.7, 191.2, 248.1, 347.3, 557.6", \ + " 165.3, 176.9, 204.7, 262.1, 361.3, 571.6", \ + " 181.1, 192.9, 221.0, 278.7, 378.2, 588.6", \ + " 200.4, 212.5, 241.3, 299.6, 399.3, 610.0", \ + " 223.7, 236.4, 266.3, 326.0, 426.6, 637.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.7, 149.2, 228.7, 414.8, 752.3, 1476.1", \ + " 120.8, 149.2, 228.8, 414.9, 752.3, 1476.1", \ + " 120.8, 149.2, 228.8, 414.9, 752.3, 1476.1", \ + " 120.9, 149.4, 228.8, 414.9, 752.3, 1476.2", \ + " 122.0, 150.3, 229.4, 415.2, 752.4, 1476.2", \ + " 125.0, 153.0, 231.7, 416.7, 753.1, 1476.4", \ + " 128.8, 156.7, 234.8, 418.9, 754.7, 1477.1", \ + " 134.0, 161.5, 238.9, 421.9, 756.6, 1478.2", \ + " 142.0, 169.1, 245.4, 427.0, 760.0, 1479.9", \ + " 154.0, 180.8, 256.3, 435.9, 766.9, 1484.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 92.2, 102.0, 125.4, 173.3, 253.7, 419.8", \ + " 99.2, 108.9, 132.4, 180.3, 260.6, 426.8", \ + " 104.6, 114.4, 137.8, 185.7, 266.1, 432.3", \ + " 111.5, 121.3, 144.8, 192.7, 273.1, 439.4", \ + " 121.9, 131.8, 155.5, 203.6, 284.1, 450.5", \ + " 133.2, 143.3, 167.5, 216.1, 297.0, 463.6", \ + " 146.5, 156.8, 181.1, 230.3, 311.9, 479.0", \ + " 161.9, 172.4, 197.1, 246.6, 328.6, 496.4", \ + " 179.8, 190.7, 216.0, 266.0, 348.2, 516.6", \ + " 200.5, 212.0, 238.2, 289.0, 371.7, 540.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.1, 110.7, 168.2, 302.2, 545.0, 1067.0", \ + " 90.0, 110.7, 168.1, 302.2, 544.9, 1067.0", \ + " 90.2, 110.8, 168.2, 302.2, 544.9, 1067.0", \ + " 90.9, 111.3, 168.6, 302.5, 545.1, 1067.1", \ + " 93.7, 113.9, 170.6, 303.7, 545.8, 1067.4", \ + " 97.3, 117.4, 173.7, 306.3, 547.7, 1068.3", \ + " 101.5, 121.3, 177.3, 309.1, 550.0, 1070.2", \ + " 107.5, 126.9, 181.7, 312.7, 552.4, 1071.8", \ + " 116.0, 134.8, 188.4, 317.4, 555.7, 1073.5", \ + " 127.9, 146.3, 198.3, 324.6, 560.3, 1075.8" ); }} +timing() { /* ring osc delay xnr3v1x05, path b to z 196.9 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 161.7 ; */ +/* intrinsic_fall : 136.2 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 178.4, 192.6, 229.9, 312.3, 457.8, 766.0", \ + " 185.3, 199.6, 236.8, 319.3, 464.8, 773.0", \ + " 190.0, 204.2, 241.5, 324.0, 469.4, 777.7", \ + " 194.8, 209.1, 246.3, 328.8, 474.3, 782.5", \ + " 200.8, 215.0, 252.3, 334.8, 480.2, 788.4", \ + " 206.6, 220.8, 258.1, 340.6, 486.0, 794.3", \ + " 212.9, 227.1, 264.3, 346.8, 492.3, 800.5", \ + " 218.3, 232.6, 269.8, 352.3, 497.8, 806.0", \ + " 222.1, 236.3, 273.5, 356.0, 501.5, 809.7", \ + " 222.2, 236.4, 273.7, 356.2, 501.6, 809.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.8, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.9, 132.8, 230.0, 450.8, 843.9, 1678.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 137.6, 149.1, 177.2, 237.4, 342.7, 565.4", \ + " 145.8, 157.3, 185.4, 245.6, 350.9, 573.6", \ + " 152.3, 163.8, 191.9, 252.1, 357.4, 580.1", \ + " 160.7, 172.2, 200.3, 260.5, 365.8, 588.5", \ + " 174.2, 185.7, 213.8, 274.0, 379.3, 602.0", \ + " 189.8, 201.3, 229.4, 289.6, 394.8, 617.5", \ + " 208.8, 220.3, 248.4, 308.6, 413.9, 636.5", \ + " 232.0, 243.5, 271.6, 331.8, 437.1, 659.8", \ + " 261.7, 273.2, 301.3, 361.6, 466.9, 689.6", \ + " 300.5, 312.0, 340.1, 400.4, 505.7, 728.4" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.5, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.7, 151.2, 303.6, 576.0, 1156.0", \ + " 60.5, 84.8, 151.3, 303.6, 576.1, 1156.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 161.7 ; */ +/* intrinsic_fall : 136.2 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.75 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 178.4, 192.6, 229.9, 312.3, 457.8, 766.0", \ + " 185.3, 199.6, 236.8, 319.3, 464.8, 773.0", \ + " 190.0, 204.2, 241.5, 324.0, 469.4, 777.7", \ + " 194.8, 209.1, 246.3, 328.8, 474.3, 782.5", \ + " 200.8, 215.0, 252.3, 334.8, 480.2, 788.4", \ + " 206.6, 220.8, 258.1, 340.6, 486.0, 794.3", \ + " 212.9, 227.1, 264.3, 346.8, 492.3, 800.5", \ + " 218.3, 232.6, 269.8, 352.3, 497.8, 806.0", \ + " 222.1, 236.3, 273.5, 356.0, 501.5, 809.7", \ + " 222.2, 236.4, 273.7, 356.2, 501.6, 809.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.6, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.7, 132.6, 229.9, 450.8, 843.9, 1678.8", \ + " 96.8, 132.7, 230.0, 450.8, 843.9, 1678.8", \ + " 96.9, 132.8, 230.0, 450.8, 843.9, 1678.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 137.6, 149.1, 177.2, 237.4, 342.7, 565.4", \ + " 145.8, 157.3, 185.4, 245.6, 350.9, 573.6", \ + " 152.3, 163.8, 191.9, 252.1, 357.4, 580.1", \ + " 160.7, 172.2, 200.3, 260.5, 365.8, 588.5", \ + " 174.2, 185.7, 213.8, 274.0, 379.3, 602.0", \ + " 189.8, 201.3, 229.4, 289.6, 394.8, 617.5", \ + " 208.8, 220.3, 248.4, 308.6, 413.9, 636.5", \ + " 232.0, 243.5, 271.6, 331.8, 437.1, 659.8", \ + " 261.7, 273.2, 301.3, 361.6, 466.9, 689.6", \ + " 300.5, 312.0, 340.1, 400.4, 505.7, 728.4" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.5, 151.2, 303.5, 576.0, 1156.0", \ + " 60.3, 84.6, 151.2, 303.5, 576.0, 1156.0", \ + " 60.4, 84.7, 151.2, 303.6, 576.0, 1156.0", \ + " 60.5, 84.8, 151.3, 303.6, 576.1, 1156.0" ); }} +timing() { /* ring osc delay xnr3v1x05, path c to z 79.6 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.6 ; */ +/* intrinsic_fall : 35.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 3.74 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.1, 82.1, 119.4, 201.5, 346.7, 654.8", \ + " 73.9, 89.0, 126.6, 209.0, 354.4, 662.6", \ + " 80.1, 95.0, 132.6, 215.1, 360.6, 668.8", \ + " 88.5, 103.3, 140.8, 223.3, 368.9, 677.2", \ + " 102.4, 117.4, 154.9, 237.3, 383.0, 691.4", \ + " 118.8, 135.5, 174.3, 256.8, 402.4, 710.9", \ + " 140.2, 158.6, 201.4, 285.6, 431.2, 739.8", \ + " 168.4, 188.8, 236.2, 327.5, 473.7, 782.3", \ + " 207.2, 230.5, 283.6, 384.3, 538.0, 846.7", \ + " 261.5, 289.0, 350.1, 462.3, 631.1, 945.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.1, 138.9, 233.3, 451.8, 844.0, 1678.8", \ + " 106.2, 139.7, 233.6, 451.8, 844.0, 1678.8", \ + " 106.4, 140.0, 233.8, 451.8, 844.0, 1678.8", \ + " 109.4, 141.5, 234.3, 452.0, 844.0, 1678.8", \ + " 116.1, 147.1, 237.5, 452.7, 844.1, 1678.8", \ + " 126.6, 156.4, 245.1, 457.2, 844.8, 1678.8", \ + " 142.6, 173.1, 258.9, 467.7, 850.6, 1679.0", \ + " 160.6, 194.7, 283.4, 487.6, 864.9, 1684.0", \ + " 187.1, 223.9, 320.2, 523.0, 893.2, 1701.3", \ + " 229.5, 268.5, 370.2, 584.2, 944.7, 1738.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 28.4, 45.8, 87.8, 164.1, 326.3", \ + " 29.3, 35.3, 52.2, 94.1, 170.4, 332.6", \ + " 33.2, 40.2, 57.0, 98.4, 174.7, 337.0", \ + " 36.5, 44.7, 63.4, 104.0, 180.2, 342.4", \ + " 39.6, 49.4, 71.7, 113.8, 189.3, 351.4", \ + " 40.9, 52.5, 78.7, 127.2, 201.7, 363.2", \ + " 39.5, 53.1, 83.9, 140.2, 221.1, 379.9", \ + " 33.4, 49.4, 85.4, 150.8, 244.0, 404.9", \ + " 19.7, 38.5, 80.5, 156.7, 264.5, 444.6", \ + " -6.5, 15.5, 64.7, 153.6, 279.2, 487.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.6, 58.1, 127.3, 270.6, 519.7, 1050.7", \ + " 41.7, 62.9, 129.2, 270.6, 519.4, 1050.3", \ + " 49.9, 69.6, 133.4, 272.6, 519.2, 1049.6", \ + " 60.7, 80.1, 141.2, 277.4, 520.9, 1048.6", \ + " 76.5, 98.3, 157.8, 289.0, 527.5, 1048.5", \ + " 96.9, 120.3, 184.1, 310.3, 541.4, 1053.8", \ + " 124.6, 150.1, 220.3, 347.3, 569.3, 1068.7", \ + " 161.3, 189.7, 267.1, 405.5, 619.6, 1100.6", \ + " 211.5, 243.4, 329.5, 484.8, 704.3, 1165.1", \ + " 281.0, 317.7, 413.6, 591.0, 834.6, 1284.0" ); }} +timing() { /* ring osc delay xnr3v1x05, path c to z 79.6 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.6 ; */ +/* intrinsic_fall : 35.0 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 3.74 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.1, 82.1, 119.4, 201.5, 346.7, 654.8", \ + " 73.9, 89.0, 126.6, 209.0, 354.4, 662.6", \ + " 80.1, 95.0, 132.6, 215.1, 360.6, 668.8", \ + " 88.5, 103.3, 140.8, 223.3, 368.9, 677.2", \ + " 102.4, 117.4, 154.9, 237.3, 383.0, 691.4", \ + " 118.8, 135.5, 174.3, 256.8, 402.4, 710.9", \ + " 140.2, 158.6, 201.4, 285.6, 431.2, 739.8", \ + " 168.4, 188.8, 236.2, 327.5, 473.7, 782.3", \ + " 207.2, 230.5, 283.6, 384.3, 538.0, 846.7", \ + " 261.5, 289.0, 350.1, 462.3, 631.1, 945.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.1, 138.9, 233.3, 451.8, 844.0, 1678.8", \ + " 106.2, 139.7, 233.6, 451.8, 844.0, 1678.8", \ + " 106.4, 140.0, 233.8, 451.8, 844.0, 1678.8", \ + " 109.4, 141.5, 234.3, 452.0, 844.0, 1678.8", \ + " 116.1, 147.1, 237.5, 452.7, 844.1, 1678.8", \ + " 126.6, 156.4, 245.1, 457.2, 844.8, 1678.8", \ + " 142.6, 173.1, 258.9, 467.7, 850.6, 1679.0", \ + " 160.6, 194.7, 283.4, 487.6, 864.9, 1684.0", \ + " 187.1, 223.9, 320.2, 523.0, 893.2, 1701.3", \ + " 229.5, 268.5, 370.2, 584.2, 944.7, 1738.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.2, 28.4, 45.8, 87.8, 164.1, 326.3", \ + " 29.3, 35.3, 52.2, 94.1, 170.4, 332.6", \ + " 33.2, 40.2, 57.0, 98.4, 174.7, 337.0", \ + " 36.5, 44.7, 63.4, 104.0, 180.2, 342.4", \ + " 39.6, 49.4, 71.7, 113.8, 189.3, 351.4", \ + " 40.9, 52.5, 78.7, 127.2, 201.7, 363.2", \ + " 39.5, 53.1, 83.9, 140.2, 221.1, 379.9", \ + " 33.4, 49.4, 85.4, 150.8, 244.0, 404.9", \ + " 19.7, 38.5, 80.5, 156.7, 264.5, 444.6", \ + " -6.5, 15.5, 64.7, 153.6, 279.2, 487.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.6, 58.1, 127.3, 270.6, 519.7, 1050.8", \ + " 41.7, 62.9, 129.2, 270.6, 519.4, 1050.3", \ + " 49.9, 69.6, 133.4, 272.6, 519.2, 1049.6", \ + " 60.7, 80.1, 141.2, 277.4, 520.9, 1048.6", \ + " 76.5, 98.3, 157.8, 289.0, 527.5, 1048.5", \ + " 96.9, 120.3, 184.1, 310.3, 541.4, 1053.8", \ + " 124.6, 150.1, 220.3, 347.3, 569.3, 1068.7", \ + " 161.3, 189.7, 267.1, 405.5, 619.6, 1100.6", \ + " 211.5, 243.4, 329.5, 484.8, 704.3, 1165.1", \ + " 281.0, 317.7, 413.6, 591.0, 834.6, 1284.0" ); }} +timing() { /* ring osc delay xnr3v1x05, path c to z 97.2 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.2 ; */ +/* intrinsic_fall : 91.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 55.6, 76.8, 129.0, 226.1, 435.3", \ + " 55.4, 63.1, 84.3, 136.3, 233.1, 442.0", \ + " 61.3, 68.9, 90.0, 141.7, 238.1, 446.7", \ + " 69.0, 76.6, 97.3, 148.5, 244.5, 452.7", \ + " 81.7, 89.4, 109.3, 159.3, 254.5, 462.0", \ + " 93.8, 102.8, 125.1, 173.8, 267.5, 473.8", \ + " 104.8, 115.1, 140.4, 194.6, 286.1, 490.2", \ + " 114.4, 125.8, 153.4, 214.0, 313.6, 513.8", \ + " 122.5, 134.9, 164.5, 229.0, 341.5, 550.1", \ + " 127.5, 141.2, 172.9, 240.1, 360.0, 598.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.7, 148.8, 226.1, 409.0, 747.0, 1474.2", \ + " 120.1, 146.5, 223.0, 407.5, 746.1, 1473.9", \ + " 121.4, 147.6, 223.1, 405.9, 745.1, 1473.6", \ + " 124.0, 150.2, 224.7, 405.2, 743.4, 1473.0", \ + " 128.9, 155.4, 229.2, 407.3, 742.2, 1471.4", \ + " 132.2, 161.2, 237.6, 414.4, 745.9, 1469.7", \ + " 137.0, 166.1, 247.1, 429.8, 757.9, 1475.1", \ + " 143.8, 173.7, 255.9, 449.7, 782.5, 1492.3", \ + " 154.4, 185.2, 268.7, 464.4, 819.6, 1529.0", \ + " 170.2, 202.0, 286.8, 483.6, 845.6, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 81.6, 109.2, 168.7, 273.4, 495.8", \ + " 77.9, 89.4, 117.1, 176.7, 281.5, 503.9", \ + " 84.0, 95.5, 123.4, 183.0, 287.9, 510.3", \ + " 91.8, 103.4, 131.4, 191.1, 296.1, 518.5", \ + " 103.8, 115.7, 143.8, 203.7, 308.7, 531.2", \ + " 117.7, 129.9, 158.7, 218.8, 323.8, 546.3", \ + " 135.2, 147.8, 177.1, 237.7, 342.7, 565.2", \ + " 156.8, 170.1, 200.3, 261.1, 366.5, 589.0", \ + " 184.4, 198.7, 230.3, 292.1, 397.5, 620.2", \ + " 220.1, 235.6, 269.6, 333.4, 439.5, 662.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 86.7, 153.2, 304.6, 576.4, 1156.1", \ + " 62.1, 86.8, 153.1, 304.6, 576.3, 1156.1", \ + " 62.4, 87.0, 153.2, 304.6, 576.3, 1156.1", \ + " 63.4, 87.7, 153.6, 304.7, 576.4, 1156.1", \ + " 66.6, 90.3, 155.2, 305.2, 576.5, 1156.1", \ + " 70.1, 94.0, 157.9, 306.8, 577.1, 1156.1", \ + " 75.6, 98.7, 161.8, 309.1, 578.2, 1156.5", \ + " 82.8, 105.8, 167.2, 312.6, 579.9, 1157.1", \ + " 92.7, 115.9, 176.4, 318.4, 583.1, 1158.3", \ + " 106.4, 130.2, 190.5, 329.7, 589.8, 1161.3" ); }} +timing() { /* ring osc delay xnr3v1x05, path c to z 97.2 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.2 ; */ +/* intrinsic_fall : 91.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 55.6, 76.8, 129.0, 226.1, 435.2", \ + " 55.4, 63.1, 84.3, 136.3, 233.0, 441.9", \ + " 61.2, 68.9, 90.0, 141.7, 238.1, 446.7", \ + " 69.0, 76.6, 97.3, 148.5, 244.5, 452.7", \ + " 81.7, 89.4, 109.3, 159.3, 254.5, 462.0", \ + " 93.8, 102.8, 125.1, 173.8, 267.5, 473.8", \ + " 104.8, 115.0, 140.4, 194.6, 286.1, 490.2", \ + " 114.4, 125.8, 153.4, 214.0, 313.6, 513.8", \ + " 122.5, 134.9, 164.5, 229.0, 341.5, 550.1", \ + " 127.5, 141.2, 172.9, 240.1, 360.0, 598.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.7, 148.8, 226.1, 409.0, 747.0, 1474.2", \ + " 120.1, 146.5, 223.0, 407.5, 746.1, 1473.9", \ + " 121.4, 147.6, 223.1, 405.9, 745.1, 1473.6", \ + " 124.0, 150.2, 224.7, 405.2, 743.4, 1473.0", \ + " 128.9, 155.4, 229.2, 407.3, 742.2, 1471.4", \ + " 132.2, 161.2, 237.6, 414.4, 745.9, 1469.7", \ + " 137.0, 166.0, 247.1, 429.8, 757.9, 1475.1", \ + " 143.8, 173.7, 255.9, 449.7, 782.5, 1492.3", \ + " 154.4, 185.2, 268.7, 464.4, 819.6, 1529.0", \ + " 170.2, 202.0, 286.8, 483.6, 845.6, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 81.6, 109.2, 168.7, 273.4, 495.8", \ + " 77.9, 89.4, 117.1, 176.7, 281.5, 503.9", \ + " 84.0, 95.5, 123.4, 183.0, 287.9, 510.3", \ + " 91.8, 103.4, 131.4, 191.1, 296.1, 518.5", \ + " 103.8, 115.7, 143.8, 203.7, 308.7, 531.2", \ + " 117.7, 129.9, 158.7, 218.8, 323.8, 546.3", \ + " 135.2, 147.8, 177.1, 237.7, 342.7, 565.2", \ + " 156.8, 170.1, 200.3, 261.1, 366.5, 589.0", \ + " 184.4, 198.7, 230.4, 292.1, 397.5, 620.2", \ + " 220.1, 235.6, 269.6, 333.4, 439.5, 662.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 86.7, 153.2, 304.6, 576.4, 1156.1", \ + " 62.1, 86.8, 153.1, 304.6, 576.3, 1156.1", \ + " 62.4, 87.0, 153.2, 304.6, 576.3, 1156.1", \ + " 63.4, 87.7, 153.6, 304.7, 576.4, 1156.1", \ + " 66.6, 90.3, 155.2, 305.2, 576.5, 1156.1", \ + " 70.1, 94.0, 157.9, 306.8, 577.1, 1156.1", \ + " 75.6, 98.7, 161.8, 309.1, 578.2, 1156.5", \ + " 82.8, 105.8, 167.2, 312.6, 579.9, 1157.1", \ + " 92.7, 115.9, 176.4, 318.4, 583.1, 1158.3", \ + " 106.4, 130.2, 190.5, 329.7, 589.8, 1161.3" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 63.2 ; */ +/* intrinsic_fall : 91.0 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 55.6, 76.8, 129.0, 226.1, 435.2", \ + " 55.4, 63.1, 84.3, 136.3, 233.0, 441.9", \ + " 61.2, 68.9, 90.0, 141.7, 238.1, 446.7", \ + " 69.0, 76.6, 97.3, 148.5, 244.5, 452.7", \ + " 81.7, 89.4, 109.3, 159.3, 254.5, 462.0", \ + " 93.8, 102.8, 125.1, 173.8, 267.5, 473.8", \ + " 104.8, 115.0, 140.4, 194.6, 286.1, 490.2", \ + " 114.4, 125.8, 153.4, 214.0, 313.6, 513.8", \ + " 122.5, 134.9, 164.5, 229.0, 341.5, 550.1", \ + " 127.5, 141.2, 172.9, 240.1, 360.0, 598.6" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.7, 148.8, 226.1, 409.0, 747.0, 1474.2", \ + " 120.1, 146.5, 223.0, 407.5, 746.1, 1473.9", \ + " 121.4, 147.6, 223.1, 405.9, 745.1, 1473.6", \ + " 124.0, 150.2, 224.7, 405.2, 743.4, 1473.0", \ + " 128.9, 155.4, 229.2, 407.3, 742.2, 1471.4", \ + " 132.2, 161.2, 237.6, 414.4, 745.9, 1469.7", \ + " 137.0, 166.0, 247.1, 429.8, 757.9, 1475.1", \ + " 143.8, 173.7, 255.9, 449.7, 782.5, 1492.3", \ + " 154.4, 185.2, 268.7, 464.4, 819.6, 1529.0", \ + " 170.2, 202.0, 286.8, 483.6, 845.6, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 81.6, 109.2, 168.7, 273.4, 495.8", \ + " 77.9, 89.4, 117.1, 176.7, 281.5, 503.9", \ + " 84.0, 95.5, 123.4, 183.0, 287.9, 510.3", \ + " 91.8, 103.4, 131.4, 191.1, 296.1, 518.5", \ + " 103.8, 115.7, 143.8, 203.7, 308.7, 531.2", \ + " 117.7, 129.9, 158.7, 218.8, 323.8, 546.3", \ + " 135.2, 147.8, 177.1, 237.7, 342.7, 565.2", \ + " 156.8, 170.1, 200.3, 261.1, 366.5, 589.0", \ + " 184.4, 198.7, 230.4, 292.1, 397.5, 620.2", \ + " 220.1, 235.6, 269.6, 333.4, 439.5, 662.2" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 86.7, 153.2, 304.6, 576.4, 1156.1", \ + " 62.1, 86.8, 153.1, 304.6, 576.3, 1156.1", \ + " 62.4, 87.0, 153.2, 304.6, 576.3, 1156.1", \ + " 63.4, 87.7, 153.6, 304.7, 576.4, 1156.1", \ + " 66.6, 90.3, 155.2, 305.2, 576.5, 1156.1", \ + " 70.1, 94.0, 157.9, 306.8, 577.1, 1156.1", \ + " 75.6, 98.7, 161.8, 309.1, 578.2, 1156.5", \ + " 82.8, 105.8, 167.2, 312.6, 579.9, 1157.1", \ + " 92.7, 115.9, 176.4, 318.4, 583.1, 1158.3", \ + " 106.4, 130.2, 190.5, 329.7, 589.8, 1161.3" ); }} +} +} +cell(xnr3v1x1) { /* 2008-01-06:08h02 characteristic delay 18.5 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 2287 ; /* xnr3v1x1 */ +cell_footprint : xnr3 ; +pin(a) { /* xnr3v1x1 FO4 effort 3.12 logical effort 1.69 */ +direction : input ; +capacitance : 4.75 ; +rise_capacitance : 4.75 ; +fall_capacitance : 4.75 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr3v1x1 */ +} +pin(b) { /* xnr3v1x1 FO4 effort 3.38 logical effort 2.51 */ +direction : input ; +capacitance : 7.05 ; +rise_capacitance : 7.05 ; +fall_capacitance : 7.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr3v1x1 */ +} +pin(c) { /* xnr3v1x1 FO4 effort 1.93 logical effort 2.29 */ +direction : input ; +capacitance : 6.02 ; +rise_capacitance : 6.03 ; +fall_capacitance : 6.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xnr3v1x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 120 ; +max_fanout : 4 ; +function : "(a^b^c)'" ; +internal_power(a_z_n) { /* xnr3v1x1 56.63 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 28.22, 28.30, 28.43, 28.53, 28.58", \ + " 28.01, 28.10, 28.23, 28.33, 28.37", \ + " 28.00, 28.09, 28.22, 28.32, 28.36", \ + " 28.10, 28.19, 28.32, 28.42, 28.47", \ + " 28.47, 28.55, 28.68, 28.79, 28.84", \ + " 29.22, 29.29, 29.42, 29.53, 29.59", \ + " 30.57, 30.64, 30.76, 30.88, 30.94", \ + " 32.84, 32.90, 33.02, 33.14, 33.20", \ + " 36.61, 36.67, 36.78, 36.90, 36.97", \ + " 42.76, 42.81, 42.91, 43.03, 43.10" ); }} +internal_power(a_z_p) { /* xnr3v1x1 56.62 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 28.21, 28.30, 28.43, 28.53, 28.57", \ + " 28.01, 28.09, 28.22, 28.33, 28.37", \ + " 28.00, 28.08, 28.21, 28.32, 28.36", \ + " 28.10, 28.18, 28.31, 28.41, 28.46", \ + " 28.46, 28.54, 28.67, 28.77, 28.82", \ + " 29.20, 29.28, 29.40, 29.50, 29.56", \ + " 30.54, 30.61, 30.72, 30.82, 30.88", \ + " 32.80, 32.86, 32.96, 33.05, 33.11", \ + " 36.55, 36.60, 36.68, 36.76, 36.81", \ + " 42.68, 42.70, 42.76, 42.82, 42.86" ); }} +internal_power(b_z_n) { /* xnr3v1x1 56.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 28.21, 28.30, 28.43, 28.53, 28.58", \ + " 27.98, 28.07, 28.19, 28.30, 28.34", \ + " 28.01, 28.10, 28.22, 28.33, 28.37", \ + " 28.18, 28.27, 28.39, 28.50, 28.54", \ + " 28.70, 28.78, 28.90, 29.01, 29.06", \ + " 29.68, 29.76, 29.88, 29.99, 30.04", \ + " 31.42, 31.50, 31.62, 31.73, 31.79", \ + " 34.29, 34.36, 34.48, 34.59, 34.65", \ + " 38.99, 39.06, 39.17, 39.28, 39.35", \ + " 46.66, 46.71, 46.81, 46.93, 47.00" ); }} +internal_power(b_z_p) { /* xnr3v1x1 56.80 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 28.22, 28.31, 28.43, 28.53, 28.58", \ + " 27.99, 28.07, 28.20, 28.30, 28.35", \ + " 28.02, 28.10, 28.23, 28.33, 28.38", \ + " 28.19, 28.27, 28.40, 28.51, 28.55", \ + " 28.70, 28.78, 28.91, 29.01, 29.06", \ + " 29.68, 29.76, 29.88, 29.99, 30.04", \ + " 31.41, 31.49, 31.61, 31.72, 31.77", \ + " 34.27, 34.34, 34.45, 34.56, 34.62", \ + " 38.96, 39.01, 39.11, 39.21, 39.27", \ + " 46.60, 46.64, 46.72, 46.81, 46.86" ); }} +internal_power(c_z_n) { /* xnr3v1x1 19.87 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 9.88, 9.95, 10.02, 10.06, 10.07", \ + " 9.62, 9.69, 9.79, 9.88, 9.92", \ + " 9.67, 9.71, 9.81, 9.90, 9.95", \ + " 9.85, 9.87, 9.94, 10.02, 10.08", \ + " 10.36, 10.34, 10.33, 10.38, 10.42", \ + " 11.31, 11.23, 11.12, 11.07, 11.07", \ + " 13.02, 12.85, 12.57, 12.33, 12.21", \ + " 15.85, 15.58, 15.09, 14.55, 14.17", \ + " 20.49, 20.09, 19.34, 18.37, 17.56", \ + " 27.97, 27.42, 26.34, 24.82, 23.36" ); }} +internal_power(c_z_p) { /* xnr3v1x1 24.63 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 12.30, 12.26, 12.16, 12.03, 11.92", \ + " 12.11, 12.09, 12.01, 11.90, 11.79", \ + " 12.20, 12.17, 12.10, 11.98, 11.87", \ + " 12.44, 12.40, 12.31, 12.18, 12.06", \ + " 13.03, 12.96, 12.84, 12.68, 12.53", \ + " 14.06, 13.96, 13.79, 13.56, 13.36", \ + " 15.85, 15.70, 15.44, 15.10, 14.80", \ + " 18.77, 18.55, 18.16, 17.65, 17.19", \ + " 23.51, 23.20, 22.62, 21.87, 21.13", \ + " 31.19, 30.72, 29.85, 28.72, 27.60" ); }} +timing() { /* ring osc delay xnr3v1x1, path a to z 176.4 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 157.7 ; */ +/* intrinsic_fall : 162.3 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.3, 154.3, 188.4, 263.8, 396.9, 678.7", \ + " 149.0, 162.0, 196.1, 271.6, 404.6, 686.5", \ + " 155.1, 168.1, 202.2, 277.7, 410.7, 692.5", \ + " 163.3, 176.3, 210.4, 285.8, 418.9, 700.7", \ + " 177.0, 190.0, 224.0, 299.5, 432.6, 714.4", \ + " 194.0, 207.0, 240.9, 316.4, 449.4, 731.3", \ + " 214.7, 227.6, 261.5, 336.9, 470.0, 751.9", \ + " 239.7, 252.6, 286.4, 361.8, 494.8, 776.7", \ + " 271.2, 284.0, 317.7, 393.0, 526.0, 807.9", \ + " 311.1, 323.9, 357.4, 432.6, 565.6, 847.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.0, 122.6, 211.3, 413.0, 772.3, 1535.6", \ + " 89.9, 122.6, 211.3, 413.0, 772.3, 1535.6", \ + " 90.0, 122.6, 211.3, 413.0, 772.3, 1535.6", \ + " 90.0, 122.7, 211.3, 413.0, 772.3, 1535.6", \ + " 90.1, 122.8, 211.3, 413.0, 772.3, 1535.6", \ + " 90.6, 123.1, 211.5, 413.0, 772.3, 1535.6", \ + " 91.4, 123.7, 211.8, 413.1, 772.3, 1535.6", \ + " 92.5, 124.5, 212.2, 413.2, 772.4, 1535.6", \ + " 94.0, 125.7, 212.9, 413.4, 772.4, 1535.6", \ + " 96.3, 127.6, 214.0, 413.8, 772.6, 1535.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 137.8, 147.8, 172.2, 224.2, 315.0, 506.9", \ + " 144.7, 154.8, 179.2, 231.2, 322.0, 513.9", \ + " 150.2, 160.2, 184.7, 236.7, 327.4, 519.3", \ + " 157.4, 167.5, 191.9, 243.9, 334.7, 526.6", \ + " 169.3, 179.4, 203.8, 255.8, 346.6, 538.5", \ + " 183.2, 193.3, 217.7, 269.7, 360.6, 552.5", \ + " 198.5, 208.6, 233.1, 285.2, 376.0, 568.0", \ + " 214.9, 225.0, 249.5, 301.6, 392.5, 584.5", \ + " 232.5, 242.6, 267.2, 319.4, 410.3, 602.3", \ + " 250.5, 260.7, 285.4, 337.6, 428.6, 620.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.4, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.5, 75.3, 132.3, 263.2, 497.7, 997.2", \ + " 54.9, 75.5, 132.4, 263.2, 497.7, 997.2", \ + " 55.4, 76.0, 132.7, 263.3, 497.7, 997.3", \ + " 56.2, 76.6, 133.1, 263.5, 497.8, 997.3", \ + " 57.2, 77.5, 133.6, 263.8, 497.9, 997.3", \ + " 58.9, 78.8, 134.5, 264.2, 498.1, 997.4" ); }} +timing() { /* ring osc delay xnr3v1x1, path a to z 177.0 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 157.7 ; */ +/* intrinsic_fall : 162.3 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 133.6, 144.1, 169.2, 221.1, 310.6, 500.1", \ + " 141.3, 151.7, 176.9, 228.7, 318.3, 507.8", \ + " 147.3, 157.7, 182.8, 234.7, 324.2, 513.7", \ + " 155.0, 165.4, 190.5, 242.4, 332.0, 521.5", \ + " 166.9, 177.3, 202.5, 254.4, 343.9, 533.4", \ + " 180.8, 191.2, 216.4, 268.3, 357.9, 547.4", \ + " 197.9, 208.3, 233.6, 285.5, 375.1, 564.6", \ + " 219.1, 229.6, 254.8, 306.8, 396.4, 586.0", \ + " 246.1, 256.6, 281.9, 334.0, 423.6, 613.1", \ + " 280.6, 291.1, 316.5, 368.7, 458.4, 647.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.3, 145.0, 216.8, 385.1, 690.9, 1348.1", \ + " 119.3, 145.0, 216.8, 385.1, 690.9, 1348.1", \ + " 119.3, 145.0, 216.8, 385.1, 690.9, 1348.1", \ + " 119.3, 145.1, 216.8, 385.1, 690.9, 1348.1", \ + " 119.5, 145.2, 216.9, 385.2, 691.0, 1348.1", \ + " 119.9, 145.6, 217.2, 385.4, 691.1, 1348.2", \ + " 120.3, 146.0, 217.6, 385.6, 691.2, 1348.3", \ + " 121.0, 146.6, 218.1, 386.0, 691.5, 1348.4", \ + " 122.0, 147.5, 218.9, 386.5, 691.9, 1348.6", \ + " 123.6, 149.0, 220.1, 387.5, 692.5, 1348.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.6, 156.5, 177.6, 220.0, 290.2, 434.9", \ + " 154.3, 163.3, 184.4, 226.7, 297.0, 441.7", \ + " 159.6, 168.5, 189.6, 231.9, 302.2, 446.9", \ + " 166.0, 174.9, 196.0, 238.4, 308.7, 453.3", \ + " 175.2, 184.1, 205.2, 247.6, 317.9, 462.5", \ + " 184.6, 193.6, 214.7, 257.1, 327.4, 472.1", \ + " 194.9, 203.8, 225.0, 267.4, 337.8, 482.4", \ + " 205.8, 214.8, 236.0, 278.5, 348.9, 493.6", \ + " 217.2, 226.3, 247.5, 290.1, 360.6, 505.4", \ + " 228.1, 237.2, 258.6, 301.3, 372.0, 516.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.3, 105.5, 153.9, 267.8, 475.8, 925.1", \ + " 88.3, 105.5, 153.9, 267.8, 475.8, 925.1", \ + " 88.3, 105.5, 153.9, 267.8, 475.8, 925.1", \ + " 88.3, 105.6, 153.9, 267.8, 475.8, 925.1", \ + " 88.4, 105.6, 154.0, 267.9, 475.8, 925.1", \ + " 88.8, 106.0, 154.2, 268.0, 475.9, 925.1", \ + " 89.1, 106.2, 154.5, 268.2, 476.1, 925.2", \ + " 89.7, 106.8, 154.9, 268.6, 476.3, 925.3", \ + " 90.7, 107.7, 155.7, 269.2, 476.7, 925.6", \ + " 92.3, 109.3, 157.1, 270.2, 477.5, 926.1" ); }} +timing() { /* ring osc delay xnr3v1x1, path a to z 153.9 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 163.8 ; */ +/* intrinsic_fall : 156.5 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 116.8, 127.2, 152.5, 204.7, 294.4, 484.1", \ + " 123.7, 134.2, 159.5, 211.7, 301.4, 491.1", \ + " 129.2, 139.6, 164.9, 217.1, 306.8, 496.5", \ + " 136.4, 146.9, 172.2, 224.3, 314.1, 503.7", \ + " 148.3, 158.7, 184.0, 236.1, 325.9, 515.6", \ + " 162.2, 172.7, 198.0, 250.2, 339.9, 529.6", \ + " 177.6, 188.2, 213.9, 266.4, 356.2, 545.8", \ + " 194.0, 204.9, 231.0, 283.8, 373.8, 563.5", \ + " 211.8, 223.0, 249.7, 303.1, 393.2, 583.0", \ + " 230.0, 241.7, 269.4, 324.0, 414.7, 604.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.9, 147.4, 218.8, 386.7, 692.2, 1349.1", \ + " 121.9, 147.5, 218.9, 386.7, 692.2, 1349.1", \ + " 122.0, 147.6, 218.9, 386.7, 692.2, 1349.1", \ + " 122.2, 147.8, 219.1, 386.8, 692.2, 1349.1", \ + " 123.4, 148.7, 219.8, 387.2, 692.4, 1349.1", \ + " 126.7, 151.8, 222.2, 388.7, 693.2, 1349.4", \ + " 132.0, 156.7, 226.3, 391.6, 695.0, 1350.1", \ + " 138.9, 163.2, 231.9, 395.7, 697.6, 1351.4", \ + " 148.8, 172.7, 240.0, 402.0, 701.8, 1353.5", \ + " 163.2, 186.7, 252.8, 412.1, 709.2, 1357.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.7, 122.8, 144.2, 186.9, 257.5, 402.4", \ + " 121.4, 130.6, 152.0, 194.7, 265.3, 410.2", \ + " 127.5, 136.6, 158.1, 200.8, 271.4, 416.3", \ + " 135.7, 144.8, 166.3, 209.1, 279.7, 424.6", \ + " 149.5, 158.6, 180.2, 223.0, 293.7, 438.7", \ + " 166.7, 176.0, 197.8, 241.0, 311.9, 457.0", \ + " 187.6, 197.4, 219.8, 263.7, 335.1, 480.6", \ + " 212.9, 223.1, 246.4, 291.2, 363.5, 509.6", \ + " 244.5, 255.3, 279.7, 325.9, 399.2, 546.4", \ + " 284.4, 296.0, 322.3, 370.6, 445.7, 594.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.9, 108.9, 156.8, 270.1, 477.3, 925.9", \ + " 91.9, 109.0, 156.9, 270.1, 477.3, 925.9", \ + " 92.1, 109.1, 157.0, 270.1, 477.4, 925.9", \ + " 92.5, 109.5, 157.3, 270.3, 477.5, 925.9", \ + " 94.3, 111.1, 158.5, 271.1, 477.9, 926.1", \ + " 98.7, 115.2, 161.9, 273.5, 479.2, 926.7", \ + " 105.1, 121.5, 167.6, 278.0, 482.5, 928.3", \ + " 113.3, 129.5, 175.0, 284.2, 487.1, 931.1", \ + " 124.5, 140.5, 185.4, 292.9, 493.8, 935.1", \ + " 140.1, 156.2, 200.5, 306.2, 504.5, 941.9" ); }} +timing() { /* ring osc delay xnr3v1x1, path a to z 201.0 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 163.8 ; */ +/* intrinsic_fall : 156.5 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 175.5, 188.6, 222.7, 298.1, 431.1, 713.0", \ + " 182.3, 195.3, 229.4, 304.9, 437.9, 719.8", \ + " 187.5, 200.6, 234.7, 310.1, 443.1, 725.0", \ + " 194.0, 207.0, 241.1, 316.6, 449.6, 731.4", \ + " 203.1, 216.2, 250.3, 325.7, 458.7, 740.6", \ + " 212.6, 225.6, 259.7, 335.2, 468.2, 750.0", \ + " 222.8, 235.8, 269.9, 345.4, 478.4, 760.3", \ + " 233.8, 246.8, 280.9, 356.3, 489.4, 771.2", \ + " 245.2, 258.2, 292.2, 367.7, 500.7, 782.6", \ + " 256.0, 269.0, 303.0, 378.5, 511.5, 793.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.5, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.7, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.8, 122.5, 211.2, 412.9, 772.3, 1535.6", \ + " 90.0, 122.7, 211.3, 413.0, 772.3, 1535.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.6, 164.7, 189.1, 241.1, 331.8, 523.7", \ + " 162.3, 172.3, 196.7, 248.7, 339.5, 531.4", \ + " 168.2, 178.3, 202.7, 254.7, 345.5, 537.3", \ + " 176.0, 186.0, 210.4, 262.4, 353.2, 545.1", \ + " 187.9, 198.0, 222.4, 274.4, 365.1, 557.0", \ + " 201.8, 211.8, 236.3, 288.3, 379.0, 570.9", \ + " 218.9, 229.0, 253.4, 305.4, 396.2, 588.1", \ + " 240.2, 250.2, 274.7, 326.7, 417.4, 609.3", \ + " 267.2, 277.2, 301.7, 353.7, 444.5, 636.4", \ + " 301.7, 311.8, 336.2, 388.2, 479.0, 670.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.6, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.6, 75.3, 132.3, 263.2, 497.7, 997.2" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 163.8 ; */ +/* intrinsic_fall : 156.5 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 175.5, 188.6, 222.7, 298.1, 431.1, 713.0", \ + " 182.3, 195.3, 229.4, 304.9, 437.9, 719.8", \ + " 187.5, 200.6, 234.7, 310.1, 443.1, 725.0", \ + " 194.0, 207.0, 241.1, 316.6, 449.6, 731.4", \ + " 203.1, 216.2, 250.3, 325.7, 458.7, 740.6", \ + " 212.6, 225.6, 259.7, 335.2, 468.2, 750.0", \ + " 222.8, 235.8, 269.9, 345.4, 478.4, 760.3", \ + " 233.8, 246.8, 280.9, 356.3, 489.4, 771.2", \ + " 245.2, 258.2, 292.2, 367.7, 500.7, 782.6", \ + " 256.0, 269.0, 303.0, 378.5, 511.5, 793.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.5, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.7, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.8, 122.5, 211.2, 412.9, 772.3, 1535.6", \ + " 90.0, 122.7, 211.3, 413.0, 772.3, 1535.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.6, 164.7, 189.1, 241.1, 331.8, 523.7", \ + " 162.3, 172.3, 196.7, 248.7, 339.5, 531.4", \ + " 168.2, 178.3, 202.7, 254.7, 345.5, 537.3", \ + " 176.0, 186.0, 210.4, 262.4, 353.2, 545.1", \ + " 187.9, 198.0, 222.4, 274.4, 365.1, 557.0", \ + " 201.8, 211.8, 236.3, 288.3, 379.0, 570.9", \ + " 218.9, 229.0, 253.4, 305.4, 396.2, 588.1", \ + " 240.2, 250.2, 274.7, 326.7, 417.4, 609.3", \ + " 267.2, 277.2, 301.7, 353.7, 444.5, 636.4", \ + " 301.7, 311.8, 336.2, 388.2, 479.0, 670.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.6, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.6, 75.3, 132.3, 263.2, 497.7, 997.2" ); }} +timing() { /* ring osc delay xnr3v1x1, path b to z 164.8 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 134.8 ; */ +/* intrinsic_fall : 164.1 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.2, 130.3, 164.5, 239.9, 372.9, 654.7", \ + " 124.2, 137.3, 171.5, 246.9, 379.9, 661.7", \ + " 129.6, 142.7, 176.8, 252.3, 385.3, 667.1", \ + " 136.4, 149.5, 183.6, 259.0, 392.0, 673.9", \ + " 146.3, 159.4, 193.5, 268.9, 401.9, 683.8", \ + " 156.8, 169.9, 203.9, 279.4, 412.4, 694.3", \ + " 169.0, 181.9, 215.9, 291.4, 424.4, 706.3", \ + " 182.3, 195.2, 229.1, 304.6, 437.6, 719.5", \ + " 196.9, 209.7, 243.6, 318.9, 452.0, 733.9", \ + " 212.2, 225.0, 258.7, 334.0, 467.0, 748.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.1, 122.0, 211.0, 412.9, 772.2, 1535.6", \ + " 89.1, 122.0, 211.0, 412.9, 772.2, 1535.6", \ + " 89.1, 122.0, 211.0, 412.9, 772.2, 1535.6", \ + " 89.1, 122.1, 211.0, 412.9, 772.2, 1535.6", \ + " 89.4, 122.2, 211.1, 412.9, 772.2, 1535.6", \ + " 89.9, 122.6, 211.3, 413.0, 772.3, 1535.6", \ + " 90.4, 123.0, 211.5, 413.0, 772.3, 1535.6", \ + " 91.2, 123.6, 211.7, 413.1, 772.3, 1535.6", \ + " 92.4, 124.5, 212.2, 413.2, 772.4, 1535.6", \ + " 94.1, 125.9, 213.0, 413.5, 772.4, 1535.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 143.1, 153.2, 177.6, 229.6, 320.3, 512.2", \ + " 149.0, 159.0, 183.4, 235.4, 326.2, 518.1", \ + " 153.7, 163.8, 188.2, 240.2, 330.9, 522.8", \ + " 159.9, 170.0, 194.4, 246.4, 337.1, 529.0", \ + " 170.4, 180.4, 204.9, 256.8, 347.6, 539.5", \ + " 183.5, 193.5, 218.0, 270.0, 360.8, 552.7", \ + " 199.2, 209.3, 233.7, 285.8, 376.6, 568.6", \ + " 218.3, 228.4, 252.9, 305.0, 395.9, 587.9", \ + " 242.4, 252.5, 277.1, 329.3, 420.2, 612.1", \ + " 272.8, 283.0, 307.6, 359.9, 450.8, 642.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.2, 75.0, 132.1, 263.1, 497.6, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.2, 75.0, 132.1, 263.1, 497.7, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.6, 75.3, 132.3, 263.2, 497.7, 997.2", \ + " 55.1, 75.8, 132.6, 263.3, 497.7, 997.2", \ + " 55.8, 76.3, 132.9, 263.4, 497.8, 997.3", \ + " 56.8, 77.1, 133.4, 263.7, 497.9, 997.3", \ + " 58.3, 78.3, 134.2, 264.1, 498.0, 997.3" ); }} +timing() { /* ring osc delay xnr3v1x1, path b to z 167.8 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 134.8 ; */ +/* intrinsic_fall : 164.1 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.5, 123.9, 149.2, 201.4, 291.1, 480.8", \ + " 121.6, 132.0, 157.3, 209.5, 299.3, 489.0", \ + " 127.9, 138.4, 163.7, 215.8, 305.6, 495.3", \ + " 136.1, 146.5, 171.8, 223.9, 313.7, 503.4", \ + " 148.9, 159.4, 184.6, 236.8, 326.5, 516.3", \ + " 163.6, 174.0, 199.2, 251.3, 341.1, 530.8", \ + " 181.3, 191.7, 216.8, 268.7, 358.4, 548.2", \ + " 202.4, 212.8, 238.0, 289.9, 379.4, 569.1", \ + " 228.6, 239.1, 264.3, 316.4, 406.0, 595.4", \ + " 261.9, 272.3, 297.7, 349.8, 439.5, 629.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.7, 147.3, 218.8, 386.7, 692.2, 1349.1", \ + " 121.6, 147.2, 218.8, 386.7, 692.2, 1349.1", \ + " 121.6, 147.2, 218.8, 386.7, 692.2, 1349.1", \ + " 121.5, 147.2, 218.7, 386.6, 692.2, 1349.1", \ + " 121.2, 146.9, 218.5, 386.5, 692.1, 1349.1", \ + " 120.5, 146.2, 218.0, 386.2, 692.0, 1349.0", \ + " 120.5, 146.2, 217.8, 385.9, 691.6, 1348.8", \ + " 121.3, 146.8, 218.2, 386.1, 691.6, 1348.5", \ + " 122.2, 147.8, 219.1, 386.5, 691.8, 1348.5", \ + " 123.6, 149.1, 220.2, 387.5, 692.4, 1348.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 149.7, 158.6, 179.7, 222.1, 292.4, 437.0", \ + " 156.6, 165.5, 186.6, 229.0, 299.3, 443.9", \ + " 161.6, 170.5, 191.6, 234.0, 304.3, 448.9", \ + " 167.2, 176.1, 197.2, 239.6, 309.9, 454.5", \ + " 174.5, 183.4, 204.5, 246.9, 317.2, 461.8", \ + " 181.9, 190.8, 211.9, 254.3, 324.6, 469.2", \ + " 190.4, 199.3, 220.4, 262.6, 332.8, 477.4", \ + " 199.3, 208.2, 229.3, 271.7, 341.8, 486.3", \ + " 208.0, 217.0, 238.2, 280.6, 351.0, 495.4", \ + " 215.5, 224.5, 245.9, 288.6, 359.5, 504.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.4, 105.6, 153.9, 267.8, 475.8, 925.1", \ + " 88.4, 105.6, 153.9, 267.8, 475.8, 925.1", \ + " 88.4, 105.6, 153.9, 267.8, 475.8, 925.1", \ + " 88.4, 105.6, 153.9, 267.8, 475.8, 925.1", \ + " 88.5, 105.7, 154.0, 267.9, 475.8, 925.1", \ + " 88.3, 105.5, 153.9, 267.8, 475.8, 925.1", \ + " 88.2, 105.4, 153.6, 267.5, 475.4, 924.9", \ + " 88.9, 106.0, 154.1, 267.6, 475.4, 924.6", \ + " 89.8, 106.9, 154.9, 268.4, 475.9, 924.8", \ + " 91.3, 108.3, 156.4, 270.0, 477.5, 926.3" ); }} +timing() { /* ring osc delay xnr3v1x1, path b to z 140.0 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 165.6 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 122.2, 132.6, 157.8, 209.6, 299.2, 488.6", \ + " 128.0, 138.4, 163.6, 215.5, 305.0, 494.5", \ + " 132.7, 143.2, 168.3, 220.2, 309.7, 499.2", \ + " 138.9, 149.4, 174.5, 226.4, 315.9, 505.4", \ + " 149.4, 159.8, 185.0, 236.9, 326.4, 515.9", \ + " 162.5, 173.1, 198.4, 250.3, 339.9, 529.4", \ + " 178.3, 189.1, 214.8, 267.2, 356.9, 546.4", \ + " 197.6, 208.5, 234.6, 287.5, 377.5, 567.1", \ + " 221.8, 233.0, 259.8, 313.3, 403.5, 593.4", \ + " 252.4, 264.1, 291.9, 346.7, 437.8, 628.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.3, 145.0, 216.8, 385.0, 690.9, 1348.1", \ + " 119.4, 145.0, 216.8, 385.1, 690.9, 1348.1", \ + " 119.4, 145.1, 216.8, 385.1, 690.9, 1348.1", \ + " 119.5, 145.2, 216.9, 385.1, 690.9, 1348.1", \ + " 120.3, 145.9, 217.4, 385.4, 691.0, 1348.1", \ + " 123.6, 148.9, 219.8, 386.9, 691.8, 1348.3", \ + " 128.3, 153.3, 223.6, 389.7, 693.7, 1349.2", \ + " 133.9, 158.7, 228.4, 393.4, 696.2, 1350.6", \ + " 142.3, 166.7, 235.3, 398.9, 700.0, 1352.7", \ + " 154.7, 178.9, 246.6, 408.1, 707.2, 1357.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.8, 97.5, 118.3, 160.3, 230.4, 374.8", \ + " 95.8, 104.5, 125.3, 167.3, 237.4, 381.9", \ + " 101.2, 109.9, 130.7, 172.8, 242.9, 387.3", \ + " 108.0, 116.8, 137.6, 179.7, 249.8, 394.3", \ + " 118.2, 127.0, 148.0, 190.3, 260.6, 405.2", \ + " 128.9, 137.9, 159.4, 202.3, 273.0, 417.9", \ + " 141.2, 150.4, 172.1, 215.5, 286.9, 432.3", \ + " 154.7, 164.2, 186.4, 230.1, 302.0, 448.1", \ + " 169.5, 179.4, 202.3, 246.7, 318.9, 465.6", \ + " 185.0, 195.6, 219.6, 265.0, 337.9, 484.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 83.6, 101.3, 150.5, 265.5, 474.4, 924.5", \ + " 83.6, 101.2, 150.4, 265.5, 474.4, 924.5", \ + " 83.7, 101.3, 150.5, 265.5, 474.4, 924.5", \ + " 84.5, 102.0, 151.0, 265.8, 474.6, 924.6", \ + " 87.6, 104.8, 153.2, 267.3, 475.4, 925.0", \ + " 91.6, 108.6, 156.6, 270.1, 477.6, 926.1", \ + " 96.2, 113.0, 160.7, 273.3, 480.1, 928.1", \ + " 102.9, 119.3, 165.9, 277.6, 483.1, 930.0", \ + " 112.2, 128.2, 173.7, 283.2, 487.3, 932.2", \ + " 125.2, 140.9, 185.0, 291.9, 493.0, 935.4" ); }} +timing() { /* ring osc delay xnr3v1x1, path b to z 191.7 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 165.6 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 177.7, 190.7, 224.8, 300.3, 433.3, 715.1", \ + " 184.6, 197.6, 231.7, 307.2, 440.2, 722.0", \ + " 189.6, 202.6, 236.7, 312.2, 445.2, 727.0", \ + " 195.2, 208.2, 242.3, 317.8, 450.8, 732.6", \ + " 202.4, 215.5, 249.6, 325.0, 458.0, 739.9", \ + " 209.9, 222.9, 257.0, 332.5, 465.5, 747.3", \ + " 218.5, 231.5, 265.6, 341.0, 474.1, 755.9", \ + " 227.3, 240.3, 274.4, 349.9, 482.9, 764.7", \ + " 235.9, 249.0, 283.0, 358.5, 491.5, 773.4", \ + " 243.3, 256.3, 290.4, 365.9, 498.9, 780.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.5, 122.3, 211.1, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.7, 122.5, 211.2, 412.9, 772.2, 1535.6", \ + " 89.9, 122.6, 211.3, 413.0, 772.3, 1535.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 134.5, 144.6, 169.0, 221.0, 311.8, 503.7", \ + " 142.6, 152.7, 177.1, 229.1, 319.9, 511.8", \ + " 148.9, 159.0, 183.4, 235.4, 326.2, 518.1", \ + " 157.1, 167.1, 191.6, 243.6, 334.4, 526.3", \ + " 170.0, 180.0, 204.5, 256.4, 347.2, 539.1", \ + " 184.7, 194.7, 219.2, 271.2, 361.9, 553.8", \ + " 202.4, 212.4, 236.9, 288.9, 379.6, 571.5", \ + " 223.5, 233.5, 258.0, 310.0, 400.8, 592.7", \ + " 249.8, 259.8, 284.2, 336.3, 427.1, 618.9", \ + " 283.1, 293.1, 317.5, 369.6, 460.4, 652.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.6, 75.3, 132.3, 263.2, 497.7, 997.2" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 165.6 ; */ +/* intrinsic_fall : 133.0 ; */ +/* rise_resistance : 3.52 ; */ +/* fall_resistance : 2.58 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 177.7, 190.7, 224.8, 300.3, 433.3, 715.1", \ + " 184.6, 197.6, 231.7, 307.2, 440.2, 722.0", \ + " 189.6, 202.6, 236.7, 312.2, 445.2, 727.0", \ + " 195.2, 208.2, 242.3, 317.8, 450.8, 732.6", \ + " 202.4, 215.5, 249.6, 325.0, 458.0, 739.9", \ + " 209.9, 222.9, 257.0, 332.5, 465.5, 747.3", \ + " 218.5, 231.5, 265.6, 341.0, 474.1, 755.9", \ + " 227.3, 240.3, 274.4, 349.9, 482.9, 764.7", \ + " 235.9, 249.0, 283.0, 358.5, 491.5, 773.4", \ + " 243.3, 256.3, 290.4, 365.9, 498.9, 780.8" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.3, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.5, 122.3, 211.1, 412.9, 772.2, 1535.6", \ + " 89.6, 122.4, 211.2, 412.9, 772.2, 1535.6", \ + " 89.7, 122.5, 211.2, 412.9, 772.2, 1535.6", \ + " 89.9, 122.6, 211.3, 413.0, 772.3, 1535.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 134.5, 144.6, 169.0, 221.0, 311.8, 503.7", \ + " 142.6, 152.7, 177.1, 229.1, 319.9, 511.8", \ + " 148.9, 159.0, 183.4, 235.4, 326.2, 518.1", \ + " 157.1, 167.1, 191.6, 243.6, 334.4, 526.3", \ + " 170.0, 180.0, 204.5, 256.4, 347.2, 539.1", \ + " 184.7, 194.7, 219.2, 271.2, 361.9, 553.8", \ + " 202.4, 212.4, 236.9, 288.9, 379.6, 571.5", \ + " 223.5, 233.5, 258.0, 310.0, 400.8, 592.7", \ + " 249.8, 259.8, 284.2, 336.3, 427.1, 618.9", \ + " 283.1, 293.1, 317.5, 369.6, 460.4, 652.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.3, 75.1, 132.2, 263.1, 497.7, 997.2", \ + " 54.4, 75.2, 132.2, 263.1, 497.7, 997.2", \ + " 54.5, 75.2, 132.3, 263.1, 497.7, 997.2", \ + " 54.6, 75.3, 132.3, 263.2, 497.7, 997.2" ); }} +timing() { /* ring osc delay xnr3v1x1, path c to z 71.6 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.5 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.06 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.8, 73.6, 107.8, 183.0, 315.8, 597.5", \ + " 66.8, 80.5, 115.0, 190.5, 323.5, 605.4", \ + " 72.9, 86.6, 120.9, 196.5, 329.7, 611.6", \ + " 81.2, 94.7, 129.0, 204.6, 337.8, 619.8", \ + " 94.3, 108.4, 142.8, 218.2, 351.5, 633.6", \ + " 109.6, 125.2, 161.7, 237.3, 370.5, 652.7", \ + " 129.8, 147.1, 187.4, 265.7, 398.9, 681.1", \ + " 156.8, 176.1, 220.7, 306.4, 441.1, 723.3", \ + " 194.1, 216.3, 266.6, 361.2, 504.9, 787.3", \ + " 246.4, 272.8, 330.9, 436.6, 594.6, 885.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.8, 127.1, 213.7, 413.6, 772.2, 1535.6", \ + " 96.7, 127.8, 214.0, 413.6, 772.3, 1535.6", \ + " 97.7, 128.1, 214.2, 413.7, 772.3, 1535.6", \ + " 101.4, 130.8, 214.9, 413.9, 772.3, 1535.6", \ + " 108.6, 137.0, 219.4, 415.2, 772.4, 1535.6", \ + " 120.0, 147.1, 227.8, 420.8, 773.9, 1535.6", \ + " 135.1, 164.9, 242.8, 432.6, 781.1, 1536.1", \ + " 153.3, 185.6, 269.4, 454.1, 797.3, 1543.3", \ + " 181.2, 215.7, 305.4, 492.2, 827.9, 1563.1", \ + " 226.1, 262.4, 356.6, 554.5, 882.6, 1604.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.6, 26.1, 41.5, 78.3, 145.1, 286.5", \ + " 27.5, 33.0, 47.9, 84.6, 151.4, 292.8", \ + " 31.0, 37.6, 52.8, 89.0, 155.8, 297.3", \ + " 33.8, 41.6, 59.1, 94.8, 161.5, 303.1", \ + " 36.4, 45.7, 66.5, 105.0, 171.0, 312.6", \ + " 37.1, 48.1, 72.7, 117.6, 184.1, 325.2", \ + " 35.0, 48.0, 76.9, 129.4, 204.1, 343.4", \ + " 28.2, 43.4, 77.4, 138.6, 225.1, 370.6", \ + " 13.8, 31.7, 71.5, 142.9, 243.6, 410.1", \ + " -13.0, 8.0, 54.7, 138.3, 256.0, 449.5" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.0, 50.8, 111.0, 235.6, 451.0, 909.2", \ + " 38.7, 56.3, 113.3, 235.9, 450.9, 908.8", \ + " 47.2, 63.4, 118.0, 238.3, 451.0, 908.3", \ + " 57.2, 74.3, 126.3, 243.6, 453.3, 907.6", \ + " 72.5, 91.4, 143.5, 256.2, 461.0, 908.9", \ + " 92.2, 112.7, 169.9, 278.7, 476.6, 916.3", \ + " 119.1, 141.7, 204.1, 316.6, 506.8, 934.0", \ + " 155.0, 180.1, 248.9, 373.7, 559.4, 970.1", \ + " 204.1, 232.8, 308.9, 449.5, 645.7, 1039.8", \ + " 272.8, 305.8, 390.2, 551.1, 771.4, 1163.4" ); }} +timing() { /* ring osc delay xnr3v1x1, path c to z 71.6 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.5 ; */ +/* intrinsic_fall : 33.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.06 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.8, 73.6, 107.8, 183.0, 315.8, 597.5", \ + " 66.8, 80.5, 115.0, 190.5, 323.5, 605.4", \ + " 72.9, 86.6, 120.9, 196.5, 329.7, 611.6", \ + " 81.2, 94.7, 129.0, 204.6, 337.8, 619.8", \ + " 94.3, 108.4, 142.8, 218.2, 351.5, 633.6", \ + " 109.6, 125.2, 161.7, 237.3, 370.5, 652.7", \ + " 129.8, 147.1, 187.4, 265.7, 398.9, 681.1", \ + " 156.8, 176.1, 220.7, 306.4, 441.1, 723.3", \ + " 194.1, 216.3, 266.6, 361.2, 504.9, 787.3", \ + " 246.4, 272.8, 330.9, 436.6, 594.6, 885.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.8, 127.1, 213.7, 413.6, 772.2, 1535.6", \ + " 96.7, 127.8, 214.0, 413.6, 772.3, 1535.6", \ + " 97.7, 128.1, 214.2, 413.7, 772.3, 1535.6", \ + " 101.4, 130.8, 214.9, 413.9, 772.3, 1535.6", \ + " 108.6, 137.0, 219.4, 415.2, 772.4, 1535.6", \ + " 120.0, 147.1, 227.8, 420.8, 773.9, 1535.6", \ + " 135.1, 164.9, 242.8, 432.6, 781.1, 1536.1", \ + " 153.3, 185.6, 269.4, 454.1, 797.3, 1543.3", \ + " 181.2, 215.7, 305.4, 492.2, 827.9, 1563.1", \ + " 226.1, 262.4, 356.6, 554.5, 882.6, 1604.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.6, 26.1, 41.5, 78.3, 145.1, 286.5", \ + " 27.5, 33.0, 47.9, 84.6, 151.4, 292.8", \ + " 31.0, 37.6, 52.8, 89.0, 155.8, 297.3", \ + " 33.8, 41.6, 59.1, 94.8, 161.5, 303.1", \ + " 36.4, 45.7, 66.5, 105.0, 171.0, 312.6", \ + " 37.1, 48.1, 72.7, 117.6, 184.1, 325.2", \ + " 35.0, 48.0, 76.9, 129.4, 204.1, 343.3", \ + " 28.2, 43.4, 77.4, 138.6, 225.1, 370.6", \ + " 13.8, 31.7, 71.5, 142.9, 243.6, 410.1", \ + " -13.0, 8.0, 54.7, 138.3, 256.0, 449.5" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.0, 50.8, 111.0, 235.6, 451.0, 909.2", \ + " 38.7, 56.3, 113.3, 235.9, 450.9, 908.8", \ + " 47.2, 63.4, 118.0, 238.3, 451.0, 908.4", \ + " 57.2, 74.3, 126.3, 243.6, 453.3, 907.6", \ + " 72.5, 91.4, 143.5, 256.2, 461.0, 908.9", \ + " 92.2, 112.7, 169.9, 278.7, 476.6, 916.3", \ + " 119.1, 141.7, 204.0, 316.6, 506.8, 934.0", \ + " 155.0, 180.1, 248.9, 373.7, 559.4, 970.1", \ + " 204.1, 232.8, 308.9, 449.5, 645.7, 1039.8", \ + " 272.8, 305.8, 390.2, 551.1, 771.4, 1163.4" ); }} +timing() { /* ring osc delay xnr3v1x1, path c to z 88.1 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 82.9 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 52.3, 71.0, 117.4, 204.4, 392.6", \ + " 52.9, 59.7, 78.4, 124.6, 211.4, 399.2", \ + " 58.8, 65.6, 84.2, 130.1, 216.5, 404.0", \ + " 66.6, 73.3, 91.6, 137.0, 222.8, 409.9", \ + " 79.4, 86.4, 103.9, 148.0, 233.0, 419.3", \ + " 91.3, 99.5, 119.8, 163.1, 246.4, 431.3", \ + " 102.5, 111.9, 134.9, 184.2, 266.0, 448.2", \ + " 112.9, 123.2, 148.3, 203.2, 294.5, 473.2", \ + " 122.3, 133.7, 160.6, 218.9, 321.3, 512.2", \ + " 130.0, 142.5, 171.4, 232.2, 340.7, 559.6" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.8, 141.1, 211.4, 377.1, 683.9, 1345.2", \ + " 115.5, 139.3, 208.2, 375.3, 682.8, 1344.8", \ + " 117.0, 140.7, 208.7, 373.8, 681.7, 1344.3", \ + " 119.7, 143.4, 210.8, 373.7, 679.7, 1343.4", \ + " 124.6, 148.9, 215.9, 376.5, 679.4, 1341.1", \ + " 127.9, 154.3, 224.6, 384.4, 684.0, 1340.5", \ + " 132.9, 159.4, 233.1, 400.4, 697.2, 1347.3", \ + " 139.8, 167.1, 242.0, 418.4, 723.2, 1366.2", \ + " 150.5, 178.7, 254.9, 432.7, 757.9, 1405.3", \ + " 166.4, 195.6, 273.1, 452.3, 781.2, 1470.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 72.0, 95.8, 147.0, 237.3, 428.9", \ + " 70.0, 79.9, 103.8, 155.1, 245.5, 437.1", \ + " 76.0, 86.0, 110.0, 161.4, 251.8, 443.4", \ + " 83.5, 93.5, 117.7, 169.2, 259.6, 451.2", \ + " 94.4, 104.8, 129.3, 180.9, 271.3, 463.0", \ + " 107.1, 117.8, 142.7, 194.7, 285.2, 476.8", \ + " 122.9, 134.0, 159.5, 211.8, 302.4, 494.1", \ + " 142.3, 154.1, 180.5, 233.1, 323.9, 515.6", \ + " 166.6, 179.3, 207.2, 260.9, 351.9, 543.7", \ + " 197.4, 211.3, 241.5, 297.5, 389.4, 581.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 75.9, 133.2, 263.6, 497.8, 997.2", \ + " 54.7, 76.0, 133.2, 263.6, 497.8, 997.2", \ + " 55.1, 76.3, 133.3, 263.7, 497.8, 997.2", \ + " 56.7, 77.4, 133.8, 263.8, 497.8, 997.2", \ + " 59.8, 80.1, 135.7, 264.7, 498.0, 997.2", \ + " 63.3, 83.6, 138.5, 266.3, 498.8, 997.3", \ + " 68.7, 88.5, 142.0, 268.7, 499.9, 997.8", \ + " 76.0, 95.7, 148.2, 272.3, 501.9, 998.5", \ + " 86.1, 106.1, 157.8, 279.0, 505.6, 1000.0", \ + " 100.0, 120.6, 172.2, 291.1, 513.4, 1003.8" ); }} +timing() { /* ring osc delay xnr3v1x1, path c to z 88.1 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 82.9 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 52.3, 70.9, 117.3, 204.4, 392.6", \ + " 52.9, 59.7, 78.4, 124.6, 211.4, 399.2", \ + " 58.8, 65.6, 84.2, 130.1, 216.5, 404.0", \ + " 66.6, 73.3, 91.6, 136.9, 222.8, 409.9", \ + " 79.4, 86.4, 103.9, 148.0, 233.0, 419.3", \ + " 91.3, 99.5, 119.8, 163.1, 246.4, 431.3", \ + " 102.5, 111.9, 134.9, 184.2, 266.0, 448.2", \ + " 112.9, 123.2, 148.3, 203.2, 294.5, 473.2", \ + " 122.3, 133.7, 160.6, 218.9, 321.3, 512.2", \ + " 130.0, 142.5, 171.4, 232.2, 340.7, 559.6" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.8, 141.1, 211.4, 377.1, 683.9, 1345.2", \ + " 115.5, 139.3, 208.2, 375.3, 682.8, 1344.8", \ + " 117.0, 140.7, 208.7, 373.8, 681.7, 1344.3", \ + " 119.7, 143.4, 210.8, 373.7, 679.7, 1343.5", \ + " 124.6, 148.9, 215.9, 376.5, 679.4, 1341.1", \ + " 127.9, 154.3, 224.6, 384.4, 684.0, 1340.5", \ + " 132.9, 159.4, 233.1, 400.4, 697.2, 1347.3", \ + " 139.8, 167.1, 242.0, 418.4, 723.2, 1366.2", \ + " 150.5, 178.7, 254.9, 432.7, 757.9, 1405.3", \ + " 166.4, 195.6, 273.1, 452.3, 781.2, 1470.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 72.0, 95.8, 147.0, 237.3, 428.9", \ + " 70.0, 79.9, 103.8, 155.1, 245.5, 437.1", \ + " 76.0, 86.0, 110.0, 161.4, 251.8, 443.4", \ + " 83.5, 93.5, 117.7, 169.2, 259.6, 451.2", \ + " 94.4, 104.8, 129.3, 180.9, 271.3, 463.0", \ + " 107.1, 117.8, 142.7, 194.7, 285.2, 476.8", \ + " 122.9, 134.0, 159.5, 211.8, 302.4, 494.1", \ + " 142.3, 154.1, 180.5, 233.1, 323.9, 515.6", \ + " 166.6, 179.3, 207.2, 260.9, 351.9, 543.7", \ + " 197.4, 211.3, 241.5, 297.5, 389.4, 581.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 75.9, 133.2, 263.6, 497.8, 997.2", \ + " 54.7, 76.0, 133.2, 263.6, 497.8, 997.2", \ + " 55.1, 76.3, 133.3, 263.7, 497.8, 997.2", \ + " 56.7, 77.4, 133.8, 263.8, 497.8, 997.2", \ + " 59.8, 80.1, 135.7, 264.7, 498.0, 997.2", \ + " 63.3, 83.6, 138.5, 266.3, 498.8, 997.3", \ + " 68.7, 88.5, 142.0, 268.7, 499.9, 997.8", \ + " 76.0, 95.7, 148.2, 272.3, 501.9, 998.5", \ + " 86.1, 106.1, 157.8, 279.0, 505.6, 1000.0", \ + " 100.0, 120.6, 172.2, 291.1, 513.4, 1003.8" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 82.9 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.6, 52.3, 70.9, 117.3, 204.4, 392.6", \ + " 52.9, 59.7, 78.4, 124.6, 211.4, 399.2", \ + " 58.8, 65.6, 84.2, 130.1, 216.5, 404.0", \ + " 66.6, 73.3, 91.6, 136.9, 222.8, 409.9", \ + " 79.4, 86.4, 103.9, 148.0, 233.0, 419.3", \ + " 91.3, 99.5, 119.8, 163.1, 246.4, 431.3", \ + " 102.5, 111.9, 134.9, 184.2, 266.0, 448.2", \ + " 112.9, 123.2, 148.3, 203.2, 294.5, 473.2", \ + " 122.3, 133.7, 160.6, 218.9, 321.3, 512.2", \ + " 130.0, 142.5, 171.4, 232.2, 340.7, 559.6" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.8, 141.1, 211.4, 377.1, 683.9, 1345.2", \ + " 115.5, 139.3, 208.2, 375.3, 682.8, 1344.8", \ + " 117.0, 140.7, 208.7, 373.8, 681.7, 1344.3", \ + " 119.7, 143.4, 210.8, 373.7, 679.7, 1343.5", \ + " 124.6, 148.9, 215.9, 376.5, 679.4, 1341.1", \ + " 127.9, 154.3, 224.6, 384.4, 684.0, 1340.5", \ + " 132.9, 159.4, 233.1, 400.4, 697.2, 1347.3", \ + " 139.8, 167.1, 242.0, 418.4, 723.2, 1366.2", \ + " 150.5, 178.7, 254.9, 432.7, 757.9, 1405.3", \ + " 166.4, 195.6, 273.1, 452.3, 781.2, 1470.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 72.0, 95.8, 147.0, 237.3, 428.9", \ + " 70.0, 79.9, 103.8, 155.1, 245.5, 437.1", \ + " 76.0, 86.0, 110.0, 161.4, 251.8, 443.4", \ + " 83.5, 93.5, 117.7, 169.2, 259.6, 451.2", \ + " 94.4, 104.8, 129.3, 180.9, 271.3, 463.0", \ + " 107.1, 117.8, 142.7, 194.7, 285.2, 476.8", \ + " 122.9, 134.0, 159.5, 211.8, 302.4, 494.1", \ + " 142.3, 154.1, 180.5, 233.1, 323.9, 515.6", \ + " 166.6, 179.3, 207.2, 260.9, 351.9, 543.7", \ + " 197.4, 211.3, 241.5, 297.5, 389.4, 581.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.5, 75.9, 133.2, 263.6, 497.8, 997.2", \ + " 54.7, 76.0, 133.2, 263.6, 497.8, 997.2", \ + " 55.1, 76.3, 133.3, 263.7, 497.8, 997.2", \ + " 56.7, 77.4, 133.8, 263.8, 497.8, 997.2", \ + " 59.8, 80.1, 135.7, 264.7, 498.0, 997.2", \ + " 63.3, 83.6, 138.5, 266.3, 498.8, 997.3", \ + " 68.7, 88.5, 142.0, 268.7, 499.9, 997.8", \ + " 76.0, 95.7, 148.2, 272.3, 501.9, 998.5", \ + " 86.1, 106.1, 157.8, 279.0, 505.6, 1000.0", \ + " 100.0, 120.6, 172.2, 291.1, 513.4, 1003.8" ); }} +} +} +cell(xnr3v1x2) { /* 2008-01-06:08h02 characteristic delay 12.6 ps */ +area : 23 ; /* tracks */ +cell_leakage_power : 3350 ; /* xnr3v1x2 */ +cell_footprint : xnr3 ; +pin(a) { /* xnr3v1x2 FO4 effort 2.98 logical effort 0.88 */ +direction : input ; +capacitance : 4.76 ; +rise_capacitance : 4.76 ; +fall_capacitance : 4.76 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xnr3v1x2 */ +} +pin(b) { /* xnr3v1x2 FO4 effort 3.02 logical effort 1.29 */ +direction : input ; +capacitance : 7.01 ; +rise_capacitance : 7.01 ; +fall_capacitance : 7.01 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xnr3v1x2 */ +} +pin(c) { /* xnr3v1x2 FO4 effort 1.91 logical effort 2.25 */ +direction : input ; +capacitance : 11.55 ; +rise_capacitance : 11.57 ; +fall_capacitance : 11.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xnr3v1x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 227 ; +max_fanout : 6 ; +function : "(a^b^c)'" ; +internal_power(a_z_n) { /* xnr3v1x2 86.29 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 42.89, 43.02, 43.25, 43.46, 43.56", \ + " 42.70, 42.83, 43.06, 43.27, 43.37", \ + " 42.69, 42.82, 43.05, 43.26, 43.36", \ + " 42.79, 42.92, 43.14, 43.36, 43.46", \ + " 43.17, 43.30, 43.52, 43.74, 43.84", \ + " 44.02, 44.13, 44.35, 44.57, 44.68", \ + " 45.52, 45.62, 45.83, 46.05, 46.18", \ + " 48.01, 48.10, 48.29, 48.51, 48.65", \ + " 52.10, 52.18, 52.35, 52.57, 52.71", \ + " 58.76, 58.81, 58.96, 59.17, 59.32" ); }} +internal_power(a_z_p) { /* xnr3v1x2 86.24 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 42.88, 43.01, 43.23, 43.44, 43.54", \ + " 42.69, 42.82, 43.04, 43.25, 43.35", \ + " 42.68, 42.81, 43.03, 43.24, 43.34", \ + " 42.78, 42.90, 43.12, 43.33, 43.44", \ + " 43.15, 43.27, 43.48, 43.69, 43.80", \ + " 43.98, 44.08, 44.28, 44.49, 44.60", \ + " 45.46, 45.54, 45.72, 45.90, 46.01", \ + " 47.91, 47.97, 48.11, 48.27, 48.37", \ + " 51.95, 51.98, 52.07, 52.19, 52.28", \ + " 58.54, 58.52, 58.54, 58.61, 58.66" ); }} +internal_power(b_z_n) { /* xnr3v1x2 86.21 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 42.75, 42.88, 43.10, 43.30, 43.40", \ + " 42.55, 42.68, 42.90, 43.10, 43.20", \ + " 42.59, 42.71, 42.93, 43.14, 43.24", \ + " 42.76, 42.89, 43.11, 43.31, 43.41", \ + " 43.29, 43.41, 43.62, 43.83, 43.93", \ + " 44.34, 44.45, 44.66, 44.87, 44.98", \ + " 46.19, 46.29, 46.50, 46.70, 46.82", \ + " 49.23, 49.32, 49.51, 49.72, 49.84", \ + " 54.17, 54.25, 54.43, 54.64, 54.77", \ + " 62.16, 62.22, 62.37, 62.57, 62.71" ); }} +internal_power(b_z_p) { /* xnr3v1x2 86.27 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 42.78, 42.91, 43.13, 43.34, 43.44", \ + " 42.58, 42.71, 42.93, 43.14, 43.24", \ + " 42.61, 42.74, 42.97, 43.18, 43.28", \ + " 42.78, 42.91, 43.14, 43.35, 43.45", \ + " 43.29, 43.42, 43.64, 43.85, 43.96", \ + " 44.32, 44.44, 44.65, 44.86, 44.97", \ + " 46.15, 46.25, 46.44, 46.65, 46.76", \ + " 49.15, 49.23, 49.40, 49.59, 49.70", \ + " 54.06, 54.11, 54.23, 54.39, 54.49", \ + " 61.99, 61.99, 62.06, 62.17, 62.26" ); }} +internal_power(c_z_n) { /* xnr3v1x2 38.24 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 18.85, 18.98, 19.13, 19.22, 19.24", \ + " 18.40, 18.54, 18.76, 18.94, 19.03", \ + " 18.52, 18.63, 18.82, 19.03, 19.15", \ + " 18.92, 18.98, 19.12, 19.32, 19.45", \ + " 19.97, 19.93, 19.95, 20.07, 20.19", \ + " 21.89, 21.74, 21.55, 21.48, 21.52", \ + " 25.30, 24.99, 24.50, 24.07, 23.86", \ + " 30.93, 30.43, 29.54, 28.54, 27.85", \ + " 40.13, 39.40, 38.00, 36.20, 34.68", \ + " 54.95, 53.93, 51.90, 49.04, 46.29" ); }} +internal_power(c_z_p) { /* xnr3v1x2 47.20 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 23.25, 23.20, 23.03, 22.82, 22.66", \ + " 23.03, 23.00, 22.88, 22.70, 22.54", \ + " 23.27, 23.23, 23.12, 22.93, 22.76", \ + " 23.79, 23.73, 23.60, 23.40, 23.21", \ + " 25.01, 24.91, 24.72, 24.47, 24.23", \ + " 27.12, 26.97, 26.68, 26.30, 25.97", \ + " 30.74, 30.49, 30.04, 29.45, 28.93", \ + " 36.57, 36.21, 35.53, 34.61, 33.76", \ + " 46.01, 45.46, 44.44, 43.06, 41.70", \ + " 61.23, 60.40, 58.82, 56.74, 54.65" ); }} +timing() { /* ring osc delay xnr3v1x2, path a to z 192.7 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 172.9 ; */ +/* intrinsic_fall : 182.7 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 152.4, 166.3, 202.5, 282.6, 423.5, 721.8", \ + " 160.2, 174.0, 210.3, 290.4, 431.3, 729.6", \ + " 166.2, 180.1, 216.4, 296.4, 437.3, 735.7", \ + " 174.4, 188.3, 224.6, 304.6, 445.5, 743.9", \ + " 188.6, 202.4, 238.7, 318.7, 459.6, 758.0", \ + " 207.1, 220.9, 257.0, 337.1, 478.0, 776.4", \ + " 230.1, 243.9, 280.0, 360.0, 500.9, 799.3", \ + " 257.8, 271.6, 307.5, 387.5, 528.5, 826.9", \ + " 292.5, 306.2, 342.1, 422.0, 562.9, 861.3", \ + " 336.5, 350.3, 386.0, 465.8, 606.7, 905.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.4, 125.6, 218.9, 431.9, 811.5, 1618.3", \ + " 91.4, 125.6, 218.9, 431.9, 811.5, 1618.3", \ + " 91.4, 125.6, 218.9, 431.9, 811.5, 1618.3", \ + " 91.5, 125.6, 218.9, 431.9, 811.5, 1618.3", \ + " 91.6, 125.7, 219.0, 431.9, 811.5, 1618.3", \ + " 91.9, 125.9, 219.1, 431.9, 811.5, 1618.3", \ + " 92.9, 126.6, 219.4, 432.0, 811.6, 1618.3", \ + " 94.1, 127.6, 219.9, 432.2, 811.6, 1618.4", \ + " 95.8, 128.9, 220.6, 432.4, 811.7, 1618.4", \ + " 98.4, 131.1, 221.9, 432.9, 811.9, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 156.0, 166.9, 193.3, 249.4, 347.6, 555.4", \ + " 163.0, 173.9, 200.3, 256.5, 354.7, 562.5", \ + " 168.5, 179.4, 205.8, 261.9, 360.1, 567.9", \ + " 175.8, 186.7, 213.1, 269.3, 367.5, 575.3", \ + " 188.2, 199.1, 225.5, 281.7, 379.9, 587.7", \ + " 203.8, 214.7, 241.1, 297.3, 395.5, 603.3", \ + " 221.9, 232.8, 259.3, 315.5, 413.8, 621.6", \ + " 241.5, 252.5, 279.1, 335.4, 433.7, 641.5", \ + " 263.1, 274.2, 300.9, 357.2, 455.6, 663.4", \ + " 286.3, 297.6, 324.4, 380.8, 479.2, 687.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.0, 85.6, 146.8, 287.5, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.1, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.1, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.4, 85.8, 146.9, 287.6, 541.0, 1081.8", \ + " 64.1, 86.5, 147.4, 287.9, 541.0, 1081.8", \ + " 65.1, 87.4, 148.0, 288.2, 541.2, 1081.9", \ + " 66.5, 88.6, 148.9, 288.7, 541.4, 1081.9", \ + " 68.6, 90.5, 150.4, 289.5, 541.8, 1082.1" ); }} +timing() { /* ring osc delay xnr3v1x2, path a to z 197.5 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 172.9 ; */ +/* intrinsic_fall : 182.7 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 152.1, 162.9, 188.7, 241.1, 330.0, 517.1", \ + " 159.8, 170.5, 196.4, 248.7, 337.6, 524.7", \ + " 165.7, 176.5, 202.4, 254.7, 343.6, 530.7", \ + " 173.5, 184.2, 210.1, 262.4, 351.3, 538.4", \ + " 185.5, 196.3, 222.1, 274.5, 363.4, 550.5", \ + " 199.7, 210.4, 236.3, 288.6, 377.6, 564.6", \ + " 217.1, 227.9, 253.7, 306.1, 395.1, 582.1", \ + " 238.8, 249.6, 275.4, 327.9, 416.8, 603.9", \ + " 266.6, 277.4, 303.3, 355.7, 444.7, 631.8", \ + " 302.4, 313.2, 339.1, 391.7, 480.7, 667.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 130.7, 156.6, 228.4, 396.5, 703.1, 1363.3", \ + " 130.7, 156.6, 228.4, 396.6, 703.1, 1363.3", \ + " 130.7, 156.6, 228.4, 396.6, 703.1, 1363.3", \ + " 130.7, 156.6, 228.4, 396.6, 703.1, 1363.3", \ + " 130.8, 156.7, 228.4, 396.6, 703.1, 1363.3", \ + " 131.1, 156.9, 228.6, 396.7, 703.2, 1363.3", \ + " 131.4, 157.3, 228.9, 396.9, 703.3, 1363.4", \ + " 132.0, 157.8, 229.3, 397.2, 703.5, 1363.5", \ + " 132.9, 158.6, 230.1, 397.8, 703.9, 1363.6", \ + " 134.5, 160.1, 231.4, 398.7, 704.5, 1364.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.0, 178.3, 199.9, 241.9, 309.8, 447.0", \ + " 175.8, 185.1, 206.6, 248.7, 316.6, 453.8", \ + " 181.0, 190.2, 211.8, 253.9, 321.7, 459.0", \ + " 187.4, 196.6, 218.2, 260.2, 328.1, 465.3", \ + " 196.4, 205.6, 227.2, 269.2, 337.1, 474.4", \ + " 205.8, 215.1, 236.6, 278.7, 346.6, 483.8", \ + " 215.9, 225.2, 246.8, 288.9, 356.8, 494.1", \ + " 226.9, 236.2, 257.8, 299.9, 367.9, 505.2", \ + " 238.6, 248.0, 269.6, 311.8, 379.8, 517.2", \ + " 250.2, 259.5, 281.3, 323.6, 391.8, 529.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 94.2, 110.4, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.4, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.4, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.4, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.5, 110.7, 155.6, 260.4, 452.1, 868.5", \ + " 94.7, 110.9, 155.8, 260.6, 452.3, 868.5", \ + " 95.1, 111.3, 156.1, 260.8, 452.4, 868.6", \ + " 96.0, 112.1, 156.8, 261.3, 452.8, 868.8", \ + " 97.6, 113.6, 158.1, 262.4, 453.5, 869.3" ); }} +timing() { /* ring osc delay xnr3v1x2, path a to z 169.6 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 181.9 ; */ +/* intrinsic_fall : 173.9 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 133.0, 143.8, 169.8, 222.4, 311.5, 498.8", \ + " 140.1, 150.9, 176.8, 229.4, 318.5, 505.8", \ + " 145.5, 156.3, 182.3, 234.9, 324.0, 511.3", \ + " 152.9, 163.6, 189.6, 242.2, 331.3, 518.6", \ + " 165.2, 176.0, 201.9, 254.4, 343.6, 530.9", \ + " 180.7, 191.4, 217.3, 269.9, 359.0, 546.3", \ + " 198.7, 209.6, 235.8, 288.6, 377.7, 565.0", \ + " 218.2, 229.4, 256.0, 309.3, 398.6, 585.9", \ + " 239.7, 251.1, 278.3, 332.3, 421.9, 609.3", \ + " 262.6, 274.5, 302.6, 357.7, 448.0, 635.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 133.0, 158.8, 230.3, 398.2, 704.4, 1364.3", \ + " 133.1, 158.8, 230.3, 398.2, 704.4, 1364.3", \ + " 133.1, 158.9, 230.4, 398.2, 704.4, 1364.3", \ + " 133.3, 159.0, 230.5, 398.3, 704.5, 1364.3", \ + " 133.9, 159.6, 230.9, 398.5, 704.6, 1364.4", \ + " 136.5, 161.9, 232.7, 399.6, 705.1, 1364.5", \ + " 142.0, 167.0, 237.0, 402.7, 707.0, 1365.3", \ + " 149.4, 174.1, 243.4, 407.6, 710.3, 1366.9", \ + " 159.8, 184.2, 252.2, 414.6, 715.2, 1369.6", \ + " 174.9, 199.0, 265.8, 425.5, 723.4, 1374.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 127.1, 136.5, 158.4, 200.7, 268.9, 406.3", \ + " 134.9, 144.3, 166.2, 208.5, 276.7, 414.1", \ + " 140.9, 150.4, 172.2, 214.6, 282.8, 420.2", \ + " 149.1, 158.6, 180.4, 222.8, 291.0, 428.5", \ + " 163.2, 172.7, 194.6, 237.1, 305.3, 442.8", \ + " 181.7, 191.3, 213.4, 256.0, 324.4, 462.0", \ + " 204.8, 214.8, 237.5, 280.8, 349.7, 487.6", \ + " 232.4, 242.9, 266.6, 311.0, 380.9, 519.5", \ + " 266.9, 277.9, 302.8, 348.8, 420.0, 559.8", \ + " 310.4, 322.3, 348.9, 397.2, 470.3, 611.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.8, 112.1, 157.2, 261.9, 453.3, 869.2", \ + " 95.9, 112.2, 157.2, 261.9, 453.3, 869.2", \ + " 96.0, 112.3, 157.3, 262.0, 453.3, 869.2", \ + " 96.2, 112.5, 157.5, 262.1, 453.4, 869.2", \ + " 97.4, 113.5, 158.2, 262.6, 453.7, 869.4", \ + " 101.1, 117.0, 161.0, 264.5, 454.8, 869.8", \ + " 108.2, 123.8, 167.1, 269.2, 458.0, 871.4", \ + " 117.1, 132.6, 175.5, 276.4, 463.6, 874.7", \ + " 129.2, 144.6, 186.8, 286.4, 471.5, 879.8", \ + " 146.1, 161.4, 203.2, 301.0, 483.6, 887.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path a to z 222.0 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 181.9 ; */ +/* intrinsic_fall : 173.9 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 194.6, 208.5, 244.8, 324.9, 465.7, 764.1", \ + " 201.4, 215.3, 251.6, 331.6, 472.5, 770.8", \ + " 206.6, 220.5, 256.7, 336.8, 477.7, 776.0", \ + " 213.0, 226.8, 263.1, 343.2, 484.1, 782.4", \ + " 222.0, 235.8, 272.1, 352.2, 493.1, 791.4", \ + " 231.4, 245.3, 281.6, 361.6, 502.5, 800.9", \ + " 241.6, 255.4, 291.7, 371.8, 512.7, 811.0", \ + " 252.6, 266.4, 302.7, 382.8, 523.7, 822.0", \ + " 264.3, 278.2, 314.4, 394.5, 535.4, 833.7", \ + " 275.8, 289.7, 325.9, 406.0, 546.9, 845.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.3, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.3, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.4, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.6, 125.7, 219.0, 431.9, 811.5, 1618.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 175.0, 185.9, 212.2, 268.4, 366.6, 574.4", \ + " 182.7, 193.5, 219.9, 276.1, 374.2, 582.0", \ + " 188.6, 199.5, 225.9, 282.0, 380.2, 588.0", \ + " 196.4, 207.2, 233.6, 289.8, 387.9, 595.7", \ + " 208.4, 219.3, 245.7, 301.8, 400.0, 607.8", \ + " 222.6, 233.5, 259.8, 316.0, 414.2, 622.0", \ + " 240.0, 250.9, 277.3, 333.5, 431.7, 639.4", \ + " 261.8, 272.7, 299.1, 355.2, 453.4, 661.2", \ + " 289.6, 300.5, 326.9, 383.1, 481.3, 689.1", \ + " 325.5, 336.4, 362.8, 419.0, 517.2, 725.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.5, 540.9, 1081.8", \ + " 63.2, 85.7, 146.9, 287.6, 541.0, 1081.8" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 181.9 ; */ +/* intrinsic_fall : 173.9 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 194.6, 208.5, 244.8, 324.9, 465.7, 764.1", \ + " 201.4, 215.3, 251.6, 331.6, 472.5, 770.8", \ + " 206.6, 220.5, 256.7, 336.8, 477.7, 776.0", \ + " 213.0, 226.8, 263.1, 343.2, 484.1, 782.4", \ + " 222.0, 235.8, 272.1, 352.2, 493.1, 791.4", \ + " 231.4, 245.3, 281.6, 361.6, 502.5, 800.9", \ + " 241.6, 255.4, 291.7, 371.8, 512.7, 811.0", \ + " 252.6, 266.4, 302.7, 382.8, 523.7, 822.0", \ + " 264.3, 278.2, 314.4, 394.5, 535.4, 833.7", \ + " 275.8, 289.7, 325.9, 406.0, 546.9, 845.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.3, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.3, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.4, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.6, 125.7, 219.0, 431.9, 811.5, 1618.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 175.0, 185.9, 212.2, 268.4, 366.6, 574.4", \ + " 182.7, 193.5, 219.9, 276.1, 374.2, 582.0", \ + " 188.6, 199.5, 225.9, 282.0, 380.2, 588.0", \ + " 196.4, 207.2, 233.6, 289.8, 387.9, 595.7", \ + " 208.4, 219.3, 245.7, 301.8, 400.0, 607.8", \ + " 222.6, 233.5, 259.8, 316.0, 414.2, 622.0", \ + " 240.0, 250.9, 277.3, 333.5, 431.7, 639.4", \ + " 261.8, 272.7, 299.1, 355.2, 453.4, 661.2", \ + " 289.6, 300.5, 326.9, 383.1, 481.3, 689.1", \ + " 325.5, 336.4, 362.8, 419.0, 517.2, 725.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.5, 540.9, 1081.8", \ + " 63.2, 85.7, 146.9, 287.6, 541.0, 1081.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path b to z 181.0 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 147.3 ; */ +/* intrinsic_fall : 185.7 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 127.2, 141.2, 177.5, 257.6, 398.4, 696.7", \ + " 134.0, 148.0, 184.3, 264.4, 405.3, 703.6", \ + " 139.2, 153.2, 189.5, 269.6, 410.4, 708.7", \ + " 146.0, 159.9, 196.2, 276.3, 417.2, 715.5", \ + " 156.9, 170.8, 207.1, 287.2, 428.1, 726.4", \ + " 169.4, 183.2, 219.5, 299.5, 440.4, 738.8", \ + " 183.5, 197.3, 233.5, 313.6, 454.5, 752.8", \ + " 199.1, 212.9, 249.0, 329.1, 470.0, 768.4", \ + " 216.4, 230.2, 266.2, 346.2, 487.1, 785.5", \ + " 235.1, 248.9, 284.8, 364.7, 505.6, 804.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.6, 125.0, 218.7, 431.8, 811.5, 1618.3", \ + " 90.6, 125.0, 218.7, 431.8, 811.5, 1618.3", \ + " 90.5, 124.9, 218.7, 431.8, 811.5, 1618.3", \ + " 90.6, 125.0, 218.7, 431.8, 811.5, 1618.3", \ + " 90.7, 125.1, 218.7, 431.9, 811.5, 1618.3", \ + " 91.4, 125.6, 218.9, 431.9, 811.5, 1618.3", \ + " 92.3, 126.2, 219.2, 432.0, 811.6, 1618.3", \ + " 93.5, 127.1, 219.7, 432.1, 811.6, 1618.4", \ + " 95.1, 128.4, 220.4, 432.4, 811.7, 1618.4", \ + " 97.1, 130.1, 221.4, 432.7, 811.9, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 162.9, 173.8, 200.2, 256.3, 354.5, 562.3", \ + " 169.1, 180.0, 206.4, 262.5, 360.7, 568.5", \ + " 173.9, 184.8, 211.2, 267.3, 365.5, 573.3", \ + " 180.2, 191.1, 217.5, 273.6, 371.8, 579.6", \ + " 190.8, 201.7, 228.1, 284.2, 382.4, 590.2", \ + " 205.3, 216.2, 242.6, 298.8, 397.0, 604.8", \ + " 223.7, 234.6, 261.1, 317.3, 415.5, 623.3", \ + " 246.0, 257.0, 283.6, 339.8, 438.1, 645.9", \ + " 274.2, 285.3, 311.9, 368.3, 466.5, 674.4", \ + " 310.1, 321.3, 348.0, 404.5, 502.8, 710.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8", \ + " 62.8, 85.4, 146.6, 287.5, 540.9, 1081.8", \ + " 63.0, 85.5, 146.8, 287.5, 540.9, 1081.8", \ + " 63.8, 86.2, 147.2, 287.8, 541.0, 1081.8", \ + " 64.6, 87.0, 147.8, 288.1, 541.2, 1081.8", \ + " 65.9, 88.1, 148.6, 288.5, 541.3, 1081.9", \ + " 67.7, 89.7, 149.8, 289.2, 541.7, 1082.0" ); }} +timing() { /* ring osc delay xnr3v1x2, path b to z 186.6 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 147.3 ; */ +/* intrinsic_fall : 185.7 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 128.0, 138.8, 164.7, 217.3, 306.5, 493.8", \ + " 136.2, 147.0, 172.9, 225.5, 314.7, 502.0", \ + " 142.5, 153.3, 179.3, 231.9, 321.0, 508.3", \ + " 150.7, 161.5, 187.4, 240.0, 329.2, 516.5", \ + " 163.9, 174.6, 200.6, 253.2, 342.3, 529.6", \ + " 179.3, 190.1, 216.0, 268.5, 357.7, 545.0", \ + " 197.7, 208.4, 234.2, 286.6, 375.7, 563.0", \ + " 219.6, 230.3, 256.1, 308.5, 397.4, 584.6", \ + " 246.8, 257.6, 283.4, 335.9, 424.8, 611.8", \ + " 281.5, 292.2, 318.1, 370.7, 459.7, 646.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 133.0, 158.8, 230.4, 398.2, 704.5, 1364.3", \ + " 133.0, 158.8, 230.4, 398.2, 704.5, 1364.3", \ + " 132.9, 158.7, 230.3, 398.2, 704.5, 1364.3", \ + " 132.9, 158.7, 230.3, 398.2, 704.4, 1364.3", \ + " 132.7, 158.5, 230.2, 398.1, 704.4, 1364.3", \ + " 131.9, 157.9, 229.7, 397.9, 704.3, 1364.3", \ + " 131.7, 157.6, 229.4, 397.4, 703.9, 1364.1", \ + " 132.3, 158.2, 229.7, 397.5, 703.8, 1363.7", \ + " 133.3, 159.1, 230.6, 398.0, 704.0, 1363.7", \ + " 134.8, 160.5, 231.8, 399.1, 704.6, 1364.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 170.9, 180.2, 201.7, 243.8, 311.7, 448.9", \ + " 178.1, 187.3, 208.9, 250.9, 318.8, 456.0", \ + " 183.2, 192.5, 214.0, 256.1, 324.0, 461.2", \ + " 189.0, 198.3, 219.8, 261.9, 329.8, 467.0", \ + " 196.5, 205.8, 227.3, 269.4, 337.3, 474.5", \ + " 204.1, 213.3, 234.9, 277.0, 344.9, 482.1", \ + " 212.5, 221.8, 243.3, 285.3, 353.1, 490.3", \ + " 221.6, 230.9, 252.4, 294.4, 362.1, 499.2", \ + " 230.7, 240.0, 261.6, 303.7, 371.5, 508.5", \ + " 238.8, 248.1, 269.8, 312.1, 380.2, 517.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.2, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 94.3, 110.5, 155.4, 260.3, 452.1, 868.4", \ + " 93.9, 110.1, 155.1, 260.0, 451.8, 868.3", \ + " 94.4, 110.6, 155.3, 260.0, 451.7, 868.0", \ + " 95.2, 111.3, 156.0, 260.6, 452.0, 868.1", \ + " 96.5, 112.5, 157.2, 261.7, 453.0, 868.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path b to z 155.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 184.9 ; */ +/* intrinsic_fall : 147.9 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 140.1, 150.8, 176.7, 229.1, 318.0, 505.0", \ + " 146.2, 157.0, 182.9, 235.2, 324.1, 511.2", \ + " 151.1, 161.8, 187.7, 240.1, 329.0, 516.0", \ + " 157.3, 168.1, 193.9, 246.3, 335.2, 522.3", \ + " 167.9, 178.7, 204.5, 256.9, 345.8, 532.9", \ + " 182.4, 193.1, 219.0, 271.4, 360.3, 547.4", \ + " 200.6, 211.6, 237.9, 290.6, 379.6, 566.7", \ + " 222.9, 234.1, 260.8, 314.1, 403.4, 590.5", \ + " 251.0, 262.4, 289.6, 343.6, 433.3, 620.7", \ + " 286.6, 298.4, 326.6, 381.7, 472.2, 659.9" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 130.7, 156.6, 228.3, 396.5, 703.1, 1363.3", \ + " 130.7, 156.6, 228.4, 396.5, 703.1, 1363.3", \ + " 130.7, 156.6, 228.4, 396.5, 703.1, 1363.3", \ + " 130.8, 156.6, 228.4, 396.6, 703.1, 1363.3", \ + " 131.1, 156.9, 228.6, 396.7, 703.2, 1363.3", \ + " 133.4, 159.0, 230.2, 397.6, 703.6, 1363.4", \ + " 138.4, 163.7, 234.2, 400.6, 705.5, 1364.2", \ + " 144.5, 169.7, 239.7, 404.9, 708.5, 1365.9", \ + " 153.1, 178.0, 247.1, 411.0, 712.8, 1368.3", \ + " 165.7, 190.3, 258.5, 420.3, 720.1, 1372.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.5, 110.6, 131.8, 173.5, 241.2, 378.3", \ + " 108.3, 117.4, 138.6, 180.3, 248.0, 385.1", \ + " 113.5, 122.6, 143.8, 185.5, 253.2, 390.3", \ + " 120.2, 129.3, 150.5, 192.2, 259.9, 397.0", \ + " 131.2, 140.2, 161.5, 203.4, 271.1, 408.3", \ + " 143.7, 153.1, 174.9, 217.1, 285.2, 422.6", \ + " 157.9, 167.6, 189.9, 233.1, 302.0, 439.9", \ + " 173.5, 183.7, 206.8, 250.8, 320.6, 459.4", \ + " 190.7, 201.3, 225.6, 270.8, 341.5, 481.2", \ + " 209.0, 220.3, 246.0, 293.0, 365.0, 505.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.4, 105.2, 151.3, 257.5, 450.5, 867.8", \ + " 88.4, 105.1, 151.2, 257.5, 450.5, 867.8", \ + " 88.4, 105.1, 151.1, 257.4, 450.4, 867.8", \ + " 88.6, 105.3, 151.3, 257.5, 450.4, 867.8", \ + " 91.0, 107.4, 152.8, 258.4, 451.0, 868.0", \ + " 96.0, 112.2, 157.0, 261.6, 453.1, 869.0", \ + " 102.2, 118.4, 162.9, 266.6, 457.0, 871.6", \ + " 110.3, 126.3, 170.2, 273.0, 461.9, 874.9", \ + " 121.0, 136.8, 180.0, 281.3, 468.7, 879.1", \ + " 135.3, 151.0, 193.6, 292.9, 477.6, 884.9" ); }} +timing() { /* ring osc delay xnr3v1x2, path b to z 211.2 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 184.9 ; */ +/* intrinsic_fall : 147.9 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 196.5, 210.4, 246.7, 326.8, 467.7, 766.0", \ + " 203.7, 217.5, 253.8, 333.9, 474.8, 773.1", \ + " 208.8, 222.7, 259.0, 339.0, 479.9, 778.3", \ + " 214.6, 228.5, 264.8, 344.8, 485.7, 784.1", \ + " 222.1, 236.0, 272.3, 352.4, 493.2, 791.6", \ + " 229.7, 243.6, 279.8, 359.9, 500.8, 799.1", \ + " 238.2, 252.1, 288.3, 368.4, 509.3, 807.6", \ + " 247.3, 261.1, 297.4, 377.5, 518.4, 816.7", \ + " 256.3, 270.2, 306.5, 386.5, 527.4, 825.8", \ + " 264.4, 278.2, 314.5, 394.6, 535.5, 833.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.3, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.5, 125.6, 218.9, 431.9, 811.5, 1618.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 151.0, 161.9, 188.2, 244.4, 342.6, 550.4", \ + " 159.2, 170.1, 196.5, 252.6, 350.8, 558.6", \ + " 165.5, 176.4, 202.8, 259.0, 357.2, 565.0", \ + " 173.7, 184.6, 211.0, 267.1, 365.3, 573.1", \ + " 186.8, 197.7, 224.1, 280.3, 378.5, 586.3", \ + " 202.3, 213.2, 239.6, 295.8, 394.0, 601.7", \ + " 220.7, 231.6, 258.0, 314.1, 412.3, 620.1", \ + " 242.6, 253.5, 279.9, 336.1, 434.3, 642.0", \ + " 269.9, 280.8, 307.2, 363.4, 461.6, 669.4", \ + " 304.6, 315.5, 341.9, 398.1, 496.3, 704.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.5, 146.8, 287.6, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.4, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 63.1, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.3, 85.8, 146.9, 287.6, 541.0, 1081.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 184.9 ; */ +/* intrinsic_fall : 147.9 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 196.5, 210.4, 246.7, 326.8, 467.7, 766.0", \ + " 203.7, 217.5, 253.8, 333.9, 474.8, 773.1", \ + " 208.8, 222.7, 259.0, 339.0, 479.9, 778.3", \ + " 214.6, 228.5, 264.8, 344.8, 485.7, 784.1", \ + " 222.1, 236.0, 272.3, 352.4, 493.2, 791.6", \ + " 229.7, 243.6, 279.8, 359.9, 500.8, 799.1", \ + " 238.2, 252.1, 288.3, 368.4, 509.3, 807.6", \ + " 247.3, 261.1, 297.4, 377.5, 518.4, 816.7", \ + " 256.3, 270.2, 306.5, 386.5, 527.4, 825.8", \ + " 264.4, 278.2, 314.5, 394.6, 535.5, 833.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.9, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.2, 125.4, 218.8, 431.9, 811.5, 1618.3", \ + " 91.3, 125.5, 218.9, 431.9, 811.5, 1618.3", \ + " 91.5, 125.6, 218.9, 431.9, 811.5, 1618.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 151.0, 161.9, 188.2, 244.4, 342.6, 550.4", \ + " 159.2, 170.1, 196.5, 252.6, 350.8, 558.6", \ + " 165.5, 176.4, 202.8, 259.0, 357.2, 565.0", \ + " 173.7, 184.6, 211.0, 267.1, 365.3, 573.1", \ + " 186.8, 197.7, 224.1, 280.3, 378.5, 586.3", \ + " 202.3, 213.2, 239.6, 295.8, 394.0, 601.7", \ + " 220.7, 231.6, 258.0, 314.1, 412.3, 620.1", \ + " 242.6, 253.5, 279.9, 336.1, 434.3, 642.0", \ + " 269.9, 280.8, 307.2, 363.4, 461.6, 669.4", \ + " 304.6, 315.5, 341.9, 398.1, 496.3, 704.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.0, 85.5, 146.8, 287.6, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.4, 146.7, 287.5, 540.9, 1081.8", \ + " 62.9, 85.5, 146.7, 287.5, 540.9, 1081.8", \ + " 63.1, 85.6, 146.8, 287.6, 540.9, 1081.8", \ + " 63.3, 85.8, 146.9, 287.6, 541.0, 1081.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path c to z 70.9 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.5 ; */ +/* intrinsic_fall : 32.9 ; */ +/* rise_resistance : 2.21 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.6, 75.8, 111.8, 191.2, 331.7, 629.7", \ + " 68.5, 82.6, 118.9, 198.8, 339.5, 637.8", \ + " 74.6, 88.7, 124.8, 204.8, 345.7, 644.1", \ + " 82.9, 96.8, 132.9, 212.8, 353.8, 652.3", \ + " 96.3, 110.7, 146.7, 226.5, 367.6, 666.2", \ + " 112.0, 128.2, 166.2, 245.9, 386.9, 685.6", \ + " 133.1, 151.0, 193.1, 275.1, 416.0, 714.7", \ + " 161.5, 181.6, 228.2, 317.6, 459.3, 757.9", \ + " 201.1, 224.3, 276.7, 375.3, 525.1, 823.7", \ + " 257.6, 285.0, 345.3, 455.1, 619.6, 924.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.4, 126.3, 219.4, 431.9, 811.4, 1618.3", \ + " 93.5, 127.0, 219.6, 431.9, 811.4, 1618.3", \ + " 94.1, 127.5, 219.8, 432.0, 811.4, 1618.3", \ + " 97.0, 129.3, 220.5, 432.1, 811.4, 1618.3", \ + " 103.6, 135.1, 224.3, 433.0, 811.5, 1618.3", \ + " 114.7, 144.9, 232.4, 438.1, 812.4, 1618.3", \ + " 130.5, 162.8, 247.1, 449.4, 818.8, 1618.5", \ + " 149.6, 184.5, 273.0, 470.2, 833.9, 1624.3", \ + " 178.6, 215.3, 310.0, 506.7, 863.0, 1642.3", \ + " 224.8, 262.5, 360.9, 568.0, 915.2, 1680.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.0, 25.2, 39.9, 74.5, 137.1, 270.5", \ + " 26.7, 32.1, 46.3, 80.7, 143.3, 276.8", \ + " 29.9, 36.4, 51.1, 85.1, 147.7, 281.1", \ + " 32.5, 40.0, 57.1, 90.9, 153.2, 286.7", \ + " 34.5, 43.5, 63.9, 101.1, 162.5, 295.8", \ + " 34.4, 45.1, 69.2, 112.7, 175.5, 307.9", \ + " 31.0, 43.7, 72.1, 123.1, 194.8, 325.5", \ + " 22.3, 37.2, 70.5, 130.2, 213.8, 352.6", \ + " 4.9, 22.4, 61.5, 131.7, 229.3, 389.8", \ + " -26.5, -6.0, 39.9, 122.3, 237.2, 424.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.6, 51.7, 106.1, 220.8, 421.8, 850.2", \ + " 39.6, 57.5, 108.9, 221.3, 421.5, 849.7", \ + " 48.2, 64.7, 114.0, 224.1, 421.8, 849.1", \ + " 58.1, 75.7, 122.8, 229.9, 424.6, 848.3", \ + " 73.2, 92.7, 140.7, 243.4, 432.9, 850.1", \ + " 92.7, 113.8, 167.2, 266.8, 449.3, 858.0", \ + " 119.4, 142.3, 201.4, 305.8, 480.9, 876.7", \ + " 154.9, 180.3, 246.3, 362.9, 535.3, 914.8", \ + " 203.6, 232.1, 306.2, 438.3, 623.5, 987.8", \ + " 271.1, 304.1, 387.3, 539.4, 747.6, 1114.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path c to z 70.9 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.5 ; */ +/* intrinsic_fall : 32.9 ; */ +/* rise_resistance : 2.21 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.6, 75.8, 111.8, 191.2, 331.7, 629.7", \ + " 68.5, 82.6, 118.9, 198.8, 339.5, 637.8", \ + " 74.6, 88.7, 124.8, 204.8, 345.7, 644.1", \ + " 82.9, 96.8, 132.9, 212.8, 353.8, 652.3", \ + " 96.3, 110.7, 146.7, 226.5, 367.6, 666.2", \ + " 112.0, 128.2, 166.2, 245.9, 386.9, 685.6", \ + " 133.1, 151.0, 193.1, 275.1, 416.0, 714.7", \ + " 161.5, 181.6, 228.2, 317.6, 459.3, 757.9", \ + " 201.1, 224.3, 276.7, 375.3, 525.1, 823.7", \ + " 257.6, 285.0, 345.3, 455.1, 619.6, 924.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.4, 126.3, 219.4, 431.9, 811.4, 1618.3", \ + " 93.5, 127.0, 219.6, 431.9, 811.4, 1618.3", \ + " 94.1, 127.5, 219.8, 432.0, 811.4, 1618.3", \ + " 97.0, 129.3, 220.5, 432.1, 811.4, 1618.3", \ + " 103.6, 135.1, 224.3, 433.0, 811.5, 1618.3", \ + " 114.7, 144.9, 232.4, 438.1, 812.4, 1618.3", \ + " 130.5, 162.8, 247.1, 449.4, 818.8, 1618.5", \ + " 149.6, 184.5, 273.0, 470.2, 833.9, 1624.3", \ + " 178.6, 215.3, 310.0, 506.7, 863.0, 1642.3", \ + " 224.8, 262.5, 360.9, 568.0, 915.2, 1680.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.9, 25.2, 39.9, 74.5, 137.1, 270.5", \ + " 26.7, 32.1, 46.3, 80.7, 143.3, 276.8", \ + " 29.9, 36.4, 51.1, 85.1, 147.7, 281.1", \ + " 32.5, 40.0, 57.1, 90.9, 153.2, 286.7", \ + " 34.5, 43.5, 63.9, 101.1, 162.5, 295.8", \ + " 34.4, 45.1, 69.2, 112.7, 175.5, 307.9", \ + " 31.0, 43.7, 72.1, 123.1, 194.8, 325.5", \ + " 22.3, 37.2, 70.5, 130.2, 213.8, 352.6", \ + " 4.9, 22.4, 61.5, 131.7, 229.3, 389.8", \ + " -26.5, -6.0, 39.9, 122.3, 237.2, 424.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.6, 51.7, 106.1, 220.8, 421.8, 850.3", \ + " 39.6, 57.5, 108.9, 221.3, 421.6, 849.7", \ + " 48.2, 64.7, 114.0, 224.1, 421.9, 849.1", \ + " 58.1, 75.7, 122.8, 229.9, 424.6, 848.3", \ + " 73.2, 92.7, 140.7, 243.4, 432.9, 850.1", \ + " 92.7, 113.8, 167.2, 266.8, 449.4, 858.0", \ + " 119.4, 142.3, 201.4, 305.8, 481.0, 876.7", \ + " 154.9, 180.3, 246.3, 362.9, 535.3, 914.8", \ + " 203.6, 232.1, 306.2, 438.3, 623.5, 987.8", \ + " 271.1, 304.1, 387.3, 539.3, 747.6, 1114.8" ); }} +timing() { /* ring osc delay xnr3v1x2, path c to z 86.7 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.7 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.4, 198.7, 384.6", \ + " 49.6, 55.9, 74.1, 119.9, 205.8, 391.3", \ + " 55.4, 61.7, 79.8, 125.2, 210.7, 395.9", \ + " 63.0, 69.1, 86.8, 131.6, 216.6, 401.4", \ + " 74.4, 81.3, 98.8, 142.1, 226.0, 409.8", \ + " 84.4, 92.5, 113.2, 156.7, 238.6, 420.9", \ + " 93.1, 102.2, 125.6, 176.5, 257.7, 436.9", \ + " 100.2, 110.1, 135.4, 192.1, 285.3, 461.3", \ + " 105.3, 116.0, 142.7, 202.7, 308.0, 500.2", \ + " 106.6, 118.3, 146.6, 208.8, 320.4, 544.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.4, 137.7, 210.4, 379.7, 689.9, 1357.5", \ + " 113.2, 137.9, 208.6, 378.2, 688.9, 1357.1", \ + " 115.7, 140.3, 210.0, 377.1, 687.9, 1356.6", \ + " 119.5, 144.0, 212.7, 377.6, 686.4, 1355.8", \ + " 125.3, 150.7, 219.1, 381.7, 687.4, 1353.6", \ + " 129.1, 156.1, 229.4, 391.8, 694.0, 1354.5", \ + " 134.7, 162.3, 238.1, 410.8, 710.1, 1363.6", \ + " 142.4, 170.7, 248.0, 429.4, 740.3, 1386.5", \ + " 153.9, 182.9, 261.5, 444.1, 777.6, 1431.8", \ + " 170.6, 200.2, 280.0, 464.1, 800.8, 1505.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.9, 74.0, 99.2, 154.4, 252.1, 459.6", \ + " 72.0, 82.1, 107.4, 162.7, 260.5, 468.0", \ + " 78.0, 88.2, 113.6, 169.0, 266.9, 474.4", \ + " 85.2, 95.4, 121.0, 176.6, 274.5, 482.1", \ + " 95.6, 106.1, 131.9, 187.6, 285.6, 493.2", \ + " 108.2, 118.7, 144.7, 200.7, 298.7, 506.3", \ + " 124.2, 135.0, 161.2, 217.2, 315.4, 523.0", \ + " 144.4, 155.6, 182.2, 238.4, 336.6, 544.3", \ + " 170.6, 182.3, 209.8, 266.4, 364.6, 572.3", \ + " 205.1, 217.6, 246.3, 303.9, 402.5, 610.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.4, 80.6, 143.3, 285.8, 540.3, 1081.6", \ + " 57.5, 80.7, 143.3, 285.8, 540.3, 1081.6", \ + " 57.8, 80.8, 143.4, 285.8, 540.3, 1081.6", \ + " 58.8, 81.5, 143.7, 285.9, 540.3, 1081.6", \ + " 60.8, 83.1, 144.7, 286.4, 540.3, 1081.6", \ + " 63.2, 85.2, 146.0, 287.0, 540.7, 1081.6", \ + " 67.4, 88.4, 147.9, 288.0, 541.0, 1081.8", \ + " 73.4, 93.9, 151.5, 289.7, 541.7, 1081.9", \ + " 82.2, 102.1, 158.0, 293.1, 543.3, 1082.4", \ + " 94.8, 114.4, 168.5, 300.3, 547.0, 1084.0" ); }} +timing() { /* ring osc delay xnr3v1x2, path c to z 86.7 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.7 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.4, 198.7, 384.6", \ + " 49.6, 55.9, 74.1, 119.9, 205.8, 391.3", \ + " 55.4, 61.7, 79.8, 125.2, 210.7, 395.9", \ + " 63.0, 69.1, 86.8, 131.6, 216.6, 401.4", \ + " 74.4, 81.3, 98.8, 142.1, 226.0, 409.9", \ + " 84.4, 92.5, 113.2, 156.7, 238.6, 420.9", \ + " 93.1, 102.2, 125.6, 176.5, 257.7, 436.9", \ + " 100.2, 110.1, 135.4, 192.1, 285.3, 461.3", \ + " 105.3, 116.0, 142.7, 202.7, 308.0, 500.2", \ + " 106.6, 118.3, 146.6, 208.8, 320.4, 544.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.4, 137.7, 210.4, 379.7, 689.9, 1357.5", \ + " 113.2, 137.9, 208.6, 378.2, 688.9, 1357.1", \ + " 115.7, 140.3, 210.0, 377.1, 687.9, 1356.6", \ + " 119.5, 144.0, 212.7, 377.6, 686.4, 1355.8", \ + " 125.3, 150.7, 219.1, 381.7, 687.4, 1353.6", \ + " 129.1, 156.1, 229.4, 391.8, 694.0, 1354.5", \ + " 134.7, 162.3, 238.1, 410.8, 710.1, 1363.6", \ + " 142.4, 170.7, 248.0, 429.4, 740.3, 1386.5", \ + " 153.9, 182.9, 261.5, 444.1, 777.6, 1431.8", \ + " 170.6, 200.2, 280.0, 464.1, 800.8, 1505.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 74.0, 99.2, 154.4, 252.1, 459.6", \ + " 72.0, 82.1, 107.4, 162.7, 260.5, 468.0", \ + " 78.0, 88.2, 113.6, 169.0, 266.9, 474.4", \ + " 85.2, 95.4, 121.0, 176.6, 274.5, 482.1", \ + " 95.6, 106.1, 131.9, 187.6, 285.6, 493.2", \ + " 108.2, 118.7, 144.7, 200.7, 298.7, 506.3", \ + " 124.2, 135.0, 161.2, 217.2, 315.4, 523.0", \ + " 144.4, 155.6, 182.2, 238.4, 336.6, 544.3", \ + " 170.6, 182.3, 209.8, 266.4, 364.6, 572.3", \ + " 205.1, 217.6, 246.3, 303.9, 402.5, 610.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.4, 80.6, 143.3, 285.8, 540.3, 1081.6", \ + " 57.5, 80.7, 143.3, 285.8, 540.3, 1081.6", \ + " 57.8, 80.8, 143.4, 285.8, 540.3, 1081.6", \ + " 58.8, 81.5, 143.7, 285.9, 540.3, 1081.6", \ + " 60.8, 83.1, 144.7, 286.4, 540.3, 1081.6", \ + " 63.2, 85.2, 146.0, 287.0, 540.7, 1081.6", \ + " 67.4, 88.4, 147.9, 288.0, 541.0, 1081.8", \ + " 73.4, 93.9, 151.5, 289.7, 541.7, 1081.9", \ + " 82.2, 102.1, 158.0, 293.1, 543.3, 1082.4", \ + " 94.8, 114.4, 168.5, 300.3, 547.0, 1084.0" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.7 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.4, 198.7, 384.6", \ + " 49.6, 55.9, 74.1, 119.9, 205.8, 391.3", \ + " 55.4, 61.7, 79.8, 125.2, 210.7, 395.9", \ + " 63.0, 69.1, 86.8, 131.6, 216.6, 401.4", \ + " 74.4, 81.3, 98.8, 142.1, 226.0, 409.9", \ + " 84.4, 92.5, 113.2, 156.7, 238.6, 420.9", \ + " 93.1, 102.2, 125.6, 176.5, 257.7, 436.9", \ + " 100.2, 110.1, 135.4, 192.1, 285.3, 461.3", \ + " 105.3, 116.0, 142.7, 202.7, 308.0, 500.2", \ + " 106.6, 118.3, 146.6, 208.8, 320.4, 544.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.4, 137.7, 210.4, 379.7, 689.9, 1357.5", \ + " 113.2, 137.9, 208.6, 378.2, 688.9, 1357.1", \ + " 115.7, 140.3, 210.0, 377.1, 687.9, 1356.6", \ + " 119.5, 144.0, 212.7, 377.6, 686.4, 1355.8", \ + " 125.3, 150.7, 219.1, 381.7, 687.4, 1353.6", \ + " 129.1, 156.1, 229.4, 391.8, 694.0, 1354.5", \ + " 134.7, 162.3, 238.1, 410.8, 710.1, 1363.6", \ + " 142.4, 170.7, 248.0, 429.4, 740.3, 1386.5", \ + " 153.9, 182.9, 261.5, 444.1, 777.6, 1431.8", \ + " 170.6, 200.2, 280.0, 464.1, 800.8, 1505.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 74.0, 99.2, 154.4, 252.1, 459.6", \ + " 72.0, 82.1, 107.4, 162.7, 260.5, 468.0", \ + " 78.0, 88.2, 113.6, 169.0, 266.9, 474.4", \ + " 85.2, 95.4, 121.0, 176.6, 274.5, 482.1", \ + " 95.6, 106.1, 131.9, 187.6, 285.6, 493.2", \ + " 108.2, 118.7, 144.7, 200.7, 298.7, 506.3", \ + " 124.2, 135.0, 161.2, 217.2, 315.4, 523.0", \ + " 144.4, 155.6, 182.2, 238.4, 336.6, 544.3", \ + " 170.6, 182.3, 209.8, 266.4, 364.6, 572.3", \ + " 205.1, 217.6, 246.3, 303.9, 402.5, 610.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.4, 80.6, 143.3, 285.8, 540.3, 1081.6", \ + " 57.5, 80.7, 143.3, 285.8, 540.3, 1081.6", \ + " 57.8, 80.8, 143.4, 285.8, 540.3, 1081.6", \ + " 58.8, 81.5, 143.7, 285.9, 540.3, 1081.6", \ + " 60.8, 83.1, 144.7, 286.4, 540.3, 1081.6", \ + " 63.2, 85.2, 146.0, 287.0, 540.7, 1081.6", \ + " 67.4, 88.4, 147.9, 288.0, 541.0, 1081.8", \ + " 73.4, 93.9, 151.5, 289.7, 541.7, 1081.9", \ + " 82.2, 102.1, 158.0, 293.1, 543.3, 1082.4", \ + " 94.8, 114.4, 168.5, 300.3, 547.0, 1084.0" ); }} +} +} +cell(xooi21v0x05) { /* 2008-01-06:08h03 characteristic delay 19.6 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 866 ; /* xooi21v0x05 */ +cell_footprint : xooi21 ; +pin(a1) { /* xooi21v0x05 FO4 effort 2.29 logical effort 1.93 */ +direction : input ; +capacitance : 3.52 ; +rise_capacitance : 3.53 ; +fall_capacitance : 3.52 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xooi21v0x05 */ +} +pin(a2) { /* xooi21v0x05 FO4 effort 2.12 logical effort 1.87 */ +direction : input ; +capacitance : 3.54 ; +rise_capacitance : 3.50 ; +fall_capacitance : 3.57 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xooi21v0x05 */ +} +pin(b) { /* xooi21v0x05 FO4 effort 2.37 logical effort 3.04 */ +direction : input ; +capacitance : 4.79 ; +rise_capacitance : 4.72 ; +fall_capacitance : 4.85 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xooi21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 64 ; +max_fanout : 2 ; +function : "((a1+a2)^b)'" ; +internal_power(a1_z_n) { /* xooi21v0x05 21.18 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 10.74, 10.74, 10.74, 10.74, 10.72", \ + " 10.61, 10.62, 10.63, 10.63, 10.62", \ + " 10.58, 10.59, 10.60, 10.61, 10.61", \ + " 10.57, 10.58, 10.59, 10.61, 10.61", \ + " 10.62, 10.63, 10.64, 10.65, 10.65", \ + " 10.81, 10.80, 10.79, 10.78, 10.77", \ + " 11.25, 11.22, 11.16, 11.09, 11.04", \ + " 12.13, 12.05, 11.90, 11.71, 11.57", \ + " 13.76, 13.61, 13.31, 12.92, 12.57", \ + " 16.57, 16.34, 15.84, 15.12, 14.43" ); }} +internal_power(a1_z_p) { /* xooi21v0x05 22.54 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 11.37, 11.40, 11.45, 11.50, 11.53", \ + " 11.23, 11.26, 11.32, 11.38, 11.40", \ + " 11.19, 11.22, 11.29, 11.34, 11.37", \ + " 11.17, 11.21, 11.27, 11.33, 11.37", \ + " 11.24, 11.26, 11.32, 11.38, 11.42", \ + " 11.46, 11.47, 11.51, 11.56, 11.59", \ + " 11.96, 11.95, 11.95, 11.97, 11.98", \ + " 12.94, 12.90, 12.83, 12.78, 12.74", \ + " 14.71, 14.62, 14.46, 14.28, 14.13", \ + " 17.72, 17.57, 17.29, 16.91, 16.58" ); }} +internal_power(a2_z_n) { /* xooi21v0x05 17.91 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 9.00, 9.01, 9.02, 9.02, 9.01", \ + " 8.90, 8.92, 8.95, 8.98, 8.99", \ + " 8.88, 8.90, 8.94, 8.98, 9.00", \ + " 8.90, 8.92, 8.96, 9.00, 9.03", \ + " 9.02, 9.03, 9.05, 9.09, 9.11", \ + " 9.32, 9.30, 9.28, 9.28, 9.29", \ + " 9.92, 9.86, 9.77, 9.68, 9.62", \ + " 10.98, 10.87, 10.67, 10.42, 10.23", \ + " 12.82, 12.64, 12.27, 11.78, 11.36", \ + " 15.92, 15.63, 15.04, 14.19, 13.40" ); }} +internal_power(a2_z_p) { /* xooi21v0x05 19.19 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 9.61, 9.65, 9.72, 9.76, 9.78", \ + " 9.48, 9.53, 9.60, 9.67, 9.70", \ + " 9.45, 9.50, 9.58, 9.65, 9.69", \ + " 9.47, 9.52, 9.59, 9.67, 9.72", \ + " 9.62, 9.65, 9.71, 9.79, 9.84", \ + " 9.97, 9.98, 10.01, 10.07, 10.11", \ + " 10.65, 10.63, 10.61, 10.61, 10.62", \ + " 11.83, 11.77, 11.68, 11.59, 11.54", \ + " 13.84, 13.73, 13.54, 13.31, 13.13", \ + " 17.16, 16.99, 16.65, 16.21, 15.83" ); }} +internal_power(b_z_n) { /* xooi21v0x05 14.43 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 7.25, 7.31, 7.39, 7.43, 7.45", \ + " 6.99, 7.08, 7.22, 7.34, 7.40", \ + " 6.95, 7.04, 7.19, 7.34, 7.42", \ + " 7.01, 7.08, 7.22, 7.37, 7.47", \ + " 7.25, 7.29, 7.38, 7.52, 7.63", \ + " 7.78, 7.77, 7.79, 7.86, 7.95", \ + " 8.77, 8.70, 8.61, 8.56, 8.57", \ + " 10.44, 10.31, 10.08, 9.85, 9.70", \ + " 13.23, 13.01, 12.60, 12.10, 11.70", \ + " 17.83, 17.49, 16.83, 15.94, 15.15" ); }} +internal_power(b_z_p) { /* xooi21v0x05 20.05 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 9.98, 9.96, 9.88, 9.73, 9.58", \ + " 9.89, 9.89, 9.83, 9.70, 9.56", \ + " 9.95, 9.94, 9.89, 9.78, 9.64", \ + " 10.08, 10.07, 10.02, 9.92, 9.78", \ + " 10.43, 10.41, 10.36, 10.25, 10.11", \ + " 11.06, 11.02, 10.95, 10.82, 10.66", \ + " 12.16, 12.10, 11.98, 11.81, 11.61", \ + " 13.94, 13.85, 13.68, 13.43, 13.16", \ + " 16.85, 16.71, 16.46, 16.10, 15.71", \ + " 21.64, 21.38, 20.94, 20.40, 19.84" ); }} +timing() { /* ring osc delay xooi21v0x05, path a1 to z 135.1 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 93.8 ; */ +/* intrinsic_fall : 93.6 ; */ +/* rise_resistance : 5.32 ; */ +/* fall_resistance : 4.20 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.9, 87.5, 115.1, 175.3, 280.6, 502.5", \ + " 84.4, 95.1, 122.7, 183.0, 288.3, 510.2", \ + " 89.4, 100.1, 127.7, 188.0, 293.3, 515.3", \ + " 95.7, 106.4, 134.0, 194.3, 299.6, 521.6", \ + " 106.4, 117.1, 144.8, 205.1, 310.4, 532.4", \ + " 118.8, 130.7, 160.0, 220.5, 325.8, 547.8", \ + " 134.1, 147.3, 179.5, 243.5, 349.0, 571.0", \ + " 152.9, 167.6, 203.3, 273.6, 383.1, 605.3", \ + " 176.8, 193.3, 233.4, 311.3, 431.0, 656.8", \ + " 206.9, 225.9, 271.6, 359.3, 491.7, 733.8" ); } +rise_transition(x05_81_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 188.0, 220.2, 308.3, 510.9, 875.0, 1652.1", \ + " 188.6, 220.7, 308.6, 510.9, 875.0, 1652.1", \ + " 191.0, 222.7, 309.8, 511.3, 875.1, 1652.1", \ + " 195.5, 226.9, 313.1, 513.2, 875.4, 1652.1", \ + " 205.6, 236.4, 321.3, 519.2, 878.6, 1652.3", \ + " 223.5, 253.3, 336.4, 531.1, 886.8, 1655.4", \ + " 255.5, 283.6, 363.6, 553.7, 904.1, 1665.5", \ + " 304.2, 333.1, 409.2, 593.0, 936.2, 1687.8", \ + " 372.3, 401.8, 481.1, 658.9, 992.8, 1731.2", \ + " 470.5, 500.7, 582.0, 765.0, 1088.4, 1810.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.5, 83.4, 105.9, 153.7, 236.1, 409.5", \ + " 81.2, 90.2, 112.7, 160.5, 243.0, 416.4", \ + " 86.7, 95.6, 118.2, 166.0, 248.5, 421.9", \ + " 94.1, 103.1, 125.7, 173.5, 256.0, 429.4", \ + " 107.3, 116.3, 139.0, 186.8, 269.3, 442.7", \ + " 123.8, 134.0, 158.0, 206.2, 288.7, 462.0", \ + " 142.0, 154.0, 182.4, 235.0, 318.0, 491.2", \ + " 161.6, 175.5, 208.9, 271.3, 360.7, 534.3", \ + " 183.5, 199.5, 238.2, 311.9, 417.7, 598.9", \ + " 208.0, 226.4, 271.2, 357.3, 482.9, 692.8" ); } +fall_transition(x05_81_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 125.4, 143.9, 194.2, 309.9, 519.6, 971.2", \ + " 126.0, 144.5, 194.7, 310.2, 519.7, 971.2", \ + " 127.7, 145.8, 195.6, 310.7, 519.9, 971.3", \ + " 132.8, 150.1, 198.2, 311.9, 520.4, 971.4", \ + " 146.3, 162.4, 207.8, 316.9, 522.1, 971.6", \ + " 170.0, 185.0, 227.5, 331.1, 528.7, 972.6", \ + " 206.8, 222.0, 262.2, 360.2, 548.8, 978.3", \ + " 254.2, 271.4, 315.2, 409.7, 589.4, 1001.6", \ + " 317.1, 336.4, 385.7, 487.9, 660.8, 1055.1", \ + " 403.0, 425.0, 480.5, 594.8, 777.3, 1155.4" ); }} +timing() { /* ring osc delay xooi21v0x05, path a1 to z 161.0 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 124.7 ; */ +/* intrinsic_fall : 125.4 ; */ +/* rise_resistance : 7.36 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.1, 123.0, 161.0, 244.1, 390.0, 698.5", \ + " 114.8, 129.6, 167.6, 250.8, 396.7, 705.2", \ + " 120.2, 135.0, 173.0, 256.1, 402.0, 710.6", \ + " 127.6, 142.3, 180.2, 263.4, 409.4, 718.0", \ + " 140.2, 154.8, 192.5, 275.6, 421.7, 730.4", \ + " 155.3, 169.8, 207.3, 290.2, 436.2, 745.0", \ + " 172.3, 186.9, 224.3, 307.0, 452.9, 761.7", \ + " 191.3, 206.0, 243.3, 325.7, 471.5, 780.3", \ + " 213.1, 228.0, 265.4, 347.4, 493.0, 801.7", \ + " 238.0, 253.5, 291.4, 373.3, 518.5, 827.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.2, 152.4, 248.2, 467.4, 859.4, 1693.8", \ + " 117.2, 152.4, 248.3, 467.4, 859.5, 1693.8", \ + " 117.3, 152.5, 248.3, 467.4, 859.5, 1693.8", \ + " 117.4, 152.6, 248.4, 467.4, 859.5, 1693.8", \ + " 118.3, 153.2, 248.7, 467.5, 859.5, 1693.8", \ + " 121.2, 155.7, 250.1, 468.0, 859.6, 1693.9", \ + " 125.7, 159.5, 252.7, 469.2, 860.0, 1694.0", \ + " 131.5, 164.7, 256.5, 471.1, 860.8, 1694.4", \ + " 139.6, 172.0, 262.1, 474.4, 862.3, 1695.0", \ + " 150.9, 182.7, 271.1, 480.3, 865.4, 1696.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.0, 119.0, 148.1, 208.8, 314.0, 536.6", \ + " 114.4, 126.5, 155.5, 216.2, 321.4, 544.1", \ + " 119.3, 131.3, 160.4, 221.1, 326.4, 549.0", \ + " 125.3, 137.4, 166.5, 227.2, 332.5, 555.2", \ + " 135.0, 147.1, 176.2, 237.0, 342.3, 565.0", \ + " 146.5, 158.9, 188.5, 249.4, 354.8, 577.5", \ + " 160.6, 173.4, 203.7, 265.2, 370.7, 593.4", \ + " 178.2, 191.5, 222.5, 284.8, 390.7, 613.4", \ + " 200.7, 214.7, 247.0, 310.3, 416.5, 639.6", \ + " 229.1, 244.1, 278.4, 343.8, 450.9, 674.2" ); } +fall_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 77.7, 101.2, 162.8, 302.8, 555.5, 1096.9", \ + " 77.7, 101.2, 162.8, 302.8, 555.5, 1096.9", \ + " 77.7, 101.2, 162.9, 302.8, 555.5, 1096.9", \ + " 78.0, 101.4, 163.0, 302.8, 555.5, 1096.9", \ + " 79.1, 102.3, 163.5, 303.0, 555.6, 1096.9", \ + " 82.2, 105.2, 165.6, 303.9, 555.8, 1096.9", \ + " 86.1, 109.1, 169.2, 306.3, 556.8, 1097.0", \ + " 91.5, 114.5, 173.9, 309.6, 558.6, 1097.7", \ + " 99.3, 122.5, 181.4, 314.8, 561.5, 1098.8", \ + " 110.2, 133.8, 192.9, 324.3, 567.2, 1101.1" ); }} +timing() { /* ring osc delay xooi21v0x05, path a2 to z 123.9 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.1 ; */ +/* intrinsic_fall : 82.9 ; */ +/* rise_resistance : 5.32 ; */ +/* fall_resistance : 4.15 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.0, 79.7, 107.3, 167.6, 272.9, 494.8", \ + " 74.8, 85.6, 113.3, 173.7, 279.1, 501.1", \ + " 79.9, 90.6, 118.3, 178.7, 284.1, 506.1", \ + " 87.2, 97.7, 125.3, 185.7, 291.0, 513.0", \ + " 100.2, 110.8, 138.1, 198.1, 303.3, 525.2", \ + " 115.1, 127.4, 156.9, 216.6, 321.3, 542.9", \ + " 133.3, 147.2, 180.7, 244.8, 349.0, 570.0", \ + " 155.4, 171.0, 208.9, 281.8, 390.3, 610.3", \ + " 183.2, 200.8, 243.6, 326.4, 449.3, 672.0", \ + " 218.2, 238.5, 287.5, 381.5, 521.7, 765.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 175.8, 206.0, 288.3, 477.1, 816.2, 1539.9", \ + " 176.6, 206.4, 288.4, 477.1, 816.2, 1539.9", \ + " 180.5, 209.6, 289.8, 477.2, 816.2, 1539.9", \ + " 187.6, 215.9, 294.4, 478.7, 816.3, 1539.9", \ + " 202.4, 229.7, 305.8, 485.9, 818.0, 1539.9", \ + " 226.5, 252.6, 326.0, 501.2, 826.8, 1540.4", \ + " 265.3, 289.9, 360.2, 529.9, 847.7, 1548.9", \ + " 314.2, 342.8, 413.7, 577.6, 886.8, 1573.6", \ + " 379.8, 410.1, 488.8, 653.7, 954.7, 1624.9", \ + " 474.1, 506.2, 589.5, 768.1, 1065.0, 1719.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 72.7, 94.3, 141.0, 222.8, 395.7", \ + " 71.1, 79.8, 101.5, 148.4, 230.2, 403.2", \ + " 76.5, 85.2, 107.0, 153.9, 235.8, 408.8", \ + " 83.8, 92.5, 114.4, 161.4, 243.4, 416.4", \ + " 96.2, 105.4, 127.6, 174.7, 256.7, 429.8", \ + " 109.5, 120.5, 145.8, 193.9, 275.9, 449.0", \ + " 124.0, 136.8, 167.0, 222.0, 305.0, 478.1", \ + " 139.2, 154.2, 189.6, 255.0, 347.2, 520.9", \ + " 155.5, 172.9, 214.2, 291.6, 401.2, 585.0", \ + " 172.0, 192.3, 240.7, 331.7, 461.9, 676.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.2, 132.1, 185.9, 309.0, 530.3, 1004.0", \ + " 113.0, 132.8, 186.5, 309.3, 530.4, 1004.0", \ + " 116.0, 135.1, 187.7, 309.9, 530.6, 1004.0", \ + " 122.7, 141.0, 192.0, 311.6, 531.2, 1004.1", \ + " 138.0, 155.3, 203.9, 319.3, 534.0, 1004.5", \ + " 163.2, 179.4, 225.5, 336.3, 544.5, 1006.4", \ + " 200.9, 218.0, 261.9, 367.8, 568.6, 1018.1", \ + " 249.1, 268.2, 316.9, 419.7, 612.9, 1048.2", \ + " 315.1, 336.2, 390.1, 501.5, 687.9, 1108.4", \ + " 408.1, 431.7, 491.1, 614.0, 809.8, 1215.3" ); }} +timing() { /* ring osc delay xooi21v0x05, path a2 to z 150.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.4 ; */ +/* intrinsic_fall : 116.7 ; */ +/* rise_resistance : 7.34 ; */ +/* fall_resistance : 5.36 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 96.6, 111.4, 149.2, 231.9, 377.5, 685.7", \ + " 103.2, 118.0, 155.9, 238.7, 384.2, 692.5", \ + " 108.5, 123.2, 161.0, 243.9, 389.5, 697.8", \ + " 115.4, 130.0, 167.8, 250.8, 396.5, 704.9", \ + " 126.2, 140.7, 178.3, 261.2, 407.0, 715.5", \ + " 137.8, 152.4, 189.9, 272.6, 418.5, 727.0", \ + " 150.8, 165.4, 202.8, 285.5, 431.3, 739.9", \ + " 165.1, 179.9, 217.3, 299.8, 445.5, 754.0", \ + " 180.9, 196.2, 234.0, 316.2, 461.8, 770.2", \ + " 197.4, 213.4, 252.3, 335.1, 480.6, 789.1" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 120.0, 156.9, 257.0, 485.1, 892.6, 1759.5", \ + " 120.1, 156.9, 257.1, 485.1, 892.6, 1759.5", \ + " 120.2, 157.0, 257.1, 485.1, 892.6, 1759.5", \ + " 120.6, 157.4, 257.2, 485.2, 892.6, 1759.5", \ + " 122.8, 159.0, 258.1, 485.4, 892.6, 1759.5", \ + " 126.6, 162.3, 260.3, 486.4, 892.9, 1759.5", \ + " 131.6, 167.0, 263.6, 488.1, 893.6, 1759.7", \ + " 138.7, 173.1, 268.6, 490.9, 894.8, 1760.3", \ + " 149.3, 182.9, 276.4, 496.2, 897.6, 1761.3", \ + " 164.1, 197.5, 289.6, 506.0, 903.8, 1764.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 99.0, 111.0, 140.1, 200.8, 306.0, 528.6", \ + " 104.6, 116.7, 145.8, 206.5, 311.7, 534.3", \ + " 109.7, 121.7, 150.8, 211.5, 316.8, 539.5", \ + " 116.6, 128.7, 157.8, 218.6, 323.9, 546.6", \ + " 128.2, 140.3, 169.5, 230.3, 335.6, 558.4", \ + " 141.5, 154.1, 183.8, 244.8, 350.2, 572.9", \ + " 158.0, 170.7, 200.9, 262.9, 368.4, 591.1", \ + " 178.7, 191.7, 222.3, 284.5, 391.0, 613.7", \ + " 205.0, 218.6, 250.2, 312.8, 419.2, 642.9", \ + " 238.1, 252.8, 286.2, 350.3, 457.0, 680.9" ); } +fall_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 77.6, 101.1, 162.8, 302.8, 555.5, 1096.9", \ + " 77.7, 101.2, 162.8, 302.8, 555.5, 1096.9", \ + " 77.8, 101.3, 162.9, 302.8, 555.5, 1096.9", \ + " 78.2, 101.6, 163.1, 302.9, 555.5, 1096.9", \ + " 80.1, 103.1, 164.0, 303.2, 555.6, 1096.9", \ + " 83.8, 106.8, 166.9, 304.6, 556.0, 1096.9", \ + " 87.2, 110.1, 170.5, 307.6, 557.6, 1097.1", \ + " 92.8, 115.3, 174.2, 310.7, 560.0, 1098.5", \ + " 101.3, 123.5, 181.1, 314.5, 562.5, 1100.4", \ + " 113.6, 136.0, 192.9, 322.9, 566.9, 1102.8" ); }} +timing() { /* ring osc delay xooi21v0x05, path b to z 91.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 42.6 ; */ +/* intrinsic_fall : 78.6 ; */ +/* rise_resistance : 4.22 ; */ +/* fall_resistance : 5.39 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.6, 36.0, 56.3, 99.9, 194.6, 410.2", \ + " 36.0, 42.9, 62.8, 106.1, 199.8, 414.8", \ + " 41.7, 48.6, 67.8, 110.9, 203.4, 417.7", \ + " 47.2, 55.1, 74.7, 117.3, 207.9, 421.2", \ + " 54.5, 63.6, 86.1, 128.8, 215.9, 426.8", \ + " 62.3, 72.6, 97.8, 145.9, 227.8, 435.1", \ + " 70.9, 82.8, 111.0, 166.0, 247.6, 448.5", \ + " 80.1, 93.7, 125.6, 187.9, 276.9, 470.0", \ + " 89.5, 105.2, 141.8, 212.0, 313.0, 505.6", \ + " 98.7, 116.9, 159.3, 238.5, 355.5, 558.2" ); } +rise_transition(x05_81_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 99.3, 139.6, 238.2, 443.7, 807.5, 1590.7", \ + " 104.2, 142.9, 239.4, 442.9, 805.6, 1588.1", \ + " 111.4, 148.6, 243.3, 444.9, 804.7, 1585.5", \ + " 122.4, 158.0, 250.1, 449.2, 805.6, 1582.0", \ + " 143.7, 176.9, 265.0, 459.6, 810.4, 1579.2", \ + " 179.4, 206.7, 290.1, 478.7, 821.9, 1580.4", \ + " 200.4, 247.0, 331.3, 512.8, 845.7, 1589.6", \ + " 241.6, 286.5, 393.4, 568.7, 889.2, 1613.3", \ + " 305.0, 349.2, 461.3, 659.7, 966.2, 1663.2", \ + " 397.4, 442.4, 561.2, 783.1, 1096.7, 1761.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.7, 74.8, 102.4, 162.2, 267.3, 489.9", \ + " 69.2, 80.6, 108.9, 169.6, 275.1, 498.0", \ + " 73.8, 85.1, 113.7, 174.6, 280.4, 503.5", \ + " 79.7, 91.0, 119.6, 180.8, 286.8, 510.1", \ + " 88.5, 100.6, 129.2, 190.5, 296.9, 520.4", \ + " 97.5, 110.8, 142.1, 203.9, 310.5, 534.3", \ + " 108.5, 123.3, 157.6, 224.1, 330.9, 555.1", \ + " 121.6, 138.6, 177.2, 250.1, 361.8, 586.2", \ + " 137.8, 157.7, 202.4, 283.9, 405.8, 633.9", \ + " 157.7, 181.6, 234.5, 328.2, 463.3, 707.3" ); } +fall_transition(x05_81_6x10) { /* 05%-95%, scaled to 0%-100% */ +values( " 86.7, 115.3, 191.7, 363.8, 670.5, 1323.1", \ + " 87.2, 115.7, 191.9, 363.9, 670.5, 1323.1", \ + " 87.7, 116.0, 192.0, 363.9, 670.4, 1323.1", \ + " 91.2, 118.5, 193.1, 364.1, 670.5, 1323.1", \ + " 99.8, 125.9, 198.7, 367.3, 671.5, 1323.1", \ + " 116.2, 139.5, 209.5, 375.3, 676.9, 1324.9", \ + " 137.5, 164.2, 230.0, 391.4, 689.1, 1332.5", \ + " 164.2, 192.3, 265.6, 420.8, 712.8, 1349.4", \ + " 205.0, 234.1, 310.8, 473.3, 756.2, 1382.9", \ + " 269.9, 299.2, 377.9, 549.1, 832.3, 1444.7" ); }} +timing() { /* ring osc delay xooi21v0x05, path b to z 119.8 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.0 ; */ +/* intrinsic_fall : 67.7 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 3.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 108.1, 144.6, 225.2, 369.1, 676.1", \ + " 100.6, 115.1, 151.9, 232.9, 376.9, 683.9", \ + " 105.5, 120.0, 157.2, 238.6, 382.8, 689.9", \ + " 111.0, 125.6, 163.2, 245.2, 389.6, 696.8", \ + " 118.2, 133.0, 170.8, 253.4, 398.3, 705.7", \ + " 125.9, 140.3, 178.4, 261.4, 406.7, 714.3", \ + " 134.6, 149.1, 186.5, 269.4, 415.2, 723.1", \ + " 143.1, 157.7, 195.2, 277.7, 423.4, 731.6", \ + " 150.9, 165.7, 203.4, 286.0, 431.3, 739.6", \ + " 156.6, 171.8, 209.9, 292.9, 438.6, 746.0" ); } +rise_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.0, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.3, 137.1, 228.3, 434.6, 802.1, 1582.9", \ + " 104.2, 137.9, 228.6, 434.7, 802.1, 1582.9", \ + " 104.2, 137.6, 228.2, 434.8, 802.1, 1582.9", \ + " 105.8, 137.5, 227.8, 433.8, 801.7, 1582.9", \ + " 110.6, 141.8, 228.4, 433.2, 800.8, 1582.5", \ + " 117.5, 148.0, 233.3, 433.2, 800.3, 1581.6", \ + " 127.0, 157.0, 240.9, 438.9, 800.6, 1581.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.7, 55.8, 70.7, 109.9, 187.2, 357.6", \ + " 58.7, 63.9, 78.9, 117.9, 194.7, 364.6", \ + " 65.0, 70.2, 85.1, 123.7, 200.0, 369.2", \ + " 73.2, 78.5, 93.2, 131.2, 206.6, 374.9", \ + " 87.4, 92.5, 106.4, 143.3, 217.2, 384.0", \ + " 103.2, 109.1, 124.1, 158.9, 230.8, 395.3", \ + " 121.4, 127.9, 144.1, 180.7, 249.6, 410.8", \ + " 143.1, 150.3, 167.5, 205.2, 275.8, 432.4", \ + " 170.2, 178.2, 196.7, 235.4, 306.6, 464.6", \ + " 204.8, 213.7, 234.2, 274.4, 345.7, 505.2" ); } +fall_transition(x05_81_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 120.2, 137.4, 186.3, 299.2, 509.5, 972.7", \ + " 120.0, 136.2, 182.6, 297.1, 508.5, 972.5", \ + " 121.8, 137.7, 182.8, 294.6, 507.4, 972.1", \ + " 125.3, 140.9, 184.8, 293.5, 505.1, 971.3", \ + " 131.2, 146.8, 189.5, 294.7, 502.1, 969.0", \ + " 136.0, 152.3, 195.8, 299.2, 502.5, 963.8", \ + " 144.7, 160.7, 202.2, 306.9, 507.4, 961.4", \ + " 154.0, 171.2, 214.4, 315.7, 517.1, 964.5", \ + " 165.9, 184.7, 230.3, 332.8, 528.9, 975.7", \ + " 182.7, 203.2, 251.8, 356.0, 549.3, 990.8" ); }} +timing() { /* ring osc delay xooi21v0x05, path b to z 119.7 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.0 ; */ +/* intrinsic_fall : 67.7 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 3.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 108.1, 144.5, 225.2, 369.0, 676.1", \ + " 100.6, 115.1, 151.9, 232.9, 376.9, 683.9", \ + " 105.5, 120.0, 157.2, 238.6, 382.8, 689.9", \ + " 111.0, 125.6, 163.2, 245.1, 389.6, 696.8", \ + " 118.2, 133.0, 170.8, 253.4, 398.3, 705.7", \ + " 125.9, 140.3, 178.3, 261.4, 406.7, 714.3", \ + " 134.6, 149.1, 186.5, 269.4, 415.2, 723.1", \ + " 143.1, 157.7, 195.2, 277.7, 423.4, 731.6", \ + " 150.9, 165.7, 203.4, 286.0, 431.3, 739.6", \ + " 156.6, 171.8, 209.9, 292.9, 438.6, 746.0" ); } +rise_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.0, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.3, 137.1, 228.3, 434.6, 802.1, 1582.9", \ + " 104.2, 137.9, 228.6, 434.7, 802.1, 1582.9", \ + " 104.2, 137.6, 228.2, 434.8, 802.1, 1582.9", \ + " 105.8, 137.5, 227.8, 433.8, 801.7, 1582.9", \ + " 110.6, 141.8, 228.4, 433.2, 800.8, 1582.5", \ + " 117.5, 148.0, 233.3, 433.2, 800.3, 1581.5", \ + " 127.0, 157.0, 240.9, 438.9, 800.6, 1581.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.6, 55.7, 70.6, 109.5, 184.6, 353.8", \ + " 58.7, 63.9, 78.8, 117.5, 192.2, 360.8", \ + " 64.9, 70.2, 85.0, 123.4, 197.6, 365.4", \ + " 73.2, 78.5, 93.1, 130.9, 204.5, 371.1", \ + " 87.3, 92.5, 106.4, 143.1, 215.5, 380.2", \ + " 103.2, 109.1, 124.0, 158.8, 229.8, 391.6", \ + " 121.4, 127.9, 144.1, 180.6, 249.2, 407.5", \ + " 143.1, 150.2, 167.4, 205.1, 275.6, 430.6", \ + " 170.2, 178.1, 196.7, 235.3, 306.4, 463.9", \ + " 204.8, 213.7, 234.1, 274.4, 345.6, 504.8" ); } +fall_transition(x05_81_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 120.3, 137.5, 186.4, 298.9, 507.0, 973.0", \ + " 120.1, 136.3, 182.7, 296.8, 506.0, 972.3", \ + " 121.9, 137.8, 183.0, 294.3, 505.0, 971.5", \ + " 125.4, 141.0, 184.9, 293.4, 502.8, 970.2", \ + " 131.3, 146.9, 189.6, 294.6, 500.3, 967.1", \ + " 136.1, 152.4, 195.9, 299.2, 501.4, 961.5", \ + " 144.8, 160.8, 202.3, 307.0, 507.1, 959.2", \ + " 154.1, 171.3, 214.5, 315.8, 517.0, 962.6", \ + " 165.9, 184.8, 230.4, 332.9, 528.9, 975.0", \ + " 182.7, 203.3, 251.9, 356.1, 549.3, 990.5" ); }} +timing() { /* ring osc delay xooi21v0x05, path b to z 111.4 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 108.0 ; */ +/* intrinsic_fall : 67.7 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 3.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 108.2, 144.9, 225.6, 369.4, 676.2", \ + " 100.4, 114.9, 152.0, 233.2, 377.2, 684.0", \ + " 105.2, 119.6, 156.9, 238.6, 382.9, 689.8", \ + " 110.6, 125.0, 162.6, 244.8, 389.4, 696.5", \ + " 117.8, 132.3, 169.9, 252.7, 397.8, 705.2", \ + " 125.5, 139.7, 177.3, 260.3, 405.9, 713.7", \ + " 134.3, 148.6, 185.5, 268.2, 414.1, 722.3", \ + " 142.8, 157.2, 194.4, 276.4, 422.1, 730.7", \ + " 150.6, 165.3, 202.7, 284.9, 430.0, 738.4", \ + " 156.3, 171.5, 209.4, 291.9, 437.3, 744.8" ); } +rise_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 102.0, 135.9, 227.1, 433.5, 800.9, 1581.8", \ + " 102.1, 135.9, 227.1, 433.5, 800.9, 1581.8", \ + " 102.2, 136.0, 227.1, 433.5, 800.9, 1581.8", \ + " 102.5, 136.2, 227.2, 433.5, 800.9, 1581.8", \ + " 103.4, 136.9, 227.5, 433.5, 800.9, 1581.8", \ + " 103.3, 136.6, 227.0, 433.5, 800.9, 1581.8", \ + " 105.2, 136.7, 226.6, 432.4, 800.4, 1581.8", \ + " 110.1, 141.1, 227.4, 431.8, 799.3, 1581.3", \ + " 116.9, 147.4, 232.5, 432.0, 798.8, 1580.1", \ + " 126.3, 156.3, 240.2, 437.9, 799.1, 1579.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.4, 50.2, 60.3, 83.8, 127.9, 225.7", \ + " 54.5, 58.4, 68.6, 92.0, 136.0, 233.6", \ + " 61.0, 65.0, 75.2, 98.5, 142.2, 239.5", \ + " 69.5, 73.6, 83.9, 106.9, 150.3, 247.2", \ + " 83.5, 87.7, 97.9, 120.7, 163.4, 259.4", \ + " 98.7, 103.6, 115.0, 138.5, 180.4, 275.3", \ + " 116.3, 121.8, 134.4, 159.8, 203.3, 297.1", \ + " 137.4, 143.5, 157.4, 184.2, 229.7, 326.5", \ + " 163.8, 170.6, 186.0, 214.6, 261.3, 361.9", \ + " 197.3, 205.1, 222.4, 253.6, 302.0, 403.7" ); } +fall_transition(x05_81_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 92.0, 102.4, 131.3, 196.1, 318.4, 598.8", \ + " 92.5, 102.0, 128.5, 194.3, 318.1, 598.7", \ + " 95.2, 104.3, 129.6, 193.1, 318.0, 598.8", \ + " 99.8, 108.6, 132.8, 194.0, 317.5, 598.8", \ + " 107.0, 116.1, 139.6, 198.4, 319.1, 598.4", \ + " 114.3, 123.4, 147.3, 206.5, 324.9, 599.8", \ + " 122.8, 132.7, 157.1, 216.4, 335.7, 606.5", \ + " 132.7, 143.6, 169.9, 229.7, 348.1, 619.9", \ + " 146.1, 158.4, 187.1, 248.5, 364.8, 636.5", \ + " 165.3, 179.0, 210.5, 274.3, 390.1, 655.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 108.0 ; */ +/* intrinsic_fall : 67.7 ; */ +/* rise_resistance : 7.28 ; */ +/* fall_resistance : 3.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.7, 108.1, 144.5, 225.2, 369.0, 676.1", \ + " 100.6, 115.1, 151.9, 232.9, 376.9, 683.9", \ + " 105.5, 120.0, 157.2, 238.6, 382.8, 689.9", \ + " 111.0, 125.6, 163.2, 245.1, 389.6, 696.8", \ + " 118.2, 133.0, 170.8, 253.4, 398.3, 705.7", \ + " 125.9, 140.3, 178.3, 261.4, 406.7, 714.3", \ + " 134.6, 149.1, 186.5, 269.4, 415.2, 723.1", \ + " 143.1, 157.7, 195.2, 277.7, 423.4, 731.6", \ + " 150.9, 165.7, 203.4, 286.0, 431.3, 739.6", \ + " 156.6, 171.8, 209.9, 292.9, 438.6, 746.0" ); } +rise_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 102.9, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.0, 136.9, 228.2, 434.6, 802.1, 1582.9", \ + " 103.3, 137.1, 228.3, 434.6, 802.1, 1582.9", \ + " 104.2, 137.9, 228.6, 434.7, 802.1, 1582.9", \ + " 104.2, 137.6, 228.2, 434.8, 802.1, 1582.9", \ + " 105.8, 137.5, 227.8, 433.8, 801.7, 1582.9", \ + " 110.6, 141.8, 228.4, 433.2, 800.8, 1582.5", \ + " 117.5, 148.0, 233.3, 433.2, 800.3, 1581.5", \ + " 127.0, 157.0, 240.9, 438.9, 800.6, 1581.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.6, 55.7, 70.6, 109.5, 184.6, 353.8", \ + " 58.7, 63.9, 78.8, 117.5, 192.2, 360.8", \ + " 64.9, 70.2, 85.0, 123.4, 197.6, 365.4", \ + " 73.2, 78.5, 93.1, 130.9, 204.5, 371.1", \ + " 87.3, 92.5, 106.4, 143.1, 215.5, 380.2", \ + " 103.2, 109.1, 124.0, 158.8, 229.8, 391.6", \ + " 121.4, 127.9, 144.1, 180.6, 249.2, 407.5", \ + " 143.1, 150.2, 167.4, 205.1, 275.6, 430.6", \ + " 170.2, 178.1, 196.7, 235.3, 306.4, 463.9", \ + " 204.8, 213.7, 234.1, 274.4, 345.6, 504.8" ); } +fall_transition(x05_81_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 120.3, 137.5, 186.4, 298.9, 507.0, 973.0", \ + " 120.1, 136.3, 182.7, 296.8, 506.0, 972.3", \ + " 121.9, 137.8, 183.0, 294.3, 505.0, 971.5", \ + " 125.4, 141.0, 184.9, 293.4, 502.8, 970.2", \ + " 131.3, 146.9, 189.6, 294.6, 500.3, 967.1", \ + " 136.1, 152.4, 195.9, 299.2, 501.4, 961.5", \ + " 144.8, 160.8, 202.3, 307.0, 507.1, 959.2", \ + " 154.1, 171.3, 214.5, 315.8, 517.0, 962.6", \ + " 165.9, 184.8, 230.4, 332.9, 528.9, 975.0", \ + " 182.7, 203.3, 251.9, 356.1, 549.3, 990.5" ); }} +} +} +cell(xooi21v0x1) { /* 2008-01-06:08h03 characteristic delay 19.4 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1525 ; /* xooi21v0x1 */ +cell_footprint : xooi21 ; +pin(a1) { /* xooi21v0x1 FO4 effort 2.24 logical effort 2.05 */ +direction : input ; +capacitance : 6.38 ; +rise_capacitance : 6.38 ; +fall_capacitance : 6.37 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xooi21v0x1 */ +} +pin(a2) { /* xooi21v0x1 FO4 effort 2.02 logical effort 1.87 */ +direction : input ; +capacitance : 5.98 ; +rise_capacitance : 5.92 ; +fall_capacitance : 6.04 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xooi21v0x1 */ +} +pin(b) { /* xooi21v0x1 FO4 effort 2.23 logical effort 2.90 */ +direction : input ; +capacitance : 7.90 ; +rise_capacitance : 7.76 ; +fall_capacitance : 8.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xooi21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 105 ; +max_fanout : 4 ; +function : "((a1+a2)^b)'" ; +internal_power(a1_z_n) { /* xooi21v0x1 32.00 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_195_5x10) { +values( " 16.27, 16.28, 16.28, 16.26, 16.23", \ + " 16.02, 16.04, 16.06, 16.07, 16.05", \ + " 15.95, 15.98, 16.01, 16.03, 16.01", \ + " 15.93, 15.96, 16.00, 16.03, 16.02", \ + " 16.05, 16.06, 16.09, 16.12, 16.13", \ + " 16.43, 16.41, 16.40, 16.40, 16.39", \ + " 17.33, 17.24, 17.11, 17.00, 16.94", \ + " 19.07, 18.85, 18.50, 18.16, 17.94", \ + " 22.21, 21.82, 21.13, 20.36, 19.79", \ + " 27.54, 26.95, 25.79, 24.32, 23.11" ); }} +internal_power(a1_z_p) { /* xooi21v0x1 35.28 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_195_5x10) { +values( " 17.80, 17.88, 18.00, 18.07, 18.09", \ + " 17.52, 17.61, 17.74, 17.83, 17.85", \ + " 17.44, 17.53, 17.67, 17.76, 17.80", \ + " 17.42, 17.50, 17.64, 17.75, 17.79", \ + " 17.55, 17.62, 17.74, 17.86, 17.91", \ + " 18.00, 18.03, 18.12, 18.22, 18.27", \ + " 19.00, 18.98, 18.98, 19.02, 19.05", \ + " 20.91, 20.80, 20.66, 20.56, 20.51", \ + " 24.29, 24.06, 23.70, 23.35, 23.12", \ + " 29.96, 29.57, 28.92, 28.18, 27.60" ); }} +internal_power(a2_z_n) { /* xooi21v0x1 26.47 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_195_5x10) { +values( " 13.21, 13.23, 13.24, 13.24, 13.22", \ + " 13.04, 13.09, 13.15, 13.19, 13.18", \ + " 13.03, 13.09, 13.16, 13.22, 13.23", \ + " 13.11, 13.16, 13.23, 13.30, 13.33", \ + " 13.40, 13.41, 13.46, 13.52, 13.55", \ + " 14.02, 13.97, 13.93, 13.92, 13.93", \ + " 15.22, 15.06, 14.85, 14.69, 14.60", \ + " 17.30, 17.00, 16.52, 16.05, 15.76", \ + " 20.83, 20.33, 19.46, 18.50, 17.80", \ + " 26.67, 25.93, 24.52, 22.79, 21.40" ); }} +internal_power(a2_z_p) { /* xooi21v0x1 29.63 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_195_5x10) { +values( " 14.72, 14.82, 14.94, 15.01, 15.02", \ + " 14.50, 14.62, 14.76, 14.86, 14.89", \ + " 14.47, 14.59, 14.75, 14.86, 14.90", \ + " 14.55, 14.66, 14.82, 14.95, 15.00", \ + " 14.89, 14.96, 15.09, 15.22, 15.29", \ + " 15.59, 15.61, 15.68, 15.79, 15.85", \ + " 16.92, 16.86, 16.83, 16.84, 16.87", \ + " 19.20, 19.04, 18.84, 18.68, 18.60", \ + " 23.01, 22.72, 22.27, 21.83, 21.54", \ + " 29.22, 28.76, 27.98, 27.11, 26.44" ); }} +internal_power(b_z_n) { /* xooi21v0x1 24.96 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 12.44, 12.57, 12.70, 12.76, 12.77", \ + " 11.99, 12.20, 12.46, 12.63, 12.70", \ + " 11.93, 12.13, 12.42, 12.65, 12.75", \ + " 12.03, 12.20, 12.48, 12.73, 12.87", \ + " 12.48, 12.57, 12.78, 13.02, 13.17", \ + " 13.44, 13.43, 13.49, 13.64, 13.77", \ + " 15.22, 15.09, 14.93, 14.87, 14.88", \ + " 18.24, 17.95, 17.50, 17.08, 16.85", \ + " 23.29, 22.78, 21.91, 20.97, 20.28", \ + " 31.56, 30.77, 29.33, 27.60, 26.18" ); }} +internal_power(b_z_p) { /* xooi21v0x1 31.09 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 15.59, 15.44, 15.15, 14.84, 14.61", \ + " 15.45, 15.35, 15.11, 14.82, 14.58", \ + " 15.56, 15.47, 15.26, 14.97, 14.72", \ + " 15.83, 15.74, 15.55, 15.26, 14.99", \ + " 16.49, 16.39, 16.19, 15.88, 15.59", \ + " 17.66, 17.54, 17.30, 16.94, 16.60", \ + " 19.67, 19.51, 19.20, 18.75, 18.31", \ + " 22.92, 22.70, 22.28, 21.69, 21.10", \ + " 28.20, 27.85, 27.27, 26.47, 25.65", \ + " 36.87, 36.24, 35.26, 34.14, 32.99" ); }} +timing() { /* ring osc delay xooi21v0x1, path a1 to z 121.2 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 90.2 ; */ +/* intrinsic_fall : 81.7 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.28 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.4, 90.7, 132.1, 222.4, 381.0, 716.8", \ + " 81.7, 98.0, 139.6, 229.9, 388.5, 724.4", \ + " 86.6, 102.9, 144.5, 234.9, 393.5, 729.4", \ + " 92.9, 109.2, 150.7, 241.1, 399.8, 735.7", \ + " 103.2, 119.8, 161.4, 251.8, 410.5, 746.4", \ + " 115.0, 133.3, 176.6, 267.2, 425.9, 761.9", \ + " 130.1, 150.2, 197.5, 290.3, 449.2, 785.3", \ + " 149.5, 171.6, 223.4, 323.4, 483.4, 819.8", \ + " 175.0, 199.7, 257.1, 366.2, 534.5, 871.6", \ + " 208.5, 236.6, 301.4, 422.0, 605.0, 949.7" ); } +rise_transition(x1_195_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 171.4, 218.9, 349.8, 650.2, 1188.4, 2335.1", \ + " 172.1, 219.5, 350.1, 650.3, 1188.5, 2335.1", \ + " 174.7, 221.4, 351.0, 650.6, 1188.5, 2335.1", \ + " 179.4, 225.6, 354.0, 651.7, 1188.8, 2335.1", \ + " 189.9, 235.1, 361.7, 656.6, 1190.2, 2335.2", \ + " 208.1, 251.7, 375.5, 666.6, 1196.1, 2336.0", \ + " 240.8, 281.3, 400.4, 685.8, 1209.5, 2341.7", \ + " 287.9, 329.9, 442.5, 719.6, 1235.0, 2357.0", \ + " 353.9, 396.3, 512.1, 777.7, 1281.7, 2389.5", \ + " 448.5, 491.8, 609.8, 874.6, 1363.5, 2451.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.7, 74.6, 103.7, 165.6, 273.5, 501.4", \ + " 69.5, 81.4, 110.6, 172.5, 280.4, 508.4", \ + " 75.0, 86.8, 116.0, 178.0, 285.9, 513.9", \ + " 82.3, 94.2, 123.5, 185.4, 293.4, 521.3", \ + " 94.6, 107.0, 136.5, 198.6, 306.6, 534.6", \ + " 107.9, 122.3, 154.6, 217.5, 325.7, 553.7", \ + " 122.1, 138.9, 176.6, 244.8, 354.1, 582.4", \ + " 136.7, 156.1, 200.2, 279.2, 394.4, 624.3", \ + " 152.0, 174.3, 225.6, 318.0, 449.1, 685.7", \ + " 167.2, 193.0, 252.6, 361.0, 513.7, 773.5" ); } +fall_transition(x1_195_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 102.6, 129.9, 204.1, 374.9, 683.6, 1346.0", \ + " 103.6, 130.7, 204.7, 375.2, 683.7, 1346.0", \ + " 106.3, 132.6, 205.8, 375.7, 683.9, 1346.0", \ + " 112.9, 138.1, 208.8, 376.9, 684.3, 1346.0", \ + " 128.2, 151.8, 219.1, 381.6, 685.6, 1346.2", \ + " 152.7, 174.8, 238.9, 395.1, 690.7, 1346.7", \ + " 186.3, 209.9, 271.9, 422.2, 708.1, 1349.7", \ + " 230.1, 255.6, 321.4, 467.0, 743.9, 1367.0", \ + " 289.5, 317.6, 388.7, 538.8, 806.4, 1411.5", \ + " 372.0, 403.5, 481.4, 642.9, 909.7, 1496.6" ); }} +timing() { /* ring osc delay xooi21v0x1, path a1 to z 142.5 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 109.4 ; */ +/* intrinsic_fall : 117.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.76 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 94.4, 114.6, 166.2, 279.4, 478.9, 901.6", \ + " 101.1, 121.3, 172.9, 286.2, 485.7, 908.4", \ + " 106.5, 126.6, 178.2, 291.5, 491.1, 913.9", \ + " 113.7, 133.7, 185.2, 298.6, 498.3, 921.1", \ + " 125.1, 144.9, 196.2, 309.6, 509.4, 932.3", \ + " 137.6, 157.3, 208.3, 321.6, 521.4, 944.4", \ + " 151.3, 170.9, 221.7, 334.8, 534.6, 957.6", \ + " 166.0, 185.7, 236.2, 349.0, 548.7, 971.7", \ + " 181.9, 202.0, 252.3, 364.6, 564.2, 987.1", \ + " 198.7, 219.3, 270.0, 382.1, 581.3, 1004.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 107.3, 156.1, 289.0, 591.2, 1129.6, 2274.1", \ + " 107.3, 156.1, 289.0, 591.2, 1129.6, 2274.1", \ + " 107.4, 156.2, 289.1, 591.2, 1129.6, 2274.1", \ + " 107.6, 156.4, 289.1, 591.2, 1129.6, 2274.1", \ + " 109.1, 157.3, 289.4, 591.2, 1129.6, 2274.1", \ + " 112.0, 159.5, 290.5, 591.6, 1129.6, 2274.1", \ + " 115.9, 162.7, 292.3, 592.3, 1130.0, 2274.2", \ + " 121.3, 167.1, 295.2, 593.4, 1130.5, 2274.5", \ + " 128.9, 173.8, 299.7, 595.6, 1131.5, 2275.1", \ + " 139.5, 183.6, 307.5, 600.1, 1133.8, 2276.2" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 99.5, 114.6, 150.2, 224.8, 355.2, 631.6", \ + " 106.6, 121.7, 157.3, 232.0, 362.4, 638.8", \ + " 111.4, 126.5, 162.2, 236.8, 367.3, 643.7", \ + " 117.4, 132.6, 168.2, 242.9, 373.4, 649.9", \ + " 127.0, 142.2, 177.9, 252.7, 383.2, 659.7", \ + " 138.4, 154.0, 190.2, 265.1, 395.7, 672.2", \ + " 152.8, 169.0, 206.0, 281.5, 412.0, 688.5", \ + " 171.6, 188.3, 226.2, 302.3, 433.3, 709.8", \ + " 196.5, 214.2, 253.5, 330.5, 461.8, 738.4", \ + " 228.9, 247.9, 289.5, 368.7, 500.5, 777.6" ); } +fall_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 69.9, 99.4, 176.5, 351.7, 667.4, 1341.7", \ + " 69.9, 99.5, 176.5, 351.7, 667.4, 1341.7", \ + " 70.0, 99.6, 176.6, 351.7, 667.4, 1341.7", \ + " 70.2, 99.8, 176.7, 351.7, 667.4, 1341.7", \ + " 71.6, 100.8, 177.2, 351.9, 667.4, 1341.7", \ + " 74.7, 103.7, 179.2, 352.5, 667.5, 1341.7", \ + " 78.4, 107.4, 182.6, 354.6, 668.2, 1341.7", \ + " 83.6, 112.6, 186.8, 357.3, 669.6, 1342.1", \ + " 91.2, 120.3, 193.7, 361.6, 671.7, 1343.0", \ + " 101.6, 131.3, 204.7, 369.9, 676.3, 1344.6" ); }} +timing() { /* ring osc delay xooi21v0x1, path a2 to z 110.0 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.8 ; */ +/* intrinsic_fall : 72.1 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.26 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.3, 81.6, 123.1, 213.4, 372.0, 707.9", \ + " 71.2, 87.5, 129.1, 219.5, 378.2, 714.1", \ + " 76.4, 92.7, 134.2, 224.6, 383.3, 719.2", \ + " 83.8, 99.9, 141.3, 231.7, 390.3, 726.2", \ + " 96.4, 113.0, 154.2, 244.3, 402.9, 738.7", \ + " 110.9, 129.7, 173.1, 262.8, 421.1, 756.7", \ + " 129.1, 150.1, 198.8, 291.0, 448.8, 784.1", \ + " 152.0, 175.4, 229.9, 331.7, 490.0, 824.7", \ + " 181.6, 207.9, 269.0, 383.3, 551.9, 886.2", \ + " 220.2, 250.3, 319.6, 448.4, 637.9, 979.9" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 159.6, 204.1, 326.4, 607.1, 1109.9, 2181.0", \ + " 161.0, 204.9, 326.8, 607.2, 1109.9, 2181.0", \ + " 165.3, 208.0, 327.8, 607.4, 1109.9, 2181.0", \ + " 172.6, 214.3, 331.6, 608.1, 1110.0, 2181.0", \ + " 187.6, 227.6, 341.7, 612.8, 1110.5, 2181.0", \ + " 211.2, 249.4, 359.8, 624.8, 1114.8, 2181.1", \ + " 248.9, 284.7, 390.5, 648.6, 1129.3, 2182.8", \ + " 293.0, 334.9, 439.1, 689.3, 1159.8, 2196.4", \ + " 354.6, 398.6, 512.7, 756.3, 1215.5, 2232.8", \ + " 443.7, 490.0, 609.8, 863.7, 1310.3, 2306.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 65.0, 93.1, 154.1, 261.5, 489.0", \ + " 60.7, 72.2, 100.5, 161.6, 269.0, 496.5", \ + " 66.1, 77.6, 106.0, 167.2, 274.6, 502.2", \ + " 73.2, 84.8, 113.4, 174.7, 282.2, 509.8", \ + " 83.5, 96.6, 126.2, 187.8, 295.4, 523.1", \ + " 93.9, 109.2, 143.0, 206.4, 314.4, 542.1", \ + " 104.6, 122.4, 162.1, 232.7, 342.5, 570.7", \ + " 115.0, 135.8, 182.3, 264.4, 381.8, 612.3", \ + " 124.6, 148.8, 203.3, 299.7, 434.3, 672.9", \ + " 131.5, 159.9, 224.2, 337.9, 495.2, 759.3" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.8, 121.1, 200.7, 382.0, 707.3, 1401.8", \ + " 93.3, 122.3, 201.4, 382.3, 707.3, 1401.8", \ + " 97.4, 125.5, 203.0, 382.9, 707.5, 1401.8", \ + " 105.2, 132.3, 207.7, 384.7, 707.9, 1401.8", \ + " 121.5, 147.2, 219.8, 392.1, 710.0, 1401.9", \ + " 146.7, 170.9, 240.6, 408.1, 719.0, 1402.7", \ + " 180.1, 206.5, 274.5, 437.0, 740.6, 1410.7", \ + " 225.0, 253.3, 325.9, 483.6, 779.9, 1435.9", \ + " 288.0, 318.6, 396.2, 558.7, 846.0, 1487.9", \ + " 377.0, 411.3, 495.3, 668.8, 954.5, 1580.5" ); }} +timing() { /* ring osc delay xooi21v0x1, path a2 to z 131.6 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 98.3 ; */ +/* intrinsic_fall : 108.3 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.76 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.3, 104.5, 155.9, 268.8, 468.0, 890.4", \ + " 91.1, 111.2, 162.7, 275.7, 474.9, 897.4", \ + " 96.3, 116.3, 167.8, 280.9, 480.2, 902.7", \ + " 102.7, 122.6, 174.0, 287.2, 486.6, 909.2", \ + " 111.7, 131.4, 182.7, 295.9, 495.4, 918.1", \ + " 120.9, 140.6, 191.7, 304.8, 504.4, 927.2", \ + " 130.9, 150.5, 201.4, 314.4, 514.0, 936.8", \ + " 141.1, 161.0, 211.6, 324.5, 524.0, 946.8", \ + " 151.1, 171.6, 222.4, 335.0, 534.5, 957.1", \ + " 159.3, 180.7, 232.5, 345.6, 544.8, 967.5" ); } +rise_transition(x1_195_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 110.1, 161.2, 299.8, 613.9, 1173.4, 2362.4", \ + " 110.2, 161.3, 299.8, 613.9, 1173.4, 2362.4", \ + " 110.4, 161.4, 299.8, 613.9, 1173.4, 2362.4", \ + " 111.0, 161.8, 300.0, 613.9, 1173.4, 2362.4", \ + " 113.5, 163.5, 300.7, 614.0, 1173.4, 2362.4", \ + " 117.1, 166.2, 302.2, 614.6, 1173.5, 2362.4", \ + " 121.6, 170.3, 304.6, 615.6, 1174.0, 2362.5", \ + " 128.5, 176.0, 308.7, 617.4, 1174.7, 2363.0", \ + " 138.7, 185.3, 315.7, 621.5, 1176.5, 2363.7", \ + " 152.9, 199.0, 327.7, 629.8, 1181.5, 2366.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.2, 105.4, 140.9, 215.5, 346.0, 622.4", \ + " 95.9, 111.0, 146.6, 221.3, 351.7, 628.2", \ + " 101.1, 116.2, 151.8, 226.5, 357.0, 633.4", \ + " 108.2, 123.3, 158.9, 233.7, 364.2, 640.7", \ + " 119.8, 135.0, 170.8, 245.6, 376.1, 652.7", \ + " 133.3, 149.0, 185.4, 260.4, 390.9, 667.5", \ + " 150.6, 166.5, 203.4, 279.2, 409.8, 686.3", \ + " 172.7, 189.0, 226.3, 302.5, 433.8, 710.3", \ + " 201.5, 218.7, 257.0, 333.4, 465.0, 742.0", \ + " 239.0, 257.5, 297.8, 375.6, 507.2, 785.1" ); } +fall_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 69.8, 99.5, 176.5, 351.7, 667.4, 1341.7", \ + " 69.9, 99.5, 176.5, 351.7, 667.4, 1341.7", \ + " 70.1, 99.7, 176.6, 351.7, 667.4, 1341.7", \ + " 70.5, 100.0, 176.8, 351.7, 667.4, 1341.7", \ + " 72.8, 101.7, 177.6, 352.0, 667.4, 1341.7", \ + " 76.0, 105.0, 180.3, 353.0, 667.6, 1341.7", \ + " 79.3, 108.1, 183.5, 355.6, 668.7, 1341.7", \ + " 84.8, 113.0, 186.7, 358.1, 670.7, 1342.5", \ + " 93.1, 121.0, 192.9, 361.3, 672.8, 1344.3", \ + " 105.2, 133.1, 203.9, 368.2, 676.5, 1346.2" ); }} +timing() { /* ring osc delay xooi21v0x1, path b to z 84.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.3 ; */ +/* intrinsic_fall : 73.4 ; */ +/* rise_resistance : 2.84 ; */ +/* fall_resistance : 2.77 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.9, 40.9, 70.9, 145.4, 293.9, 620.7", \ + " 37.2, 47.5, 77.1, 150.8, 298.7, 624.7", \ + " 43.1, 52.9, 81.9, 154.5, 301.7, 627.0", \ + " 48.7, 60.0, 88.3, 159.4, 305.4, 629.6", \ + " 56.3, 68.9, 100.2, 167.8, 311.4, 633.3", \ + " 64.7, 78.8, 113.4, 180.9, 320.1, 638.4", \ + " 74.2, 90.2, 128.4, 202.3, 334.4, 646.7", \ + " 84.7, 102.9, 145.2, 227.5, 358.9, 661.6", \ + " 96.2, 117.1, 164.8, 256.5, 396.8, 689.6", \ + " 108.4, 132.7, 187.4, 289.8, 443.2, 742.0" ); } +rise_transition(x1_195_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 103.5, 157.5, 293.2, 592.0, 1129.7, 2286.0", \ + " 108.7, 160.5, 293.9, 590.5, 1127.6, 2283.0", \ + " 115.9, 166.0, 297.2, 591.1, 1125.5, 2280.1", \ + " 126.9, 174.9, 303.1, 593.7, 1124.1, 2275.7", \ + " 148.0, 193.1, 316.3, 600.7, 1124.7, 2268.5", \ + " 182.3, 221.7, 339.3, 615.1, 1129.9, 2262.7", \ + " 207.9, 268.5, 378.2, 643.6, 1144.8, 2261.4", \ + " 248.1, 309.2, 440.0, 693.7, 1177.6, 2270.8", \ + " 309.8, 372.5, 519.2, 778.0, 1242.3, 2302.9", \ + " 399.7, 465.4, 623.7, 914.6, 1359.7, 2378.9" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.7, 72.3, 106.1, 180.0, 310.4, 586.8", \ + " 64.3, 78.4, 113.1, 187.6, 318.3, 594.9", \ + " 68.9, 83.0, 117.9, 192.8, 323.7, 600.4", \ + " 74.8, 88.9, 123.8, 199.0, 330.1, 606.9", \ + " 83.0, 98.4, 133.6, 209.0, 340.4, 617.5", \ + " 91.6, 108.6, 147.2, 222.9, 354.6, 631.9", \ + " 101.8, 121.1, 163.9, 244.1, 376.0, 653.6", \ + " 113.8, 136.1, 184.7, 273.2, 408.2, 686.1", \ + " 128.1, 154.5, 211.0, 310.3, 456.5, 735.7", \ + " 145.1, 176.7, 243.6, 357.9, 520.2, 812.0" ); } +fall_transition(x1_195_6x10) { /* 05%-95%, scaled to 0%-100% */ +values( " 78.1, 113.7, 208.9, 423.4, 805.5, 1618.3", \ + " 78.6, 114.0, 209.0, 423.4, 805.5, 1618.3", \ + " 79.2, 114.4, 209.1, 423.4, 805.5, 1618.3", \ + " 83.0, 117.0, 210.2, 423.6, 805.5, 1618.3", \ + " 92.3, 124.5, 215.7, 426.6, 806.2, 1618.2", \ + " 110.5, 138.8, 226.7, 434.5, 811.2, 1619.3", \ + " 130.6, 164.2, 247.6, 450.7, 823.1, 1625.8", \ + " 158.1, 193.7, 285.1, 480.2, 846.4, 1641.5", \ + " 199.7, 236.8, 333.1, 532.2, 889.3, 1673.6", \ + " 265.2, 302.7, 402.4, 614.6, 964.8, 1733.9" ); }} +timing() { /* ring osc delay xooi21v0x1, path b to z 108.4 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.9 ; */ +/* intrinsic_fall : 66.2 ; */ +/* rise_resistance : 4.11 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.9, 100.0, 148.1, 258.3, 456.0, 877.6", \ + " 88.9, 107.4, 156.0, 266.3, 463.9, 885.4", \ + " 93.6, 112.4, 161.5, 271.9, 469.6, 891.1", \ + " 98.7, 117.8, 167.3, 278.0, 475.7, 897.1", \ + " 104.9, 124.4, 174.4, 285.5, 483.3, 904.7", \ + " 111.8, 130.9, 181.0, 292.6, 490.6, 911.9", \ + " 118.7, 138.1, 188.0, 299.6, 497.8, 919.2", \ + " 124.8, 144.5, 194.8, 306.1, 504.4, 925.9", \ + " 129.1, 149.4, 200.3, 312.2, 509.8, 931.5", \ + " 129.7, 150.9, 202.7, 315.6, 513.9, 934.9" ); } +rise_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 96.0, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.0, 142.5, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.2, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.7, 142.8, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.9, 143.0, 268.2, 551.0, 1055.0, 2125.9", \ + " 95.9, 142.5, 267.3, 551.0, 1055.0, 2125.9", \ + " 98.9, 142.6, 266.7, 549.9, 1054.7, 2125.9", \ + " 103.4, 146.5, 266.5, 549.3, 1053.7, 2125.9", \ + " 109.9, 152.3, 271.0, 548.9, 1053.1, 2124.7", \ + " 119.2, 160.8, 277.9, 552.9, 1053.6, 2124.1" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 56.6, 78.0, 132.2, 235.3, 460.3", \ + " 57.4, 65.0, 86.4, 140.3, 242.9, 467.5", \ + " 64.0, 71.6, 92.9, 146.4, 248.5, 472.5", \ + " 72.5, 80.3, 101.4, 154.3, 255.6, 478.8", \ + " 87.4, 95.0, 115.6, 167.3, 267.2, 489.0", \ + " 104.1, 112.9, 134.7, 184.9, 282.8, 502.3", \ + " 123.1, 133.0, 157.2, 209.8, 305.4, 521.2", \ + " 145.8, 156.7, 182.6, 239.1, 337.7, 549.3", \ + " 174.5, 186.5, 214.1, 272.7, 378.5, 592.9", \ + " 211.5, 224.9, 254.9, 315.5, 423.9, 654.8" ); } +fall_transition(x1_195_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 109.4, 134.7, 207.0, 373.0, 683.7, 1358.4", \ + " 109.8, 133.9, 203.1, 372.1, 683.4, 1358.4", \ + " 112.4, 135.9, 203.5, 370.7, 683.1, 1358.3", \ + " 116.9, 140.0, 205.8, 369.5, 682.5, 1358.2", \ + " 124.6, 147.9, 211.8, 371.4, 680.4, 1357.7", \ + " 132.0, 156.0, 221.2, 378.1, 681.8, 1355.1", \ + " 142.0, 166.0, 231.2, 391.0, 689.8, 1354.2", \ + " 152.8, 178.2, 244.2, 405.8, 707.4, 1362.6", \ + " 166.3, 193.5, 262.1, 422.5, 730.3, 1386.1", \ + " 184.8, 214.1, 285.9, 447.9, 751.7, 1425.8" ); }} +timing() { /* ring osc delay xooi21v0x1, path b to z 108.4 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.9 ; */ +/* intrinsic_fall : 66.2 ; */ +/* rise_resistance : 4.11 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.9, 100.0, 148.1, 258.3, 456.0, 877.6", \ + " 88.9, 107.4, 156.0, 266.3, 463.9, 885.4", \ + " 93.6, 112.4, 161.5, 271.9, 469.6, 891.1", \ + " 98.7, 117.8, 167.3, 278.0, 475.7, 897.1", \ + " 104.9, 124.4, 174.4, 285.5, 483.3, 904.7", \ + " 111.8, 130.9, 181.0, 292.6, 490.6, 911.9", \ + " 118.7, 138.1, 188.0, 299.6, 497.8, 919.2", \ + " 124.8, 144.5, 194.8, 306.1, 504.4, 925.9", \ + " 129.1, 149.4, 200.3, 312.2, 509.8, 931.6", \ + " 129.7, 150.9, 202.7, 315.6, 513.9, 934.9" ); } +rise_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 96.0, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.0, 142.5, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.2, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.7, 142.8, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.9, 143.0, 268.2, 551.0, 1055.0, 2125.9", \ + " 95.9, 142.5, 267.3, 551.0, 1055.0, 2125.9", \ + " 98.9, 142.6, 266.7, 549.9, 1054.7, 2125.9", \ + " 103.4, 146.5, 266.5, 549.3, 1053.7, 2125.9", \ + " 109.9, 152.3, 271.0, 548.9, 1053.1, 2124.7", \ + " 119.2, 160.8, 277.9, 552.9, 1053.6, 2124.1" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 56.6, 78.0, 132.1, 234.6, 457.8", \ + " 57.4, 65.0, 86.4, 140.2, 242.3, 465.1", \ + " 63.9, 71.6, 92.9, 146.3, 247.9, 470.1", \ + " 72.5, 80.2, 101.4, 154.2, 255.1, 476.5", \ + " 87.4, 95.0, 115.6, 167.3, 266.9, 486.9", \ + " 104.1, 112.9, 134.6, 184.8, 282.7, 500.7", \ + " 123.1, 133.0, 157.1, 209.8, 305.4, 520.5", \ + " 145.8, 156.7, 182.5, 239.0, 337.7, 549.1", \ + " 174.5, 186.5, 214.1, 272.6, 378.5, 592.9", \ + " 211.5, 224.9, 254.9, 315.5, 423.9, 654.8" ); } +fall_transition(x1_195_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 109.5, 134.8, 207.1, 373.1, 683.1, 1358.8", \ + " 109.9, 133.9, 203.2, 372.2, 682.9, 1358.6", \ + " 112.4, 136.0, 203.6, 370.8, 682.6, 1358.3", \ + " 117.0, 140.1, 205.9, 369.6, 682.0, 1357.8", \ + " 124.7, 148.0, 211.9, 371.5, 680.2, 1356.8", \ + " 132.0, 156.0, 221.3, 378.2, 681.7, 1353.8", \ + " 142.1, 166.1, 231.2, 391.1, 689.9, 1353.5", \ + " 152.9, 178.3, 244.3, 405.9, 707.5, 1362.5", \ + " 166.4, 193.5, 262.2, 422.6, 730.5, 1386.2", \ + " 184.8, 214.2, 285.9, 448.0, 751.8, 1426.0" ); }} +timing() { /* ring osc delay xooi21v0x1, path b to z 102.6 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.9 ; */ +/* intrinsic_fall : 66.2 ; */ +/* rise_resistance : 4.11 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.1, 100.3, 148.5, 258.6, 456.2, 877.8", \ + " 88.7, 107.3, 156.1, 266.4, 464.0, 885.4", \ + " 93.3, 112.1, 161.4, 271.9, 469.5, 890.9", \ + " 98.2, 117.3, 166.9, 277.8, 475.5, 896.9", \ + " 104.4, 123.7, 173.7, 285.1, 482.9, 904.3", \ + " 111.4, 130.3, 180.3, 292.0, 490.1, 911.5", \ + " 118.4, 137.6, 187.2, 298.9, 497.2, 918.7", \ + " 124.5, 144.1, 194.2, 305.3, 503.8, 925.4", \ + " 128.9, 149.0, 199.8, 311.5, 509.1, 931.0", \ + " 129.6, 150.7, 202.3, 315.0, 513.3, 934.4" ); } +rise_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 94.8, 141.4, 266.7, 549.9, 1053.9, 2124.9", \ + " 94.9, 141.4, 266.7, 549.9, 1053.9, 2124.9", \ + " 95.1, 141.4, 266.7, 549.9, 1053.9, 2124.9", \ + " 95.7, 141.7, 266.7, 549.9, 1053.9, 2124.9", \ + " 95.8, 141.8, 267.1, 550.0, 1053.9, 2124.9", \ + " 94.9, 141.3, 266.0, 549.8, 1053.9, 2124.9", \ + " 98.1, 141.6, 265.4, 548.6, 1053.6, 2124.9", \ + " 102.7, 145.6, 265.4, 547.9, 1052.4, 2124.8", \ + " 109.2, 151.5, 270.0, 547.5, 1051.7, 2123.5", \ + " 118.4, 159.9, 277.0, 551.7, 1052.2, 2122.8" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.0, 52.0, 68.1, 105.9, 176.7, 331.0", \ + " 54.4, 60.5, 76.6, 114.3, 184.9, 339.0", \ + " 61.1, 67.3, 83.4, 120.9, 191.2, 345.0", \ + " 69.8, 76.2, 92.3, 129.5, 199.4, 352.9", \ + " 84.7, 91.2, 107.2, 143.9, 213.1, 365.8", \ + " 100.8, 108.4, 126.3, 163.3, 231.6, 383.1", \ + " 119.4, 128.0, 148.0, 189.0, 258.0, 407.9", \ + " 141.8, 151.3, 172.9, 217.0, 292.9, 443.6", \ + " 170.0, 180.6, 204.2, 250.5, 331.2, 494.7", \ + " 206.3, 218.3, 244.4, 293.4, 376.6, 553.7" ); } +fall_transition(x1_195_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 93.0, 110.7, 161.5, 277.2, 497.6, 984.6", \ + " 94.1, 110.6, 158.3, 276.5, 497.5, 984.6", \ + " 97.2, 113.2, 159.4, 275.6, 497.6, 984.6", \ + " 102.6, 118.1, 162.6, 276.0, 497.8, 984.7", \ + " 111.2, 127.1, 170.1, 280.5, 498.6, 984.8", \ + " 119.8, 135.8, 180.8, 290.2, 504.5, 985.4", \ + " 129.6, 146.7, 191.7, 305.4, 518.2, 992.6", \ + " 140.6, 159.1, 206.1, 320.1, 540.0, 1010.8", \ + " 154.8, 175.0, 224.7, 339.7, 561.4, 1043.8", \ + " 174.4, 196.8, 249.7, 366.5, 586.2, 1082.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 92.9 ; */ +/* intrinsic_fall : 66.2 ; */ +/* rise_resistance : 4.11 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.9, 100.0, 148.1, 258.3, 456.0, 877.6", \ + " 88.9, 107.4, 156.0, 266.3, 463.9, 885.4", \ + " 93.6, 112.4, 161.5, 271.9, 469.6, 891.1", \ + " 98.7, 117.8, 167.3, 278.0, 475.7, 897.1", \ + " 104.9, 124.4, 174.4, 285.5, 483.3, 904.7", \ + " 111.8, 130.9, 181.0, 292.6, 490.6, 911.9", \ + " 118.7, 138.1, 188.0, 299.6, 497.8, 919.2", \ + " 124.8, 144.5, 194.8, 306.1, 504.4, 925.9", \ + " 129.1, 149.4, 200.3, 312.2, 509.8, 931.6", \ + " 129.7, 150.9, 202.7, 315.6, 513.9, 934.9" ); } +rise_transition(x1_195_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 96.0, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.0, 142.5, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.2, 142.6, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.7, 142.8, 267.8, 551.0, 1055.0, 2125.9", \ + " 96.9, 143.0, 268.2, 551.0, 1055.0, 2125.9", \ + " 95.9, 142.5, 267.3, 551.0, 1055.0, 2125.9", \ + " 98.9, 142.6, 266.7, 549.9, 1054.7, 2125.9", \ + " 103.4, 146.5, 266.5, 549.3, 1053.7, 2125.9", \ + " 109.9, 152.3, 271.0, 548.9, 1053.1, 2124.7", \ + " 119.2, 160.8, 277.9, 552.9, 1053.6, 2124.1" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.2, 56.6, 78.0, 132.1, 234.6, 457.8", \ + " 57.4, 65.0, 86.4, 140.2, 242.3, 465.1", \ + " 63.9, 71.6, 92.9, 146.3, 247.9, 470.1", \ + " 72.5, 80.2, 101.4, 154.2, 255.1, 476.5", \ + " 87.4, 95.0, 115.6, 167.3, 266.9, 486.9", \ + " 104.1, 112.9, 134.6, 184.8, 282.7, 500.7", \ + " 123.1, 133.0, 157.1, 209.8, 305.4, 520.5", \ + " 145.8, 156.7, 182.5, 239.0, 337.7, 549.1", \ + " 174.5, 186.5, 214.1, 272.6, 378.5, 592.9", \ + " 211.5, 224.9, 254.9, 315.5, 423.9, 654.8" ); } +fall_transition(x1_195_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 109.5, 134.8, 207.1, 373.1, 683.1, 1358.8", \ + " 109.9, 133.9, 203.2, 372.2, 682.9, 1358.6", \ + " 112.4, 136.0, 203.6, 370.8, 682.6, 1358.3", \ + " 117.0, 140.1, 205.9, 369.6, 682.0, 1357.8", \ + " 124.7, 148.0, 211.9, 371.5, 680.2, 1356.8", \ + " 132.0, 156.0, 221.3, 378.2, 681.7, 1353.8", \ + " 142.1, 166.1, 231.2, 391.1, 689.9, 1353.5", \ + " 152.9, 178.3, 244.3, 405.9, 707.5, 1362.5", \ + " 166.4, 193.5, 262.2, 422.6, 730.5, 1386.2", \ + " 184.8, 214.2, 285.9, 448.0, 751.8, 1426.0" ); }} +} +} +cell(xooi21v0x2) { /* 2008-01-06:08h03 characteristic delay 19.2 ps */ +area : 20 ; /* tracks */ +cell_leakage_power : 2726 ; /* xooi21v0x2 */ +cell_footprint : xooi21 ; +pin(a1) { /* xooi21v0x2 FO4 effort 2.15 logical effort 1.87 */ +direction : input ; +capacitance : 10.96 ; +rise_capacitance : 10.97 ; +fall_capacitance : 10.95 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xooi21v0x2 */ +} +pin(a2) { /* xooi21v0x2 FO4 effort 1.99 logical effort 1.80 */ +direction : input ; +capacitance : 10.83 ; +rise_capacitance : 10.74 ; +fall_capacitance : 10.93 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xooi21v0x2 */ +} +pin(b) { /* xooi21v0x2 FO4 effort 2.27 logical effort 3.06 */ +direction : input ; +capacitance : 16.01 ; +rise_capacitance : 15.78 ; +fall_capacitance : 16.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xooi21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 201 ; +max_fanout : 6 ; +function : "((a1+a2)^b)'" ; +internal_power(a1_z_n) { /* xooi21v0x2 61.33 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 31.13, 31.14, 31.14, 31.12, 31.09", \ + " 30.70, 30.72, 30.76, 30.78, 30.76", \ + " 30.59, 30.62, 30.67, 30.71, 30.71", \ + " 30.58, 30.61, 30.66, 30.72, 30.73", \ + " 30.82, 30.83, 30.86, 30.91, 30.92", \ + " 31.58, 31.54, 31.48, 31.45, 31.44", \ + " 33.31, 33.16, 32.91, 32.67, 32.51", \ + " 36.63, 36.31, 35.73, 35.05, 34.53", \ + " 42.62, 42.07, 40.97, 39.54, 38.32", \ + " 52.74, 51.91, 50.15, 47.61, 45.19" ); }} +internal_power(a1_z_p) { /* xooi21v0x2 66.74 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 33.65, 33.78, 33.98, 34.15, 34.23", \ + " 33.18, 33.31, 33.53, 33.72, 33.82", \ + " 33.05, 33.18, 33.40, 33.61, 33.72", \ + " 33.04, 33.15, 33.37, 33.59, 33.72", \ + " 33.33, 33.41, 33.59, 33.81, 33.95", \ + " 34.22, 34.24, 34.35, 34.51, 34.64", \ + " 36.16, 36.10, 36.06, 36.09, 36.14", \ + " 39.83, 39.65, 39.37, 39.13, 38.99", \ + " 46.30, 45.94, 45.33, 44.63, 44.11", \ + " 57.09, 56.52, 55.47, 54.11, 52.92" ); }} +internal_power(a2_z_n) { /* xooi21v0x2 51.62 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 25.81, 25.83, 25.86, 25.87, 25.85", \ + " 25.50, 25.57, 25.68, 25.76, 25.79", \ + " 25.48, 25.56, 25.69, 25.81, 25.86", \ + " 25.63, 25.69, 25.81, 25.95, 26.03", \ + " 26.18, 26.19, 26.24, 26.35, 26.42", \ + " 27.36, 27.28, 27.18, 27.14, 27.14", \ + " 29.62, 29.40, 29.03, 28.67, 28.46", \ + " 33.55, 33.12, 32.34, 31.45, 30.79", \ + " 40.23, 39.54, 38.17, 36.43, 34.98", \ + " 51.25, 50.24, 48.12, 45.13, 42.38" ); }} +internal_power(a2_z_p) { /* xooi21v0x2 56.84 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 28.31, 28.46, 28.68, 28.85, 28.91", \ + " 27.91, 28.07, 28.34, 28.56, 28.66", \ + " 27.86, 28.02, 28.30, 28.55, 28.68", \ + " 28.02, 28.16, 28.42, 28.69, 28.85", \ + " 28.67, 28.75, 28.95, 29.20, 29.37", \ + " 30.01, 30.02, 30.10, 30.27, 30.42", \ + " 32.55, 32.44, 32.34, 32.32, 32.36", \ + " 36.87, 36.63, 36.25, 35.91, 35.71", \ + " 44.10, 43.66, 42.91, 42.06, 41.42", \ + " 55.86, 55.19, 53.95, 52.37, 51.01" ); }} +internal_power(b_z_n) { /* xooi21v0x2 45.42 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 22.72, 22.98, 23.29, 23.48, 23.55", \ + " 21.76, 22.13, 22.69, 23.16, 23.40", \ + " 21.68, 22.01, 22.59, 23.14, 23.47", \ + " 21.94, 22.20, 22.71, 23.30, 23.68", \ + " 22.96, 23.08, 23.40, 23.89, 24.29", \ + " 25.01, 24.97, 25.00, 25.24, 25.53", \ + " 28.75, 28.51, 28.16, 27.94, 27.92", \ + " 35.00, 34.52, 33.68, 32.78, 32.19", \ + " 45.36, 44.55, 43.04, 41.16, 39.63", \ + " 62.16, 60.97, 58.57, 55.32, 52.35" ); }} +internal_power(b_z_p) { /* xooi21v0x2 59.77 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 29.74, 29.55, 29.10, 28.52, 28.05", \ + " 29.43, 29.32, 29.00, 28.50, 28.03", \ + " 29.66, 29.56, 29.30, 28.83, 28.36", \ + " 30.23, 30.13, 29.88, 29.43, 28.95", \ + " 31.61, 31.49, 31.22, 30.75, 30.22", \ + " 34.04, 33.88, 33.53, 32.97, 32.35", \ + " 38.16, 37.94, 37.48, 36.76, 35.96", \ + " 44.78, 44.47, 43.84, 42.87, 41.80", \ + " 55.57, 55.02, 54.06, 52.74, 51.27", \ + " 73.23, 72.28, 70.51, 68.51, 66.47" ); }} +timing() { /* ring osc delay xooi21v0x2, path a1 to z 124.4 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 92.5 ; */ +/* intrinsic_fall : 78.2 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.15 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.9, 87.8, 118.2, 184.4, 300.2, 544.8", \ + " 83.2, 95.1, 125.7, 191.9, 307.7, 552.4", \ + " 88.2, 100.1, 130.6, 196.9, 312.7, 557.4", \ + " 94.4, 106.3, 136.9, 203.2, 319.0, 563.7", \ + " 105.0, 117.1, 147.6, 213.9, 329.8, 574.5", \ + " 117.4, 130.7, 163.0, 229.4, 345.3, 590.0", \ + " 133.4, 147.9, 183.2, 252.9, 368.9, 613.7", \ + " 154.2, 170.1, 208.8, 284.6, 403.6, 648.5", \ + " 182.1, 199.8, 242.5, 325.5, 453.9, 701.0", \ + " 219.2, 239.4, 287.6, 379.6, 519.8, 780.2" ); } +rise_transition(x2_260_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 178.4, 212.1, 304.6, 517.4, 899.9, 1716.1", \ + " 179.1, 212.7, 304.9, 517.5, 899.9, 1716.1", \ + " 181.5, 214.7, 306.0, 518.0, 900.0, 1716.1", \ + " 186.2, 218.9, 309.4, 519.7, 900.5, 1716.2", \ + " 196.4, 228.4, 317.5, 525.5, 903.3, 1716.5", \ + " 214.3, 245.2, 332.1, 536.8, 910.9, 1719.0", \ + " 246.3, 275.1, 358.2, 558.0, 926.8, 1727.9", \ + " 293.9, 323.5, 402.3, 594.6, 955.9, 1747.7", \ + " 359.4, 389.6, 471.6, 656.6, 1007.6, 1786.2", \ + " 452.7, 483.7, 567.7, 757.7, 1096.1, 1857.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.6, 66.6, 86.4, 128.2, 200.2, 351.6", \ + " 65.5, 73.5, 93.4, 135.2, 207.2, 358.7", \ + " 71.0, 79.0, 98.9, 140.7, 212.7, 364.2", \ + " 78.3, 86.4, 106.3, 148.1, 220.2, 371.7", \ + " 90.2, 98.8, 119.3, 161.3, 233.4, 384.9", \ + " 102.7, 112.7, 136.0, 179.9, 252.4, 404.0", \ + " 115.8, 127.5, 154.8, 205.0, 280.2, 432.5", \ + " 128.8, 142.4, 174.3, 233.2, 317.9, 473.7", \ + " 141.6, 157.3, 194.4, 263.6, 362.4, 532.2", \ + " 153.1, 171.2, 214.5, 295.7, 412.0, 608.4" ); } +fall_transition(x2_260_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 97.2, 115.3, 164.6, 277.5, 481.5, 920.5", \ + " 98.3, 116.3, 165.3, 277.9, 481.7, 920.6", \ + " 101.5, 118.8, 166.7, 278.7, 482.1, 920.6", \ + " 108.5, 125.1, 171.2, 280.6, 482.8, 920.8", \ + " 124.3, 139.8, 183.5, 288.5, 485.8, 921.4", \ + " 149.0, 163.7, 205.1, 305.7, 496.4, 923.4", \ + " 182.5, 198.5, 240.1, 336.4, 520.5, 934.7", \ + " 226.4, 243.8, 288.6, 385.1, 562.7, 964.5", \ + " 286.1, 305.3, 354.1, 457.6, 632.1, 1021.6", \ + " 369.2, 390.9, 444.9, 557.0, 741.5, 1119.5" ); }} +timing() { /* ring osc delay xooi21v0x2, path a1 to z 140.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 103.5 ; */ +/* intrinsic_fall : 117.6 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.8, 100.4, 135.2, 211.3, 344.7, 626.8", \ + " 93.6, 107.2, 142.0, 218.1, 351.6, 633.7", \ + " 99.0, 112.6, 147.3, 223.5, 357.0, 639.1", \ + " 106.2, 119.6, 154.3, 230.4, 364.0, 646.2", \ + " 117.2, 130.5, 164.9, 240.9, 374.5, 656.9", \ + " 128.8, 142.0, 176.3, 252.2, 385.7, 668.1", \ + " 141.3, 154.6, 188.6, 264.3, 397.8, 680.2", \ + " 154.4, 167.8, 201.6, 276.9, 410.3, 692.6", \ + " 167.8, 181.5, 215.5, 290.3, 423.4, 705.7", \ + " 180.6, 194.9, 229.4, 304.2, 437.0, 719.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.2, 130.6, 218.8, 419.7, 778.5, 1541.4", \ + " 98.2, 130.6, 218.8, 419.7, 778.5, 1541.4", \ + " 98.3, 130.7, 218.8, 419.7, 778.5, 1541.4", \ + " 98.6, 130.9, 218.9, 419.7, 778.5, 1541.4", \ + " 100.2, 132.1, 219.5, 419.9, 778.5, 1541.4", \ + " 103.3, 134.6, 221.0, 420.5, 778.7, 1541.4", \ + " 107.2, 138.1, 223.4, 421.5, 779.2, 1541.6", \ + " 112.7, 142.9, 226.8, 423.3, 779.9, 1542.1", \ + " 120.4, 150.0, 232.4, 426.5, 781.4, 1542.8", \ + " 131.2, 160.3, 241.2, 432.6, 784.9, 1544.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.4, 109.0, 134.1, 185.1, 272.6, 457.0", \ + " 105.6, 116.2, 141.3, 192.4, 279.8, 464.3", \ + " 110.4, 121.1, 146.2, 197.2, 284.7, 469.2", \ + " 116.5, 127.1, 152.3, 203.4, 290.8, 475.3", \ + " 126.3, 137.0, 162.2, 213.3, 300.9, 485.4", \ + " 138.1, 149.2, 174.8, 226.2, 313.8, 498.3", \ + " 153.4, 164.8, 191.1, 243.3, 331.0, 515.6", \ + " 173.5, 185.3, 212.5, 265.4, 353.7, 538.3", \ + " 200.5, 213.0, 241.4, 295.5, 384.2, 569.3", \ + " 236.3, 249.7, 280.0, 336.4, 426.3, 611.6" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 64.6, 84.5, 135.9, 251.4, 460.1, 908.5", \ + " 64.6, 84.6, 136.0, 251.4, 460.1, 908.5", \ + " 64.7, 84.6, 136.0, 251.4, 460.1, 908.5", \ + " 64.9, 84.8, 136.1, 251.5, 460.1, 908.5", \ + " 66.3, 85.9, 136.8, 251.8, 460.2, 908.5", \ + " 69.4, 89.0, 139.2, 253.0, 460.6, 908.5", \ + " 73.0, 92.7, 142.9, 255.7, 462.0, 908.7", \ + " 78.0, 97.8, 147.6, 259.4, 464.1, 909.7", \ + " 85.3, 105.2, 154.9, 264.8, 467.5, 911.1", \ + " 95.5, 115.7, 165.8, 274.6, 474.0, 914.0" ); }} +timing() { /* ring osc delay xooi21v0x2, path a2 to z 114.2 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 83.9 ; */ +/* intrinsic_fall : 70.3 ; */ +/* rise_resistance : 1.83 ; */ +/* fall_resistance : 1.14 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.6, 79.5, 110.0, 176.3, 292.0, 536.7", \ + " 73.3, 85.3, 115.9, 182.3, 298.2, 542.8", \ + " 78.5, 90.4, 121.0, 187.4, 303.3, 548.0", \ + " 86.0, 97.8, 128.2, 194.5, 310.3, 555.0", \ + " 99.1, 111.1, 141.3, 207.3, 322.9, 567.5", \ + " 114.4, 128.0, 160.4, 226.1, 341.4, 585.8", \ + " 133.7, 148.9, 185.3, 254.9, 369.6, 613.5", \ + " 158.1, 175.0, 215.7, 293.8, 411.7, 654.7", \ + " 190.2, 209.1, 254.6, 342.3, 473.3, 717.4", \ + " 232.7, 254.2, 305.8, 404.4, 552.1, 812.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 166.1, 197.7, 284.3, 483.3, 840.8, 1603.8", \ + " 167.3, 198.5, 284.7, 483.4, 840.9, 1603.8", \ + " 171.4, 201.7, 286.1, 483.7, 840.9, 1603.8", \ + " 178.5, 208.0, 290.6, 485.1, 841.1, 1603.8", \ + " 193.0, 221.4, 301.5, 491.7, 842.6, 1603.9", \ + " 216.0, 243.2, 320.4, 505.8, 850.3, 1604.3", \ + " 252.9, 278.3, 352.2, 531.9, 868.9, 1610.8", \ + " 297.7, 327.6, 401.8, 575.4, 903.9, 1632.0", \ + " 358.4, 389.9, 472.2, 645.2, 964.7, 1677.1", \ + " 446.0, 479.2, 565.9, 752.5, 1065.0, 1760.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.1, 58.8, 77.9, 118.8, 190.2, 341.3", \ + " 58.3, 66.0, 85.2, 126.2, 197.7, 348.8", \ + " 63.7, 71.4, 90.7, 131.8, 203.4, 354.5", \ + " 70.7, 78.6, 98.1, 139.3, 210.9, 362.0", \ + " 80.6, 89.7, 110.6, 152.4, 224.1, 375.3", \ + " 90.3, 101.0, 125.4, 170.4, 242.9, 394.3", \ + " 100.1, 112.5, 141.3, 193.7, 270.1, 422.7", \ + " 109.1, 123.6, 157.4, 218.9, 306.2, 463.3", \ + " 116.4, 133.3, 173.1, 245.6, 347.8, 520.7", \ + " 119.7, 139.7, 186.6, 272.6, 393.3, 594.1" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.4, 107.0, 159.7, 279.8, 495.4, 956.5", \ + " 89.2, 108.3, 160.6, 280.3, 495.6, 956.5", \ + " 93.6, 112.1, 163.0, 281.3, 495.9, 956.6", \ + " 101.6, 119.4, 168.8, 284.5, 497.0, 956.7", \ + " 118.3, 135.1, 182.4, 294.6, 502.2, 957.5", \ + " 143.5, 159.8, 204.8, 313.5, 515.8, 962.3", \ + " 177.0, 194.8, 241.0, 345.4, 542.6, 979.0", \ + " 222.1, 241.2, 290.7, 395.8, 587.1, 1013.8", \ + " 285.3, 306.1, 359.3, 471.7, 659.6, 1075.8", \ + " 374.4, 398.0, 456.5, 577.0, 774.9, 1179.6" ); }} +timing() { /* ring osc delay xooi21v0x2, path a2 to z 130.7 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 94.0 ; */ +/* intrinsic_fall : 109.1 ; */ +/* rise_resistance : 2.10 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.4, 92.0, 126.7, 202.5, 335.6, 617.5", \ + " 85.3, 98.9, 133.6, 209.5, 342.6, 624.5", \ + " 90.4, 103.9, 138.6, 214.6, 347.8, 629.8", \ + " 96.8, 110.1, 144.7, 220.7, 354.1, 636.1", \ + " 105.4, 118.7, 153.0, 229.0, 362.4, 644.5", \ + " 113.9, 127.2, 161.5, 237.3, 370.7, 652.9", \ + " 122.9, 136.2, 170.3, 246.0, 379.3, 661.6", \ + " 131.7, 145.2, 179.3, 254.6, 388.0, 670.1", \ + " 139.2, 153.2, 187.7, 263.0, 396.1, 678.2", \ + " 143.5, 158.3, 193.8, 269.7, 403.1, 685.1" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 100.9, 134.8, 226.8, 435.8, 808.7, 1601.3", \ + " 100.9, 134.8, 226.8, 435.8, 808.7, 1601.3", \ + " 101.1, 135.0, 226.9, 435.8, 808.7, 1601.3", \ + " 102.0, 135.6, 227.1, 435.9, 808.7, 1601.3", \ + " 104.5, 137.6, 228.3, 436.2, 808.7, 1601.3", \ + " 108.2, 140.6, 230.2, 437.1, 809.1, 1601.3", \ + " 112.7, 144.7, 233.3, 438.6, 809.7, 1601.7", \ + " 119.8, 151.0, 238.0, 441.4, 810.9, 1602.2", \ + " 129.9, 160.7, 246.1, 446.8, 813.8, 1603.4", \ + " 143.9, 174.4, 258.8, 456.9, 820.6, 1607.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.9, 100.6, 125.7, 176.7, 264.1, 448.6", \ + " 95.6, 106.2, 131.3, 182.4, 269.8, 454.3", \ + " 100.7, 111.4, 136.5, 187.6, 275.0, 459.5", \ + " 108.0, 118.6, 143.7, 194.9, 282.4, 466.9", \ + " 120.1, 130.8, 156.0, 207.2, 294.7, 479.3", \ + " 134.3, 145.4, 171.2, 222.7, 310.3, 494.8", \ + " 152.6, 163.8, 190.0, 242.5, 330.3, 514.9", \ + " 176.1, 187.7, 214.4, 267.0, 355.8, 540.5", \ + " 207.1, 219.4, 247.1, 300.3, 389.0, 574.7", \ + " 248.1, 261.4, 290.8, 345.8, 435.0, 620.6" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 64.6, 84.5, 135.9, 251.4, 460.1, 908.5", \ + " 64.6, 84.6, 136.0, 251.4, 460.1, 908.5", \ + " 64.8, 84.7, 136.0, 251.5, 460.1, 908.5", \ + " 65.1, 85.1, 136.3, 251.5, 460.1, 908.5", \ + " 67.3, 86.8, 137.3, 252.0, 460.3, 908.5", \ + " 70.6, 90.3, 140.4, 253.8, 460.8, 908.6", \ + " 74.1, 93.6, 143.9, 256.8, 462.9, 908.9", \ + " 79.5, 98.7, 147.8, 260.0, 465.3, 910.6", \ + " 87.6, 106.6, 154.8, 264.2, 468.1, 912.5", \ + " 99.3, 118.4, 166.2, 273.1, 473.0, 915.1" ); }} +timing() { /* ring osc delay xooi21v0x2, path b to z 82.3 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 38.2 ; */ +/* intrinsic_fall : 68.5 ; */ +/* rise_resistance : 1.45 ; */ +/* fall_resistance : 1.40 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 27.5, 34.8, 55.1, 104.6, 210.6, 446.5", \ + " 34.9, 41.6, 61.3, 109.9, 214.8, 449.9", \ + " 40.3, 47.3, 66.2, 113.7, 217.5, 451.8", \ + " 45.4, 53.3, 73.0, 118.8, 220.8, 453.7", \ + " 52.4, 61.3, 83.7, 128.4, 226.4, 456.7", \ + " 60.0, 70.0, 94.7, 143.7, 235.2, 461.0", \ + " 68.8, 80.1, 107.4, 162.1, 251.1, 469.2", \ + " 78.4, 91.4, 122.0, 182.6, 276.9, 485.4", \ + " 88.8, 103.8, 138.7, 206.0, 308.8, 516.8", \ + " 99.9, 117.3, 157.6, 233.1, 347.7, 566.1" ); } +rise_transition(x2_260_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 100.0, 139.5, 238.3, 452.0, 834.3, 1657.3", \ + " 105.5, 143.1, 239.5, 450.6, 831.5, 1653.8", \ + " 113.0, 149.0, 243.3, 452.1, 829.9, 1650.4", \ + " 124.4, 158.8, 250.0, 455.6, 829.8, 1645.5", \ + " 146.2, 178.0, 264.9, 464.5, 832.4, 1640.3", \ + " 182.4, 208.2, 289.8, 482.1, 840.8, 1637.6", \ + " 200.9, 248.6, 330.9, 514.8, 861.2, 1641.4", \ + " 237.8, 284.3, 392.3, 569.4, 902.0, 1659.5", \ + " 297.2, 343.2, 456.1, 658.8, 976.4, 1705.2", \ + " 385.2, 431.9, 551.7, 776.9, 1102.9, 1800.4" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.3, 62.6, 85.8, 135.6, 222.8, 407.3", \ + " 58.8, 68.5, 92.4, 143.0, 230.7, 415.4", \ + " 63.3, 73.0, 97.0, 147.9, 235.8, 420.7", \ + " 69.0, 78.7, 102.7, 153.8, 241.9, 427.1", \ + " 76.4, 87.2, 112.2, 163.4, 251.9, 437.3", \ + " 84.2, 96.3, 124.2, 177.2, 265.8, 451.5", \ + " 93.7, 107.5, 138.7, 197.1, 287.1, 473.1", \ + " 104.8, 120.9, 156.6, 221.7, 318.9, 505.5", \ + " 118.1, 137.2, 179.1, 253.3, 360.7, 555.0", \ + " 133.8, 156.7, 206.7, 293.4, 414.7, 627.5" ); } +fall_transition(x2_260_6x10) { /* 05%-95%, scaled to 0%-100% */ +values( " 69.0, 92.8, 156.5, 299.6, 554.2, 1095.9", \ + " 69.5, 93.2, 156.7, 299.7, 554.3, 1095.9", \ + " 70.6, 93.7, 156.9, 299.7, 554.3, 1095.9", \ + " 75.1, 97.4, 159.0, 300.3, 554.3, 1095.9", \ + " 85.3, 106.0, 165.7, 304.9, 556.5, 1096.0", \ + " 103.6, 122.5, 178.3, 314.5, 563.5, 1099.5", \ + " 122.6, 145.5, 202.5, 333.3, 578.2, 1109.4", \ + " 149.8, 174.0, 237.0, 366.7, 605.5, 1129.7", \ + " 191.5, 216.6, 282.5, 422.5, 654.2, 1168.3", \ + " 257.7, 282.5, 350.2, 496.4, 737.4, 1237.8" ); }} +timing() { /* ring osc delay xooi21v0x2, path b to z 105.5 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 89.8 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.06 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.3, 89.5, 121.4, 194.2, 325.5, 606.2", \ + " 84.1, 96.7, 129.2, 202.3, 333.6, 614.2", \ + " 88.6, 101.3, 134.3, 207.8, 339.2, 619.7", \ + " 93.2, 106.2, 139.6, 213.5, 345.0, 625.5", \ + " 98.7, 112.1, 145.9, 220.3, 352.0, 632.6", \ + " 105.3, 118.3, 151.7, 226.7, 358.7, 639.3", \ + " 111.7, 124.8, 158.5, 232.8, 365.2, 646.0", \ + " 117.0, 130.5, 164.5, 239.2, 371.0, 652.1", \ + " 120.5, 134.4, 169.0, 244.2, 376.3, 656.9", \ + " 120.3, 134.8, 170.2, 246.3, 379.3, 659.6" ); } +rise_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 87.6, 118.6, 202.0, 390.6, 726.5, 1440.5", \ + " 87.6, 118.6, 201.9, 390.6, 726.5, 1440.5", \ + " 87.8, 118.7, 201.9, 390.6, 726.5, 1440.5", \ + " 88.6, 119.1, 202.0, 390.6, 726.5, 1440.5", \ + " 88.4, 119.0, 202.2, 390.7, 726.5, 1440.5", \ + " 87.5, 118.4, 201.4, 390.1, 726.6, 1440.5", \ + " 91.0, 119.8, 200.6, 389.2, 725.6, 1440.5", \ + " 95.8, 124.1, 202.8, 388.6, 724.7, 1439.5", \ + " 102.6, 130.3, 208.0, 390.1, 724.5, 1438.7", \ + " 112.3, 139.4, 215.7, 396.2, 725.7, 1438.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 51.4, 65.0, 99.3, 166.0, 313.9", \ + " 54.8, 59.7, 73.4, 107.5, 173.8, 321.1", \ + " 61.2, 66.2, 79.9, 113.7, 179.5, 326.2", \ + " 69.5, 74.7, 88.3, 121.7, 186.7, 332.6", \ + " 84.2, 89.3, 102.3, 135.0, 198.8, 342.9", \ + " 100.0, 106.0, 120.9, 152.9, 215.1, 356.8", \ + " 118.3, 125.0, 141.4, 176.9, 238.8, 377.3", \ + " 140.3, 147.7, 165.4, 202.9, 270.1, 407.9", \ + " 168.1, 176.3, 195.5, 234.6, 304.4, 452.4", \ + " 204.1, 213.3, 234.4, 275.7, 346.9, 501.9" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 104.6, 120.9, 167.7, 277.4, 479.4, 926.1", \ + " 105.3, 120.9, 165.3, 274.9, 478.8, 925.9", \ + " 107.9, 123.2, 166.6, 273.6, 478.1, 925.6", \ + " 112.3, 127.5, 169.8, 274.1, 476.2, 925.1", \ + " 119.4, 135.0, 176.7, 278.0, 476.2, 922.9", \ + " 126.5, 141.7, 185.5, 286.5, 480.9, 921.0", \ + " 136.2, 152.3, 194.3, 298.5, 492.7, 925.4", \ + " 146.9, 164.1, 207.8, 310.2, 510.2, 940.3", \ + " 160.5, 179.0, 225.0, 329.3, 526.9, 967.7", \ + " 179.3, 199.3, 248.0, 354.6, 551.3, 995.3" ); }} +timing() { /* ring osc delay xooi21v0x2, path b to z 105.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 89.8 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.06 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.3, 89.5, 121.4, 194.2, 325.5, 606.2", \ + " 84.1, 96.7, 129.2, 202.3, 333.6, 614.2", \ + " 88.6, 101.3, 134.3, 207.8, 339.2, 619.7", \ + " 93.2, 106.2, 139.6, 213.4, 344.9, 625.5", \ + " 98.7, 112.0, 145.9, 220.3, 352.0, 632.5", \ + " 105.3, 118.3, 151.7, 226.7, 358.7, 639.3", \ + " 111.7, 124.8, 158.5, 232.8, 365.2, 646.0", \ + " 117.0, 130.5, 164.5, 239.2, 371.0, 652.1", \ + " 120.5, 134.4, 169.0, 244.2, 376.3, 656.9", \ + " 120.3, 134.8, 170.2, 246.3, 379.3, 659.6" ); } +rise_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 87.6, 118.6, 202.0, 390.6, 726.5, 1440.5", \ + " 87.6, 118.6, 201.9, 390.6, 726.5, 1440.5", \ + " 87.8, 118.7, 201.9, 390.6, 726.5, 1440.5", \ + " 88.6, 119.1, 202.0, 390.6, 726.5, 1440.5", \ + " 88.4, 119.0, 202.2, 390.7, 726.5, 1440.5", \ + " 87.5, 118.4, 201.4, 390.1, 726.6, 1440.5", \ + " 91.0, 119.8, 200.6, 389.2, 725.6, 1440.5", \ + " 95.8, 124.1, 202.8, 388.6, 724.7, 1439.5", \ + " 102.6, 130.3, 207.9, 390.1, 724.5, 1438.7", \ + " 112.3, 139.4, 215.7, 396.2, 725.7, 1438.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 51.4, 65.0, 99.2, 165.7, 312.1", \ + " 54.8, 59.7, 73.3, 107.5, 173.5, 319.4", \ + " 61.2, 66.2, 79.8, 113.7, 179.3, 324.6", \ + " 69.5, 74.6, 88.3, 121.7, 186.6, 331.2", \ + " 84.2, 89.3, 102.3, 135.0, 198.7, 341.9", \ + " 100.0, 106.0, 120.9, 152.8, 215.1, 356.3", \ + " 118.2, 125.0, 141.4, 176.9, 238.7, 377.1", \ + " 140.3, 147.6, 165.3, 202.9, 270.1, 407.9", \ + " 168.1, 176.3, 195.4, 234.6, 304.4, 452.4", \ + " 204.1, 213.3, 234.4, 275.6, 346.9, 501.8" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 104.6, 121.0, 167.8, 277.5, 479.3, 925.3", \ + " 105.3, 121.0, 165.4, 275.0, 478.6, 925.0", \ + " 107.9, 123.3, 166.7, 273.7, 478.0, 924.6", \ + " 112.3, 127.5, 169.9, 274.2, 476.2, 923.9", \ + " 119.4, 135.1, 176.8, 278.1, 476.3, 921.9", \ + " 126.5, 141.7, 185.5, 286.5, 481.0, 920.6", \ + " 136.2, 152.4, 194.4, 298.6, 492.8, 925.4", \ + " 146.9, 164.1, 207.9, 310.3, 510.3, 940.4", \ + " 160.5, 179.0, 225.0, 329.3, 527.0, 967.8", \ + " 179.3, 199.4, 248.1, 354.7, 551.4, 995.4" ); }} +timing() { /* ring osc delay xooi21v0x2, path b to z 99.8 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 89.8 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.06 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.5, 89.9, 122.0, 194.8, 326.0, 606.6", \ + " 84.0, 96.6, 129.3, 202.6, 333.9, 614.3", \ + " 88.3, 101.0, 134.2, 207.9, 339.2, 619.7", \ + " 92.8, 105.7, 139.1, 213.3, 344.8, 625.3", \ + " 98.3, 111.4, 145.2, 219.8, 351.7, 632.2", \ + " 105.0, 117.7, 150.9, 226.0, 358.2, 638.9", \ + " 111.3, 124.4, 157.8, 232.0, 364.6, 645.5", \ + " 116.8, 130.1, 163.9, 238.4, 370.3, 651.5", \ + " 120.3, 134.1, 168.5, 243.6, 375.6, 656.3", \ + " 120.1, 134.6, 169.9, 245.7, 378.6, 659.0" ); } +rise_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 86.6, 117.5, 200.9, 389.6, 725.5, 1439.5", \ + " 86.7, 117.6, 200.9, 389.6, 725.5, 1439.5", \ + " 86.9, 117.7, 200.9, 389.6, 725.5, 1439.5", \ + " 87.6, 118.1, 201.0, 389.6, 725.5, 1439.5", \ + " 87.4, 118.0, 201.1, 389.7, 725.5, 1439.5", \ + " 86.8, 117.3, 200.2, 388.9, 725.6, 1439.5", \ + " 90.4, 119.0, 199.4, 387.9, 724.4, 1439.5", \ + " 95.2, 123.4, 201.9, 387.3, 723.5, 1438.4", \ + " 102.0, 129.7, 207.1, 389.1, 723.2, 1437.4", \ + " 111.6, 138.7, 215.0, 395.3, 724.5, 1437.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.6, 47.4, 57.8, 82.0, 127.6, 228.3", \ + " 51.9, 55.9, 66.3, 90.5, 135.9, 236.4", \ + " 58.5, 62.6, 73.1, 97.1, 142.3, 242.5", \ + " 67.0, 71.3, 82.0, 105.8, 150.7, 250.4", \ + " 81.6, 86.0, 96.6, 120.4, 164.6, 263.5", \ + " 96.9, 102.1, 114.5, 139.6, 183.4, 281.2", \ + " 114.8, 120.6, 134.5, 162.5, 209.7, 306.8", \ + " 136.4, 143.0, 158.0, 188.0, 239.5, 343.0", \ + " 163.8, 171.1, 187.7, 219.6, 273.2, 386.9", \ + " 199.2, 207.5, 226.0, 260.4, 316.1, 434.2" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 88.6, 100.1, 132.8, 209.1, 350.8, 669.9", \ + " 90.1, 100.9, 131.2, 207.0, 350.5, 669.9", \ + " 93.4, 103.9, 133.2, 206.7, 350.5, 669.9", \ + " 98.8, 109.0, 137.3, 208.6, 350.1, 670.1", \ + " 106.7, 117.5, 145.7, 214.7, 353.3, 670.1", \ + " 115.1, 125.9, 155.1, 225.6, 362.0, 674.0", \ + " 124.7, 136.2, 165.9, 238.3, 377.8, 685.6", \ + " 135.6, 148.1, 179.5, 252.5, 395.3, 708.0", \ + " 149.9, 163.8, 197.4, 272.2, 413.8, 736.7", \ + " 169.8, 185.3, 221.6, 298.8, 440.6, 761.9" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 89.8 ; */ +/* intrinsic_fall : 65.5 ; */ +/* rise_resistance : 2.06 ; */ +/* fall_resistance : 0.87 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.3, 89.5, 121.4, 194.2, 325.5, 606.2", \ + " 84.1, 96.7, 129.2, 202.3, 333.6, 614.2", \ + " 88.6, 101.3, 134.3, 207.8, 339.2, 619.7", \ + " 93.2, 106.2, 139.6, 213.4, 344.9, 625.5", \ + " 98.7, 112.0, 145.9, 220.3, 352.0, 632.5", \ + " 105.3, 118.3, 151.7, 226.7, 358.7, 639.3", \ + " 111.7, 124.8, 158.5, 232.8, 365.2, 646.0", \ + " 117.0, 130.5, 164.5, 239.2, 371.0, 652.1", \ + " 120.5, 134.4, 169.0, 244.2, 376.3, 656.9", \ + " 120.3, 134.8, 170.2, 246.3, 379.3, 659.6" ); } +rise_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 87.6, 118.6, 202.0, 390.6, 726.5, 1440.5", \ + " 87.6, 118.6, 201.9, 390.6, 726.5, 1440.5", \ + " 87.8, 118.7, 201.9, 390.6, 726.5, 1440.5", \ + " 88.6, 119.1, 202.0, 390.6, 726.5, 1440.5", \ + " 88.4, 119.0, 202.2, 390.7, 726.5, 1440.5", \ + " 87.5, 118.4, 201.4, 390.1, 726.6, 1440.5", \ + " 91.0, 119.8, 200.6, 389.2, 725.6, 1440.5", \ + " 95.8, 124.1, 202.8, 388.6, 724.7, 1439.5", \ + " 102.6, 130.3, 207.9, 390.1, 724.5, 1438.7", \ + " 112.3, 139.4, 215.7, 396.2, 725.7, 1438.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 51.4, 65.0, 99.2, 165.7, 312.1", \ + " 54.8, 59.7, 73.3, 107.5, 173.5, 319.4", \ + " 61.2, 66.2, 79.8, 113.7, 179.3, 324.6", \ + " 69.5, 74.6, 88.3, 121.7, 186.6, 331.2", \ + " 84.2, 89.3, 102.3, 135.0, 198.7, 341.9", \ + " 100.0, 106.0, 120.9, 152.8, 215.1, 356.3", \ + " 118.2, 125.0, 141.4, 176.9, 238.7, 377.1", \ + " 140.3, 147.6, 165.3, 202.9, 270.1, 407.9", \ + " 168.1, 176.3, 195.4, 234.6, 304.4, 452.4", \ + " 204.1, 213.3, 234.4, 275.6, 346.9, 501.8" ); } +fall_transition(x2_260_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 104.6, 121.0, 167.8, 277.5, 479.3, 925.3", \ + " 105.3, 121.0, 165.4, 275.0, 478.6, 925.0", \ + " 107.9, 123.3, 166.7, 273.7, 478.0, 924.6", \ + " 112.3, 127.5, 169.9, 274.2, 476.2, 923.9", \ + " 119.4, 135.1, 176.8, 278.1, 476.3, 921.9", \ + " 126.5, 141.7, 185.5, 286.5, 481.0, 920.6", \ + " 136.2, 152.4, 194.4, 298.6, 492.8, 925.4", \ + " 146.9, 164.1, 207.9, 310.3, 510.3, 940.4", \ + " 160.5, 179.0, 225.0, 329.3, 527.0, 967.8", \ + " 179.3, 199.4, 248.1, 354.7, 551.4, 995.4" ); }} +} +} +cell(xoon21v0x05) { /* 2008-01-06:08h04 characteristic delay 18.4 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 901 ; /* xoon21v0x05 */ +cell_footprint : xoon21 ; +pin(a1) { /* xoon21v0x05 FO4 effort 2.27 logical effort 2.06 */ +direction : input ; +capacitance : 3.59 ; +rise_capacitance : 3.60 ; +fall_capacitance : 3.58 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xoon21v0x05 */ +} +pin(a2) { /* xoon21v0x05 FO4 effort 2.13 logical effort 2.03 */ +direction : input ; +capacitance : 3.63 ; +rise_capacitance : 3.61 ; +fall_capacitance : 3.65 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xoon21v0x05 */ +} +pin(b) { /* xoon21v0x05 FO4 effort 2.10 logical effort 2.39 */ +direction : input ; +capacitance : 3.79 ; +rise_capacitance : 3.76 ; +fall_capacitance : 3.83 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of xoon21v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 59 ; +max_fanout : 2 ; +function : "((a1+a2)^b)" ; +internal_power(a1_z_n) { /* xoon21v0x05 18.72 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 9.50, 9.50, 9.50, 9.50, 9.49", \ + " 9.37, 9.38, 9.39, 9.39, 9.39", \ + " 9.34, 9.35, 9.36, 9.37, 9.37", \ + " 9.33, 9.34, 9.36, 9.37, 9.38", \ + " 9.41, 9.41, 9.42, 9.43, 9.44", \ + " 9.64, 9.62, 9.61, 9.61, 9.60", \ + " 10.15, 10.11, 10.05, 9.98, 9.94", \ + " 11.16, 11.06, 10.90, 10.71, 10.57", \ + " 12.96, 12.80, 12.48, 12.07, 11.74", \ + " 16.03, 15.78, 15.26, 14.52, 13.83" ); }} +internal_power(a1_z_p) { /* xoon21v0x05 20.92 nW/MHz */ +related_pin : "a1" ; +power(pwr_x05_81_5x10) { +values( " 10.53, 10.58, 10.64, 10.69, 10.70", \ + " 10.39, 10.44, 10.50, 10.56, 10.58", \ + " 10.35, 10.40, 10.47, 10.53, 10.55", \ + " 10.35, 10.39, 10.46, 10.52, 10.55", \ + " 10.43, 10.46, 10.53, 10.59, 10.63", \ + " 10.69, 10.71, 10.75, 10.81, 10.84", \ + " 11.26, 11.26, 11.27, 11.29, 11.31", \ + " 12.36, 12.32, 12.26, 12.21, 12.18", \ + " 14.29, 14.20, 14.05, 13.87, 13.73", \ + " 17.55, 17.39, 17.11, 16.74, 16.41" ); }} +internal_power(a2_z_n) { /* xoon21v0x05 15.59 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 7.79, 7.80, 7.81, 7.82, 7.81", \ + " 7.70, 7.72, 7.75, 7.78, 7.79", \ + " 7.69, 7.72, 7.76, 7.79, 7.81", \ + " 7.74, 7.76, 7.80, 7.84, 7.86", \ + " 7.90, 7.91, 7.93, 7.97, 7.99", \ + " 8.26, 8.24, 8.21, 8.21, 8.22", \ + " 8.94, 8.88, 8.77, 8.69, 8.64", \ + " 10.13, 10.01, 9.78, 9.53, 9.36", \ + " 12.16, 11.95, 11.55, 11.05, 10.65", \ + " 15.52, 15.21, 14.57, 13.69, 12.91" ); }} +internal_power(a2_z_p) { /* xoon21v0x05 17.71 nW/MHz */ +related_pin : "a2" ; +power(pwr_x05_81_5x10) { +values( " 8.82, 8.87, 8.93, 8.98, 8.99", \ + " 8.69, 8.75, 8.83, 8.89, 8.91", \ + " 8.68, 8.73, 8.82, 8.89, 8.92", \ + " 8.72, 8.77, 8.85, 8.93, 8.97", \ + " 8.91, 8.95, 9.02, 9.09, 9.14", \ + " 9.31, 9.33, 9.37, 9.42, 9.47", \ + " 10.07, 10.05, 10.04, 10.05, 10.07", \ + " 11.37, 11.31, 11.22, 11.14, 11.10", \ + " 13.55, 13.44, 13.24, 13.01, 12.85", \ + " 17.12, 16.93, 16.58, 16.15, 15.77" ); }} +internal_power(b_z_p) { /* xoon21v0x05 20.93 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 10.50, 10.44, 10.33, 10.18, 10.07", \ + " 10.41, 10.36, 10.25, 10.11, 9.99", \ + " 10.47, 10.42, 10.31, 10.16, 10.03", \ + " 10.62, 10.57, 10.46, 10.31, 10.16", \ + " 10.97, 10.92, 10.81, 10.64, 10.48", \ + " 11.58, 11.53, 11.41, 11.23, 11.04", \ + " 12.63, 12.57, 12.44, 12.23, 12.00", \ + " 14.32, 14.24, 14.09, 13.85, 13.57", \ + " 17.05, 16.94, 16.75, 16.47, 16.13", \ + " 21.46, 21.28, 21.00, 20.65, 20.23" ); }} +internal_power(b_z_n) { /* xoon21v0x05 15.70 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 7.87, 7.87, 7.87, 7.85, 7.82", \ + " 7.72, 7.74, 7.75, 7.74, 7.72", \ + " 7.75, 7.75, 7.76, 7.76, 7.74", \ + " 7.85, 7.85, 7.85, 7.84, 7.83", \ + " 8.14, 8.12, 8.10, 8.07, 8.05", \ + " 8.68, 8.63, 8.57, 8.51, 8.46", \ + " 9.64, 9.56, 9.43, 9.30, 9.20", \ + " 11.25, 11.12, 10.90, 10.64, 10.43", \ + " 13.89, 13.71, 13.37, 12.92, 12.53", \ + " 18.19, 17.93, 17.42, 16.72, 16.04" ); }} +timing() { /* ring osc delay xoon21v0x05, path a1 to z 119.2 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 94.6 ; */ +/* intrinsic_fall : 80.5 ; */ +/* rise_resistance : 5.98 ; */ +/* fall_resistance : 4.04 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.2, 89.8, 121.5, 189.1, 307.0, 556.3", \ + " 84.6, 97.3, 129.0, 196.6, 314.5, 563.9", \ + " 89.5, 102.2, 133.9, 201.6, 319.5, 568.9", \ + " 95.8, 108.4, 140.1, 207.8, 325.8, 575.1", \ + " 106.1, 118.9, 150.8, 218.5, 336.4, 585.8", \ + " 118.3, 132.3, 165.7, 233.7, 351.7, 601.1", \ + " 133.7, 149.0, 185.4, 256.6, 374.7, 624.2", \ + " 153.6, 170.3, 210.1, 287.4, 408.6, 658.3", \ + " 179.9, 198.4, 242.4, 326.9, 457.3, 709.3", \ + " 214.5, 235.4, 285.0, 378.7, 520.9, 785.8" ); } +rise_transition(x05_81_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 180.2, 214.3, 308.5, 526.1, 917.8, 1754.9", \ + " 181.0, 215.0, 308.9, 526.3, 917.9, 1754.9", \ + " 183.4, 216.9, 310.1, 526.8, 918.1, 1754.9", \ + " 188.0, 221.2, 313.4, 528.5, 918.7, 1755.0", \ + " 198.1, 230.6, 321.4, 534.3, 921.6, 1755.5", \ + " 215.9, 247.3, 335.9, 545.5, 929.2, 1758.2", \ + " 247.7, 276.9, 361.7, 566.4, 944.8, 1767.2", \ + " 295.5, 325.2, 405.3, 602.4, 973.4, 1786.4", \ + " 361.7, 391.9, 474.6, 663.6, 1023.9, 1823.9", \ + " 456.6, 487.3, 571.5, 764.2, 1110.9, 1892.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.8, 69.5, 91.4, 137.4, 216.2, 380.8", \ + " 67.7, 76.5, 98.3, 144.3, 223.2, 387.8", \ + " 73.2, 82.0, 103.8, 149.9, 228.7, 393.3", \ + " 80.7, 89.4, 111.3, 157.3, 236.3, 400.9", \ + " 93.3, 102.4, 124.5, 170.6, 249.6, 414.4", \ + " 107.1, 117.6, 142.3, 189.4, 268.8, 433.9", \ + " 121.6, 134.0, 162.7, 215.8, 297.0, 463.1", \ + " 136.6, 150.8, 184.3, 246.1, 335.8, 505.2", \ + " 152.0, 168.4, 207.2, 279.5, 383.3, 564.8", \ + " 167.2, 186.1, 231.1, 315.6, 436.9, 644.2" ); } +fall_transition(x05_81_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 102.1, 121.6, 175.7, 302.6, 533.5, 1029.7", \ + " 102.9, 122.3, 176.3, 303.0, 533.7, 1029.8", \ + " 105.6, 124.4, 177.5, 303.7, 534.0, 1029.9", \ + " 112.2, 130.1, 181.3, 305.3, 534.7, 1030.1", \ + " 127.4, 144.3, 192.8, 312.1, 537.1, 1030.8", \ + " 151.9, 167.9, 214.0, 328.2, 546.3, 1032.8", \ + " 185.5, 202.7, 248.3, 358.0, 569.0, 1042.3", \ + " 229.1, 247.8, 296.8, 405.1, 609.5, 1070.3", \ + " 288.3, 308.8, 361.9, 476.5, 675.8, 1124.8", \ + " 370.4, 393.5, 451.8, 574.9, 781.5, 1217.7" ); }} +timing() { /* ring osc delay xoon21v0x05, path a1 to z 140.3 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 110.9 ; */ +/* intrinsic_fall : 120.5 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.3, 109.6, 147.0, 229.6, 375.2, 683.5", \ + " 102.0, 116.4, 153.7, 236.3, 381.9, 690.3", \ + " 107.4, 121.7, 159.0, 241.6, 387.2, 695.6", \ + " 114.5, 128.7, 166.0, 248.6, 394.3, 702.7", \ + " 125.8, 139.8, 176.9, 259.4, 405.1, 713.6", \ + " 138.1, 152.1, 188.9, 271.3, 416.9, 725.4", \ + " 151.6, 165.6, 202.2, 284.3, 429.9, 738.3", \ + " 166.2, 180.2, 216.6, 298.4, 443.8, 752.1", \ + " 181.9, 196.2, 232.5, 313.9, 458.9, 767.2", \ + " 198.2, 212.9, 249.7, 330.9, 475.7, 783.7" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 106.4, 142.4, 239.7, 460.2, 853.2, 1688.1", \ + " 106.4, 142.5, 239.7, 460.2, 853.2, 1688.1", \ + " 106.5, 142.6, 239.7, 460.2, 853.2, 1688.1", \ + " 106.8, 142.7, 239.8, 460.3, 853.2, 1688.1", \ + " 108.3, 143.8, 240.2, 460.3, 853.2, 1688.1", \ + " 111.3, 146.2, 241.6, 460.8, 853.3, 1688.1", \ + " 115.4, 149.7, 243.8, 461.6, 853.7, 1688.3", \ + " 121.2, 154.7, 247.1, 463.2, 854.2, 1688.6", \ + " 129.4, 162.1, 252.7, 466.1, 855.5, 1689.2", \ + " 140.8, 172.8, 261.8, 472.0, 858.6, 1690.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.3, 114.3, 143.2, 203.9, 309.3, 532.0", \ + " 109.6, 121.6, 150.5, 211.2, 316.6, 539.4", \ + " 114.5, 126.4, 155.4, 216.2, 321.6, 544.4", \ + " 120.5, 132.5, 161.5, 222.3, 327.8, 550.6", \ + " 130.1, 142.1, 171.2, 232.1, 337.7, 560.5", \ + " 141.5, 153.7, 183.0, 244.1, 349.7, 572.7", \ + " 155.9, 168.3, 198.1, 259.6, 365.3, 588.3", \ + " 174.8, 187.5, 217.6, 279.5, 385.6, 608.6", \ + " 199.9, 213.0, 243.9, 306.3, 412.6, 635.9", \ + " 232.8, 246.7, 278.7, 342.3, 449.0, 672.5" ); } +fall_transition(x05_81_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 66.6, 89.4, 151.0, 292.0, 545.8, 1087.6", \ + " 66.6, 89.5, 151.0, 292.0, 545.8, 1087.6", \ + " 66.7, 89.5, 151.0, 292.0, 545.8, 1087.6", \ + " 66.9, 89.6, 151.0, 292.0, 545.8, 1087.6", \ + " 67.9, 90.4, 151.4, 292.1, 545.8, 1087.6", \ + " 70.5, 92.6, 152.9, 292.7, 545.9, 1087.6", \ + " 73.7, 95.7, 155.4, 294.1, 546.5, 1087.6", \ + " 78.4, 100.0, 158.6, 296.1, 547.4, 1088.0", \ + " 85.3, 106.5, 163.9, 299.2, 549.0, 1088.5", \ + " 95.0, 116.1, 172.5, 305.1, 552.2, 1089.7" ); }} +timing() { /* ring osc delay xoon21v0x05, path a2 to z 108.7 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 86.0 ; */ +/* intrinsic_fall : 71.7 ; */ +/* rise_resistance : 5.98 ; */ +/* fall_resistance : 3.99 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.0, 81.7, 113.4, 181.1, 299.0, 548.3", \ + " 74.8, 87.5, 119.3, 187.1, 305.0, 554.4", \ + " 80.0, 92.6, 124.4, 192.1, 310.1, 559.5", \ + " 87.2, 99.9, 131.5, 199.2, 317.1, 566.5", \ + " 100.0, 112.8, 144.4, 211.9, 329.7, 579.0", \ + " 114.8, 129.2, 163.0, 230.4, 347.9, 597.0", \ + " 133.5, 149.4, 187.0, 258.5, 375.7, 624.4", \ + " 156.9, 174.5, 216.4, 296.1, 416.7, 664.9", \ + " 187.3, 206.9, 253.7, 342.9, 476.2, 726.1", \ + " 227.1, 249.4, 302.3, 402.6, 552.3, 818.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 167.5, 199.5, 287.8, 491.3, 857.6, 1640.3", \ + " 168.8, 200.4, 288.3, 491.6, 857.7, 1640.3", \ + " 172.9, 203.7, 289.8, 492.0, 857.9, 1640.3", \ + " 180.1, 210.1, 294.4, 493.6, 858.2, 1640.4", \ + " 194.8, 223.6, 305.4, 500.3, 860.0, 1640.6", \ + " 217.9, 245.5, 324.4, 514.4, 867.8, 1641.4", \ + " 255.2, 280.8, 356.1, 540.4, 886.3, 1648.2", \ + " 300.9, 330.8, 405.8, 583.4, 920.9, 1669.2", \ + " 362.8, 394.2, 476.8, 652.8, 980.7, 1713.4", \ + " 452.3, 485.3, 571.9, 760.3, 1079.7, 1794.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.1, 60.6, 81.8, 126.9, 204.9, 368.4", \ + " 59.3, 67.8, 89.1, 134.4, 212.4, 376.0", \ + " 64.8, 73.3, 94.7, 140.0, 218.1, 381.7", \ + " 72.2, 80.7, 102.1, 147.5, 225.7, 389.5", \ + " 83.1, 92.7, 115.0, 160.7, 239.1, 403.1", \ + " 93.9, 105.2, 131.1, 179.1, 258.2, 422.7", \ + " 105.0, 118.1, 148.5, 203.8, 286.0, 452.0", \ + " 115.8, 131.0, 166.5, 231.0, 323.4, 493.7", \ + " 125.6, 143.3, 184.8, 260.5, 367.7, 552.2", \ + " 132.6, 153.4, 202.1, 291.4, 417.1, 628.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 90.6, 111.6, 169.8, 305.2, 549.0, 1070.0", \ + " 92.0, 112.6, 170.6, 305.6, 549.2, 1070.1", \ + " 96.1, 116.0, 172.5, 306.4, 549.5, 1070.2", \ + " 103.9, 123.1, 177.9, 309.0, 550.4, 1070.5", \ + " 120.3, 138.6, 191.1, 318.4, 554.8, 1071.4", \ + " 145.5, 163.0, 213.2, 336.6, 567.6, 1075.4", \ + " 178.8, 198.1, 248.6, 367.7, 593.4, 1091.2", \ + " 223.5, 244.2, 298.4, 416.4, 636.4, 1125.0", \ + " 286.0, 308.4, 366.4, 491.2, 705.7, 1184.8", \ + " 374.2, 399.5, 462.8, 595.4, 817.2, 1283.8" ); }} +timing() { /* ring osc delay xoon21v0x05, path a2 to z 129.8 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 100.4 ; */ +/* intrinsic_fall : 111.8 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 5.37 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.2, 100.6, 137.8, 220.1, 365.4, 673.5", \ + " 92.9, 107.2, 144.4, 226.8, 372.2, 680.3", \ + " 97.9, 112.1, 149.4, 231.8, 377.2, 685.4", \ + " 104.2, 118.3, 155.4, 237.9, 383.4, 691.6", \ + " 113.0, 127.0, 163.9, 246.3, 391.9, 700.1", \ + " 122.0, 136.0, 172.9, 255.1, 400.6, 708.9", \ + " 131.9, 145.9, 182.4, 264.5, 409.9, 718.2", \ + " 142.0, 156.2, 192.7, 274.4, 419.7, 727.8", \ + " 151.8, 166.4, 203.2, 284.8, 429.8, 737.8", \ + " 159.5, 174.8, 212.5, 294.7, 439.9, 747.7" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 110.0, 147.6, 248.9, 478.1, 886.4, 1753.8", \ + " 110.1, 147.7, 248.9, 478.1, 886.4, 1753.8", \ + " 110.3, 147.8, 248.9, 478.1, 886.4, 1753.8", \ + " 111.0, 148.3, 249.1, 478.1, 886.4, 1753.8", \ + " 113.5, 150.2, 250.1, 478.3, 886.4, 1753.8", \ + " 117.1, 153.1, 251.8, 479.0, 886.6, 1753.8", \ + " 121.8, 157.2, 254.8, 480.3, 887.0, 1754.0", \ + " 129.5, 163.9, 259.3, 482.8, 887.9, 1754.3", \ + " 140.4, 174.2, 267.8, 488.0, 890.6, 1755.3", \ + " 155.3, 188.8, 281.2, 498.1, 896.9, 1758.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 93.9, 105.8, 134.7, 195.3, 300.7, 523.4", \ + " 99.5, 111.5, 140.4, 201.1, 306.5, 529.2", \ + " 104.8, 116.7, 145.6, 206.4, 311.8, 534.6", \ + " 112.0, 123.9, 152.8, 213.6, 319.2, 542.0", \ + " 123.7, 135.6, 164.6, 225.5, 331.1, 554.0", \ + " 137.2, 149.3, 178.8, 239.8, 345.5, 568.5", \ + " 154.6, 166.8, 196.4, 258.0, 363.8, 586.8", \ + " 176.8, 189.3, 219.1, 280.7, 387.0, 610.1", \ + " 205.9, 218.8, 249.1, 311.0, 417.2, 640.7", \ + " 243.9, 257.6, 289.0, 351.6, 457.9, 681.5" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 63.3, 85.1, 143.8, 278.6, 521.5, 1039.6", \ + " 63.3, 85.1, 143.8, 278.6, 521.5, 1039.6", \ + " 63.4, 85.2, 143.9, 278.6, 521.5, 1039.6", \ + " 63.6, 85.4, 143.9, 278.6, 521.5, 1039.6", \ + " 65.1, 86.4, 144.4, 278.8, 521.5, 1039.6", \ + " 68.0, 89.1, 146.3, 279.3, 521.6, 1039.6", \ + " 70.8, 91.5, 148.5, 280.9, 522.1, 1039.6", \ + " 75.4, 95.3, 150.8, 282.6, 523.2, 1039.9", \ + " 82.5, 101.7, 155.2, 284.4, 524.5, 1040.8", \ + " 93.1, 111.8, 163.3, 288.9, 526.2, 1041.8" ); }} +timing() { /* ring osc delay xoon21v0x05, path b to z 112.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.3 ; */ +/* intrinsic_fall : 101.7 ; */ +/* rise_resistance : 5.54 ; */ +/* fall_resistance : 5.34 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.9, 63.3, 88.0, 150.7, 266.2, 513.9", \ + " 62.0, 70.5, 95.3, 157.7, 272.9, 520.3", \ + " 67.7, 76.2, 100.7, 162.7, 277.5, 524.6", \ + " 75.2, 83.5, 107.6, 168.9, 283.2, 529.8", \ + " 88.3, 96.1, 118.6, 178.5, 291.8, 537.7", \ + " 101.5, 110.6, 134.0, 190.5, 302.5, 547.1", \ + " 113.6, 123.9, 150.2, 209.0, 316.9, 559.4", \ + " 124.3, 135.4, 163.8, 228.7, 338.0, 576.2", \ + " 133.5, 145.5, 175.4, 243.6, 364.7, 601.8", \ + " 139.9, 153.0, 184.7, 254.6, 382.1, 640.7" ); } +rise_transition(x05_81_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 177.1, 209.2, 300.6, 516.0, 907.1, 1749.3", \ + " 179.1, 210.5, 299.7, 513.5, 905.5, 1748.3", \ + " 182.2, 213.3, 301.5, 512.9, 903.7, 1747.0", \ + " 186.8, 217.7, 304.9, 513.7, 901.2, 1744.6", \ + " 194.6, 225.5, 311.6, 517.0, 900.2, 1739.8", \ + " 203.4, 235.3, 321.8, 524.0, 902.5, 1737.2", \ + " 211.8, 244.7, 334.7, 537.2, 910.8, 1739.6", \ + " 224.6, 256.9, 345.8, 556.5, 929.3, 1750.3", \ + " 240.4, 273.1, 362.8, 573.8, 960.6, 1775.5", \ + " 261.0, 294.3, 385.3, 596.6, 989.7, 1823.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.4, 92.0, 120.1, 180.0, 285.1, 507.7", \ + " 88.1, 99.7, 127.9, 188.0, 293.1, 515.7", \ + " 94.3, 105.9, 134.1, 194.3, 299.6, 522.2", \ + " 102.4, 114.0, 142.3, 202.6, 308.0, 530.7", \ + " 115.3, 127.0, 155.5, 215.9, 321.3, 544.1", \ + " 130.5, 142.5, 171.4, 231.9, 337.4, 560.3", \ + " 149.4, 161.8, 191.1, 252.1, 357.6, 580.5", \ + " 172.8, 185.8, 215.8, 276.9, 382.7, 605.5", \ + " 202.7, 216.5, 247.6, 309.4, 415.2, 638.2", \ + " 241.3, 256.1, 289.3, 352.8, 458.9, 682.0" ); } +fall_transition(x05_81_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 60.2, 82.1, 141.4, 277.4, 521.1, 1039.5", \ + " 60.2, 82.2, 141.4, 277.4, 521.1, 1039.5", \ + " 60.4, 82.3, 141.4, 277.4, 521.1, 1039.5", \ + " 60.9, 82.6, 141.6, 277.4, 521.1, 1039.5", \ + " 63.1, 84.1, 142.2, 277.5, 521.1, 1039.5", \ + " 66.3, 87.4, 144.3, 278.1, 521.2, 1039.5", \ + " 70.8, 91.3, 147.2, 279.6, 521.6, 1039.5", \ + " 76.8, 97.1, 151.4, 281.7, 522.5, 1039.8", \ + " 85.2, 105.5, 158.6, 285.2, 523.9, 1040.5", \ + " 96.6, 117.5, 170.1, 293.1, 527.3, 1041.6" ); }} +timing() { /* ring osc delay xoon21v0x05, path b to z 85.9 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 72.0, 86.9, 124.3, 206.4, 351.5, 659.6", \ + " 78.8, 93.9, 131.5, 213.9, 359.3, 667.5", \ + " 84.9, 99.9, 137.5, 219.9, 365.4, 673.7", \ + " 93.4, 108.3, 145.7, 228.2, 373.8, 682.1", \ + " 107.7, 122.6, 160.0, 242.4, 388.0, 696.5", \ + " 125.0, 141.4, 179.7, 262.1, 407.7, 716.2", \ + " 147.2, 165.1, 207.2, 291.0, 436.5, 745.1", \ + " 176.2, 196.0, 242.5, 333.0, 478.9, 787.5", \ + " 215.9, 238.4, 290.4, 390.1, 543.1, 851.7", \ + " 271.2, 297.6, 357.2, 468.3, 636.3, 950.2" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 122.4, 157.5, 254.9, 480.5, 886.9, 1753.8", \ + " 123.6, 158.3, 255.2, 480.5, 886.9, 1753.8", \ + " 124.1, 158.6, 255.4, 480.6, 886.9, 1753.8", \ + " 127.6, 160.6, 255.9, 480.8, 886.9, 1753.8", \ + " 134.7, 166.7, 259.7, 481.8, 887.2, 1753.8", \ + " 145.1, 176.1, 267.5, 486.9, 888.7, 1753.9", \ + " 163.0, 192.1, 281.2, 498.0, 895.8, 1754.9", \ + " 182.1, 216.1, 305.1, 518.2, 911.4, 1762.8", \ + " 209.5, 246.2, 344.0, 553.9, 941.2, 1783.1", \ + " 252.9, 292.0, 395.9, 616.7, 994.5, 1824.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 29.7, 46.8, 87.2, 160.7, 317.5", \ + " 30.8, 36.6, 53.3, 93.5, 167.0, 323.8", \ + " 35.1, 41.7, 58.1, 98.0, 171.5, 328.2", \ + " 38.8, 46.6, 64.6, 103.9, 177.2, 333.9", \ + " 42.5, 51.9, 73.4, 114.0, 186.8, 343.2", \ + " 44.4, 55.6, 81.0, 127.8, 199.8, 355.6", \ + " 43.7, 56.9, 86.8, 141.5, 219.7, 373.1", \ + " 38.4, 53.9, 88.9, 152.8, 242.9, 398.9", \ + " 25.7, 43.8, 84.8, 159.6, 264.2, 438.5", \ + " 0.6, 21.9, 70.0, 157.4, 280.0, 481.0" ); } +fall_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 40.1, 66.1, 139.5, 288.0, 542.9, 1086.2", \ + " 47.2, 71.1, 141.8, 288.4, 542.8, 1085.7", \ + " 55.3, 77.7, 146.4, 291.1, 543.2, 1085.2", \ + " 66.9, 88.0, 154.3, 296.5, 546.1, 1084.6", \ + " 83.8, 107.5, 171.2, 309.1, 554.6, 1086.9", \ + " 105.7, 130.5, 197.6, 331.3, 570.6, 1095.4", \ + " 135.5, 162.1, 236.1, 369.2, 600.5, 1114.0", \ + " 175.3, 204.3, 285.2, 428.4, 652.7, 1149.8", \ + " 230.0, 262.0, 351.3, 511.8, 739.3, 1218.1", \ + " 306.1, 342.3, 440.9, 623.5, 874.4, 1341.0" ); }} +timing() { /* ring osc delay xoon21v0x05, path b to z 85.9 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.9, 86.9, 124.3, 206.3, 351.5, 659.6", \ + " 78.8, 93.9, 131.5, 213.9, 359.3, 667.5", \ + " 84.9, 99.9, 137.5, 219.9, 365.4, 673.7", \ + " 93.4, 108.3, 145.7, 228.2, 373.8, 682.1", \ + " 107.7, 122.6, 160.0, 242.4, 388.0, 696.5", \ + " 125.0, 141.4, 179.7, 262.1, 407.7, 716.2", \ + " 147.2, 165.1, 207.2, 291.0, 436.5, 745.1", \ + " 176.2, 196.0, 242.5, 333.0, 478.9, 787.5", \ + " 215.9, 238.4, 290.4, 390.1, 543.1, 851.7", \ + " 271.2, 297.6, 357.2, 468.3, 636.3, 950.2" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 122.4, 157.5, 254.9, 480.5, 886.9, 1753.8", \ + " 123.6, 158.3, 255.2, 480.5, 886.9, 1753.8", \ + " 124.1, 158.6, 255.4, 480.6, 886.9, 1753.8", \ + " 127.6, 160.6, 255.9, 480.8, 887.0, 1753.8", \ + " 134.7, 166.7, 259.7, 481.8, 887.2, 1753.8", \ + " 145.1, 176.1, 267.5, 486.9, 888.7, 1753.9", \ + " 162.9, 192.1, 281.2, 498.0, 895.8, 1754.9", \ + " 182.1, 216.1, 305.1, 518.2, 911.4, 1762.8", \ + " 209.5, 246.2, 344.0, 553.9, 941.2, 1783.1", \ + " 252.9, 292.0, 395.9, 616.7, 994.5, 1824.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 29.7, 46.7, 87.1, 160.6, 317.3", \ + " 30.8, 36.6, 53.2, 93.5, 167.0, 323.6", \ + " 35.1, 41.7, 58.0, 98.0, 171.5, 328.1", \ + " 38.8, 46.6, 64.6, 103.8, 177.2, 333.7", \ + " 42.5, 51.9, 73.4, 114.0, 186.7, 343.0", \ + " 44.4, 55.6, 81.0, 127.8, 199.7, 355.5", \ + " 43.7, 56.9, 86.7, 141.5, 219.6, 373.1", \ + " 38.4, 53.9, 88.9, 152.8, 242.8, 398.8", \ + " 25.7, 43.8, 84.8, 159.5, 264.1, 438.5", \ + " 0.6, 21.9, 69.9, 157.4, 280.0, 481.0" ); } +fall_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 40.1, 66.2, 139.6, 288.1, 543.0, 1086.1", \ + " 47.3, 71.1, 141.9, 288.5, 542.9, 1085.7", \ + " 55.3, 77.7, 146.5, 291.2, 543.3, 1085.2", \ + " 66.9, 88.0, 154.4, 296.6, 546.2, 1084.6", \ + " 83.9, 107.5, 171.3, 309.2, 554.7, 1087.0", \ + " 105.7, 130.5, 197.7, 331.4, 570.7, 1095.5", \ + " 135.5, 162.1, 236.1, 369.2, 600.6, 1114.1", \ + " 175.3, 204.3, 285.3, 428.4, 652.7, 1149.9", \ + " 230.0, 262.1, 351.3, 511.9, 739.4, 1218.2", \ + " 306.1, 342.3, 441.0, 623.5, 874.4, 1341.1" ); }} +timing() { /* ring osc delay xoon21v0x05, path b to z 82.3 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.7, 85.5, 122.7, 204.7, 349.9, 658.0", \ + " 77.6, 92.4, 129.8, 212.1, 357.5, 665.7", \ + " 83.8, 98.5, 135.9, 218.3, 363.7, 672.0", \ + " 92.5, 107.1, 144.3, 226.7, 372.2, 680.5", \ + " 107.0, 121.8, 158.9, 241.1, 386.7, 695.1", \ + " 124.6, 140.8, 178.9, 261.1, 406.6, 715.0", \ + " 147.0, 164.8, 206.8, 290.4, 435.8, 744.2", \ + " 176.4, 196.2, 242.5, 332.8, 478.5, 786.9", \ + " 216.8, 239.1, 290.9, 390.3, 543.1, 851.5", \ + " 273.0, 299.1, 358.4, 469.1, 636.7, 950.3" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 120.0, 155.2, 252.9, 479.0, 885.7, 1752.6", \ + " 121.4, 156.1, 253.3, 479.1, 885.7, 1752.6", \ + " 121.9, 156.5, 253.6, 479.1, 885.7, 1752.6", \ + " 125.4, 158.5, 254.1, 479.3, 885.7, 1752.6", \ + " 132.3, 164.5, 258.0, 480.4, 885.9, 1752.6", \ + " 142.5, 173.8, 265.8, 485.6, 887.5, 1752.7", \ + " 160.1, 189.8, 279.5, 496.7, 894.6, 1753.7", \ + " 179.2, 213.6, 303.2, 516.7, 910.1, 1761.6", \ + " 206.8, 243.9, 341.9, 552.2, 939.7, 1781.7", \ + " 251.1, 290.1, 393.8, 614.6, 992.6, 1822.6" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.4, 27.8, 42.3, 74.9, 129.4, 241.2", \ + " 29.7, 34.9, 49.2, 81.7, 136.4, 248.4", \ + " 33.8, 40.0, 54.3, 86.7, 141.7, 253.8", \ + " 37.4, 44.7, 61.0, 93.3, 148.5, 261.0", \ + " 40.8, 49.6, 69.3, 104.7, 160.1, 273.4", \ + " 42.4, 52.9, 76.4, 118.4, 176.1, 290.2", \ + " 41.2, 53.7, 81.6, 131.4, 198.6, 313.9", \ + " 35.2, 49.9, 82.9, 141.8, 221.5, 347.5", \ + " 21.3, 38.7, 77.6, 147.2, 242.1, 391.0", \ + " -5.4, 15.0, 60.9, 143.1, 256.6, 433.6" ); } +fall_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 35.8, 53.9, 108.1, 221.1, 412.0, 813.8", \ + " 43.5, 60.0, 111.4, 222.2, 412.4, 814.1", \ + " 51.8, 67.3, 116.8, 225.8, 414.0, 814.6", \ + " 63.3, 78.5, 125.9, 232.6, 418.5, 816.0", \ + " 80.6, 98.1, 144.6, 247.3, 429.9, 822.6", \ + " 102.5, 122.1, 173.6, 272.5, 450.0, 836.9", \ + " 132.2, 154.2, 212.1, 314.3, 485.5, 863.5", \ + " 171.7, 196.6, 262.1, 377.3, 544.8, 909.9", \ + " 225.9, 254.2, 328.5, 461.0, 639.9, 992.0", \ + " 301.3, 334.0, 418.0, 572.5, 778.4, 1131.1" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 37.8 ; */ +/* rise_resistance : 7.31 ; */ +/* fall_resistance : 3.35 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.9, 86.9, 124.3, 206.3, 351.5, 659.6", \ + " 78.8, 93.9, 131.5, 213.9, 359.3, 667.5", \ + " 84.9, 99.9, 137.5, 219.9, 365.4, 673.7", \ + " 93.4, 108.3, 145.7, 228.2, 373.8, 682.1", \ + " 107.7, 122.6, 160.0, 242.4, 388.0, 696.5", \ + " 125.0, 141.4, 179.7, 262.1, 407.7, 716.2", \ + " 147.2, 165.1, 207.2, 291.0, 436.5, 745.1", \ + " 176.2, 196.0, 242.5, 333.0, 478.9, 787.5", \ + " 215.9, 238.4, 290.4, 390.1, 543.1, 851.7", \ + " 271.2, 297.6, 357.2, 468.3, 636.3, 950.2" ); } +rise_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 122.4, 157.5, 254.9, 480.5, 886.9, 1753.8", \ + " 123.6, 158.3, 255.2, 480.5, 886.9, 1753.8", \ + " 124.1, 158.6, 255.4, 480.6, 886.9, 1753.8", \ + " 127.6, 160.6, 255.9, 480.8, 887.0, 1753.8", \ + " 134.7, 166.7, 259.7, 481.8, 887.2, 1753.8", \ + " 145.1, 176.1, 267.5, 486.9, 888.7, 1753.9", \ + " 162.9, 192.1, 281.2, 498.0, 895.8, 1754.9", \ + " 182.1, 216.1, 305.1, 518.2, 911.4, 1762.8", \ + " 209.5, 246.2, 344.0, 553.9, 941.2, 1783.1", \ + " 252.9, 292.0, 395.9, 616.7, 994.5, 1824.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 29.7, 46.7, 87.1, 160.6, 317.3", \ + " 30.8, 36.6, 53.2, 93.5, 167.0, 323.6", \ + " 35.1, 41.7, 58.0, 98.0, 171.5, 328.1", \ + " 38.8, 46.6, 64.6, 103.8, 177.2, 333.7", \ + " 42.5, 51.9, 73.4, 114.0, 186.7, 343.0", \ + " 44.4, 55.6, 81.0, 127.8, 199.7, 355.5", \ + " 43.7, 56.9, 86.7, 141.5, 219.6, 373.1", \ + " 38.4, 53.9, 88.9, 152.8, 242.8, 398.8", \ + " 25.7, 43.8, 84.8, 159.5, 264.1, 438.5", \ + " 0.6, 21.9, 69.9, 157.4, 280.0, 481.0" ); } +fall_transition(x05_81_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 40.1, 66.2, 139.6, 288.1, 543.0, 1086.1", \ + " 47.3, 71.1, 141.9, 288.5, 542.9, 1085.7", \ + " 55.3, 77.7, 146.5, 291.2, 543.3, 1085.2", \ + " 66.9, 88.0, 154.4, 296.6, 546.2, 1084.6", \ + " 83.9, 107.5, 171.3, 309.2, 554.7, 1087.0", \ + " 105.7, 130.5, 197.7, 331.4, 570.7, 1095.5", \ + " 135.5, 162.1, 236.1, 369.2, 600.6, 1114.1", \ + " 175.3, 204.3, 285.3, 428.4, 652.7, 1149.9", \ + " 230.0, 262.1, 351.3, 511.9, 739.4, 1218.2", \ + " 306.1, 342.3, 441.0, 623.5, 874.4, 1341.1" ); }} +} +} +cell(xoon21v0x1) { /* 2008-01-06:08h04 characteristic delay 18.9 ps */ +area : 12 ; /* tracks */ +cell_leakage_power : 1270 ; /* xoon21v0x1 */ +cell_footprint : xoon21 ; +pin(a1) { /* xoon21v0x1 FO4 effort 2.22 logical effort 2.17 */ +direction : input ; +capacitance : 7.11 ; +rise_capacitance : 7.12 ; +fall_capacitance : 7.11 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xoon21v0x1 */ +} +pin(a2) { /* xoon21v0x1 FO4 effort 2.08 logical effort 2.12 */ +direction : input ; +capacitance : 7.00 ; +rise_capacitance : 6.95 ; +fall_capacitance : 7.05 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xoon21v0x1 */ +} +pin(b) { /* xoon21v0x1 FO4 effort 1.97 logical effort 2.35 */ +direction : input ; +capacitance : 6.47 ; +rise_capacitance : 6.39 ; +fall_capacitance : 6.56 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of xoon21v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 110 ; +max_fanout : 4 ; +function : "((a1+a2)^b)" ; +internal_power(a1_z_n) { /* xoon21v0x1 32.26 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 16.44, 16.45, 16.45, 16.44, 16.43", \ + " 16.16, 16.17, 16.19, 16.20, 16.20", \ + " 16.09, 16.10, 16.13, 16.16, 16.16", \ + " 16.08, 16.10, 16.13, 16.16, 16.17", \ + " 16.24, 16.25, 16.27, 16.30, 16.31", \ + " 16.73, 16.71, 16.69, 16.68, 16.68", \ + " 17.84, 17.75, 17.63, 17.51, 17.44", \ + " 19.93, 19.76, 19.44, 19.09, 18.84", \ + " 23.67, 23.37, 22.77, 22.01, 21.39", \ + " 29.95, 29.50, 28.55, 27.19, 25.91" ); }} +internal_power(a1_z_p) { /* xoon21v0x1 36.12 nW/MHz */ +related_pin : "a1" ; +power(pwr_x1_130_5x10) { +values( " 18.25, 18.33, 18.44, 18.52, 18.55", \ + " 17.95, 18.03, 18.15, 18.24, 18.28", \ + " 17.87, 17.95, 18.07, 18.18, 18.22", \ + " 17.86, 17.94, 18.06, 18.17, 18.22", \ + " 18.04, 18.10, 18.21, 18.32, 18.38", \ + " 18.59, 18.62, 18.69, 18.78, 18.85", \ + " 19.79, 19.77, 19.77, 19.80, 19.82", \ + " 22.04, 21.95, 21.83, 21.72, 21.65", \ + " 25.99, 25.81, 25.51, 25.16, 24.89", \ + " 32.57, 32.29, 31.75, 31.05, 30.44" ); }} +internal_power(a2_z_n) { /* xoon21v0x1 25.39 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 12.74, 12.76, 12.78, 12.78, 12.78", \ + " 12.50, 12.55, 12.62, 12.67, 12.69", \ + " 12.48, 12.53, 12.62, 12.69, 12.73", \ + " 12.57, 12.61, 12.69, 12.78, 12.84", \ + " 12.91, 12.92, 12.97, 13.04, 13.10", \ + " 13.65, 13.61, 13.56, 13.56, 13.59", \ + " 15.05, 14.92, 14.72, 14.55, 14.47", \ + " 17.45, 17.21, 16.78, 16.31, 15.99", \ + " 21.49, 21.10, 20.34, 19.40, 18.65", \ + " 28.13, 27.56, 26.38, 24.75, 23.29" ); }} +internal_power(a2_z_p) { /* xoon21v0x1 29.09 nW/MHz */ +related_pin : "a2" ; +power(pwr_x1_130_5x10) { +values( " 14.53, 14.62, 14.74, 14.82, 14.84", \ + " 14.25, 14.35, 14.49, 14.60, 14.65", \ + " 14.21, 14.31, 14.47, 14.59, 14.66", \ + " 14.31, 14.39, 14.54, 14.69, 14.76", \ + " 14.69, 14.75, 14.87, 15.01, 15.10", \ + " 15.51, 15.52, 15.58, 15.68, 15.76", \ + " 17.02, 16.98, 16.94, 16.94, 16.97", \ + " 19.61, 19.49, 19.30, 19.13, 19.04", \ + " 23.90, 23.68, 23.29, 22.85, 22.52", \ + " 30.88, 30.53, 29.87, 29.04, 28.33" ); }} +internal_power(b_z_p) { /* xoon21v0x1 30.10 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 15.06, 14.93, 14.68, 14.39, 14.17", \ + " 14.94, 14.83, 14.59, 14.29, 14.06", \ + " 15.08, 14.97, 14.74, 14.44, 14.18", \ + " 15.37, 15.27, 15.05, 14.73, 14.45", \ + " 16.05, 15.95, 15.73, 15.40, 15.08", \ + " 17.22, 17.11, 16.89, 16.53, 16.16", \ + " 19.19, 19.07, 18.83, 18.43, 18.00", \ + " 22.34, 22.19, 21.92, 21.47, 20.96", \ + " 27.40, 27.18, 26.83, 26.32, 25.71", \ + " 35.57, 35.22, 34.64, 33.98, 33.25" ); }} +internal_power(b_z_n) { /* xoon21v0x1 23.26 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 11.57, 11.58, 11.57, 11.53, 11.48", \ + " 11.35, 11.37, 11.38, 11.37, 11.34", \ + " 11.42, 11.43, 11.44, 11.43, 11.40", \ + " 11.65, 11.64, 11.63, 11.61, 11.58", \ + " 12.22, 12.18, 12.13, 12.08, 12.03", \ + " 13.28, 13.19, 13.05, 12.92, 12.83", \ + " 15.12, 14.97, 14.71, 14.43, 14.23", \ + " 18.14, 17.91, 17.49, 16.98, 16.57", \ + " 23.06, 22.72, 22.08, 21.25, 20.50", \ + " 30.98, 30.50, 29.56, 28.28, 27.03" ); }} +timing() { /* ring osc delay xoon21v0x1, path a1 to z 104.5 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.3 ; */ +/* intrinsic_fall : 78.0 ; */ +/* rise_resistance : 3.03 ; */ +/* fall_resistance : 2.26 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.3, 74.7, 100.7, 155.7, 250.9, 451.8", \ + " 71.7, 82.2, 108.2, 163.3, 258.5, 459.4", \ + " 76.6, 87.1, 113.2, 168.2, 263.4, 464.4", \ + " 82.7, 93.2, 119.3, 174.4, 269.6, 470.6", \ + " 92.0, 103.1, 129.7, 184.8, 280.1, 481.1", \ + " 102.3, 114.4, 143.1, 199.5, 294.9, 496.0", \ + " 115.3, 128.5, 159.8, 220.4, 317.0, 518.5", \ + " 131.7, 146.2, 180.6, 246.5, 348.6, 551.1", \ + " 152.8, 169.1, 207.4, 279.9, 390.3, 599.1", \ + " 179.9, 198.4, 241.9, 323.2, 444.1, 667.8" ); } +rise_transition(x1_130_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 143.1, 172.9, 256.2, 450.3, 800.6, 1549.6", \ + " 144.2, 173.8, 256.8, 450.6, 800.7, 1549.6", \ + " 147.1, 176.4, 258.6, 451.4, 801.1, 1549.7", \ + " 152.2, 181.1, 262.5, 454.0, 802.1, 1550.0", \ + " 163.2, 191.4, 271.4, 460.8, 806.5, 1551.2", \ + " 182.5, 209.3, 286.9, 473.3, 815.7, 1556.1", \ + " 215.8, 241.2, 314.5, 495.9, 833.4, 1567.6", \ + " 260.8, 287.2, 360.5, 533.9, 864.4, 1590.2", \ + " 326.9, 353.1, 426.7, 597.4, 917.6, 1631.6", \ + " 422.0, 448.6, 522.3, 695.3, 1006.9, 1704.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.9, 66.1, 86.3, 127.8, 197.6, 342.1", \ + " 64.6, 72.9, 93.1, 134.6, 204.5, 349.0", \ + " 70.0, 78.3, 98.5, 140.0, 210.1, 354.7", \ + " 77.4, 85.7, 105.9, 147.5, 217.7, 362.5", \ + " 89.6, 98.4, 118.9, 160.7, 231.2, 376.5", \ + " 102.6, 112.6, 135.8, 179.3, 250.6, 396.6", \ + " 116.6, 128.2, 155.1, 204.3, 278.5, 426.6", \ + " 131.5, 144.7, 175.8, 232.7, 315.6, 468.6", \ + " 147.5, 162.6, 198.4, 264.6, 359.9, 526.7", \ + " 164.7, 181.9, 223.1, 300.1, 410.9, 601.5" ); } +fall_transition(x1_130_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 88.6, 104.6, 150.3, 259.3, 459.0, 890.4", \ + " 89.6, 105.6, 151.1, 259.8, 459.2, 890.5", \ + " 92.5, 107.9, 152.6, 260.6, 459.6, 890.6", \ + " 99.3, 113.9, 156.7, 262.5, 460.6, 891.0", \ + " 114.9, 128.4, 168.5, 269.8, 463.5, 891.9", \ + " 139.0, 151.9, 189.5, 286.3, 473.3, 894.3", \ + " 170.9, 184.9, 223.2, 315.4, 496.2, 905.2", \ + " 212.3, 227.7, 268.7, 361.3, 535.7, 933.6", \ + " 268.6, 285.6, 330.1, 428.6, 600.1, 986.9", \ + " 346.7, 366.0, 415.3, 520.8, 700.9, 1077.0" ); }} +timing() { /* ring osc delay xoon21v0x1, path a1 to z 126.8 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 105.8 ; */ +/* intrinsic_fall : 105.3 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.90 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.7, 103.0, 137.3, 213.0, 346.1, 628.1", \ + " 96.4, 109.6, 143.9, 219.6, 352.8, 634.8", \ + " 101.7, 114.9, 149.2, 224.9, 358.1, 640.1", \ + " 109.0, 122.1, 156.3, 231.9, 365.2, 647.3", \ + " 120.5, 133.5, 167.4, 243.0, 376.3, 658.4", \ + " 133.2, 146.1, 179.9, 255.3, 388.5, 670.6", \ + " 147.4, 160.3, 193.9, 269.1, 402.2, 684.2", \ + " 163.0, 176.1, 209.5, 284.3, 417.3, 699.3", \ + " 180.7, 194.0, 227.5, 301.9, 434.7, 716.6", \ + " 200.3, 214.2, 248.1, 322.5, 455.0, 736.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.1, 124.8, 213.3, 414.7, 773.8, 1537.1", \ + " 92.1, 124.8, 213.4, 414.7, 773.8, 1537.1", \ + " 92.2, 124.9, 213.4, 414.7, 773.8, 1537.1", \ + " 92.4, 125.1, 213.5, 414.7, 773.8, 1537.1", \ + " 94.0, 126.3, 214.0, 414.8, 773.8, 1537.1", \ + " 97.1, 128.8, 215.5, 415.4, 774.0, 1537.1", \ + " 101.1, 132.2, 217.9, 416.4, 774.5, 1537.3", \ + " 106.6, 137.0, 221.2, 418.1, 775.2, 1537.8", \ + " 114.2, 144.0, 226.6, 421.1, 776.6, 1538.5", \ + " 124.6, 154.0, 235.2, 427.0, 779.9, 1540.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.9, 97.5, 122.7, 175.0, 265.9, 457.7", \ + " 94.3, 104.9, 130.0, 182.4, 273.3, 465.2", \ + " 99.2, 109.7, 134.9, 187.4, 278.2, 470.1", \ + " 105.1, 115.6, 140.8, 193.4, 284.3, 476.2", \ + " 113.9, 124.5, 149.8, 202.4, 293.4, 485.4", \ + " 123.7, 134.5, 160.3, 213.1, 304.2, 496.3", \ + " 136.1, 147.2, 173.3, 226.6, 317.9, 510.0", \ + " 151.9, 163.3, 190.0, 243.7, 335.2, 527.5", \ + " 172.3, 184.3, 211.8, 266.4, 358.1, 550.7", \ + " 198.2, 211.1, 240.0, 295.9, 388.5, 581.2" ); } +fall_transition(x1_130_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 52.4, 72.1, 125.1, 246.6, 465.3, 932.0", \ + " 52.5, 72.1, 125.1, 246.6, 465.3, 932.0", \ + " 52.5, 72.2, 125.2, 246.6, 465.3, 932.0", \ + " 52.8, 72.4, 125.3, 246.7, 465.3, 932.0", \ + " 54.4, 73.6, 125.9, 246.9, 465.3, 932.0", \ + " 56.8, 76.0, 127.6, 247.7, 465.5, 932.1", \ + " 60.1, 79.0, 130.0, 249.2, 466.2, 932.2", \ + " 65.0, 83.6, 133.7, 251.3, 467.3, 932.6", \ + " 72.1, 90.5, 139.6, 254.9, 469.2, 933.2", \ + " 81.8, 100.2, 148.6, 261.7, 473.0, 934.8" ); }} +timing() { /* ring osc delay xoon21v0x1, path a2 to z 95.8 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 73.6 ; */ +/* intrinsic_fall : 70.9 ; */ +/* rise_resistance : 3.03 ; */ +/* fall_resistance : 2.35 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 66.4, 92.5, 147.5, 242.7, 443.7", \ + " 61.8, 72.3, 98.5, 153.6, 248.8, 449.8", \ + " 66.9, 77.4, 103.5, 158.6, 253.9, 454.9", \ + " 74.0, 84.5, 110.5, 165.6, 260.8, 461.8", \ + " 84.7, 96.2, 123.0, 178.0, 273.1, 474.0", \ + " 96.8, 109.3, 139.1, 195.9, 290.9, 491.7", \ + " 111.9, 125.7, 158.6, 221.2, 317.6, 518.3", \ + " 130.5, 145.9, 182.3, 251.6, 355.7, 557.3", \ + " 153.8, 171.2, 212.1, 289.5, 404.9, 614.8", \ + " 183.1, 203.0, 249.7, 337.3, 466.3, 696.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 133.2, 161.2, 239.0, 419.7, 746.0, 1443.9", \ + " 135.6, 163.0, 239.9, 420.2, 746.2, 1444.0", \ + " 140.8, 167.4, 242.7, 421.1, 746.5, 1444.0", \ + " 149.2, 175.0, 248.6, 424.2, 747.3, 1444.2", \ + " 165.6, 190.4, 261.5, 433.2, 751.5, 1444.8", \ + " 191.2, 214.4, 282.5, 449.8, 762.6, 1447.9", \ + " 226.5, 252.3, 316.6, 478.4, 784.8, 1460.2", \ + " 269.5, 296.4, 368.4, 523.8, 823.0, 1487.2", \ + " 331.5, 359.3, 433.3, 595.9, 885.8, 1537.6", \ + " 421.4, 450.8, 527.5, 697.1, 987.2, 1624.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.2, 59.5, 79.8, 122.3, 194.8, 346.5", \ + " 58.1, 66.4, 86.9, 129.5, 202.2, 354.0", \ + " 63.4, 71.8, 92.3, 135.1, 208.0, 359.9", \ + " 70.6, 79.0, 99.7, 142.7, 215.8, 367.9", \ + " 80.9, 90.6, 112.4, 155.9, 229.6, 382.3", \ + " 91.4, 102.5, 127.9, 174.2, 249.1, 402.8", \ + " 102.5, 115.4, 144.9, 198.3, 277.0, 433.1", \ + " 114.3, 129.0, 163.2, 225.2, 314.0, 475.4", \ + " 126.3, 143.3, 183.0, 255.3, 357.9, 534.4", \ + " 137.7, 157.5, 203.8, 288.6, 408.3, 610.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.1, 98.7, 151.0, 273.5, 494.5, 968.4", \ + " 81.4, 99.8, 151.9, 274.0, 494.8, 968.4", \ + " 85.6, 103.1, 153.8, 275.0, 495.3, 968.6", \ + " 93.5, 110.2, 159.2, 277.6, 496.4, 969.0", \ + " 110.0, 125.7, 172.3, 287.1, 500.9, 970.1", \ + " 134.7, 150.2, 194.0, 304.9, 513.9, 974.5", \ + " 166.5, 183.5, 229.1, 335.1, 539.3, 990.6", \ + " 209.4, 227.6, 276.3, 382.9, 581.0, 1024.1", \ + " 269.4, 289.4, 341.3, 454.6, 648.5, 1082.4", \ + " 354.5, 377.1, 433.9, 554.0, 756.6, 1178.5" ); }} +timing() { /* ring osc delay xoon21v0x1, path a2 to z 117.0 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.7 ; */ +/* intrinsic_fall : 96.3 ; */ +/* rise_resistance : 4.18 ; */ +/* fall_resistance : 2.90 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.9, 95.2, 129.4, 204.8, 337.7, 619.4", \ + " 88.4, 101.6, 135.8, 211.2, 344.2, 626.0", \ + " 93.5, 106.7, 140.8, 216.3, 349.3, 631.1", \ + " 100.0, 113.0, 147.1, 222.6, 355.6, 637.5", \ + " 109.4, 122.3, 156.1, 231.5, 364.6, 646.5", \ + " 119.2, 132.2, 166.0, 241.1, 374.2, 656.1", \ + " 130.3, 143.4, 177.0, 252.1, 385.0, 666.9", \ + " 142.7, 156.0, 189.6, 264.4, 397.3, 679.0", \ + " 156.1, 169.9, 204.0, 278.8, 411.4, 693.1", \ + " 169.9, 184.5, 219.6, 295.1, 428.1, 709.6" ); } +rise_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 95.3, 129.4, 221.5, 430.7, 803.8, 1596.9", \ + " 95.4, 129.5, 221.5, 430.7, 803.8, 1596.9", \ + " 95.6, 129.6, 221.6, 430.7, 803.8, 1596.9", \ + " 96.4, 130.1, 221.8, 430.7, 803.8, 1596.8", \ + " 99.2, 132.4, 223.1, 431.1, 803.8, 1596.8", \ + " 103.2, 135.7, 225.2, 432.1, 804.2, 1596.8", \ + " 107.9, 139.8, 228.4, 433.7, 804.8, 1597.2", \ + " 115.3, 146.5, 233.2, 436.5, 806.1, 1597.7", \ + " 125.7, 156.5, 241.6, 442.2, 809.1, 1598.9", \ + " 139.7, 170.6, 254.9, 452.6, 816.1, 1602.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.5, 89.0, 114.1, 166.4, 257.2, 449.0", \ + " 84.3, 94.8, 119.9, 172.3, 263.1, 455.0", \ + " 89.4, 99.9, 125.1, 177.5, 268.4, 460.3", \ + " 96.2, 106.6, 131.8, 184.3, 275.3, 467.3", \ + " 106.1, 116.7, 142.1, 194.7, 285.8, 477.8", \ + " 117.3, 128.1, 153.8, 206.8, 298.0, 490.1", \ + " 131.7, 142.6, 168.5, 221.8, 313.2, 505.4", \ + " 149.7, 160.9, 187.0, 240.5, 332.2, 524.6", \ + " 172.1, 184.0, 210.9, 264.7, 356.4, 549.2", \ + " 200.2, 213.0, 241.3, 296.1, 388.1, 580.9" ); } +fall_transition(x1_130_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 49.7, 68.5, 119.2, 235.3, 444.5, 890.8", \ + " 49.8, 68.6, 119.2, 235.3, 444.5, 890.8", \ + " 49.9, 68.7, 119.2, 235.3, 444.5, 890.8", \ + " 50.4, 69.0, 119.4, 235.3, 444.5, 890.8", \ + " 52.7, 70.9, 120.3, 235.6, 444.5, 890.8", \ + " 54.7, 72.9, 122.3, 236.6, 444.7, 890.9", \ + " 57.9, 75.4, 124.0, 238.1, 445.6, 890.9", \ + " 62.9, 79.7, 126.7, 239.4, 446.6, 891.6", \ + " 70.5, 86.7, 131.9, 241.8, 447.9, 892.4", \ + " 81.1, 97.2, 140.7, 247.2, 450.1, 893.6" ); }} +timing() { /* ring osc delay xoon21v0x1, path b to z 92.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.6 ; */ +/* intrinsic_fall : 85.1 ; */ +/* rise_resistance : 2.77 ; */ +/* fall_resistance : 2.85 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.4, 50.0, 69.6, 119.6, 212.7, 412.4", \ + " 50.6, 57.5, 77.0, 126.9, 219.7, 419.1", \ + " 56.4, 63.3, 82.6, 132.1, 224.5, 423.6", \ + " 64.2, 70.8, 89.7, 138.5, 230.4, 429.1", \ + " 76.3, 83.5, 101.7, 148.6, 239.6, 437.4", \ + " 87.0, 95.6, 117.0, 162.9, 251.7, 447.9", \ + " 96.4, 106.1, 130.5, 183.5, 269.8, 463.1", \ + " 104.3, 114.9, 141.3, 200.5, 297.4, 486.1", \ + " 110.1, 121.7, 149.7, 212.3, 322.2, 522.5", \ + " 112.1, 124.9, 154.8, 219.7, 336.4, 568.6" ); } +rise_transition(x1_130_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 136.4, 165.2, 246.7, 439.3, 788.4, 1541.2", \ + " 139.2, 167.4, 247.1, 437.3, 786.9, 1540.3", \ + " 142.6, 170.6, 249.5, 437.6, 785.3, 1539.1", \ + " 147.3, 175.4, 253.6, 439.5, 784.4, 1537.1", \ + " 154.8, 183.6, 261.5, 444.8, 786.0, 1534.5", \ + " 160.9, 192.3, 273.4, 455.1, 792.5, 1536.1", \ + " 170.1, 200.6, 285.3, 473.5, 807.6, 1544.8", \ + " 181.3, 212.4, 296.7, 494.2, 835.8, 1565.7", \ + " 195.4, 227.3, 313.0, 511.0, 874.4, 1607.0", \ + " 214.7, 247.5, 335.1, 535.4, 902.9, 1675.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 73.8, 97.7, 148.8, 239.1, 430.7", \ + " 71.7, 81.7, 105.6, 156.9, 247.2, 438.8", \ + " 77.9, 87.9, 111.9, 163.3, 253.7, 445.3", \ + " 85.7, 95.8, 119.9, 171.4, 261.8, 453.4", \ + " 97.3, 107.8, 132.2, 183.8, 274.2, 465.9", \ + " 111.0, 121.8, 146.7, 198.7, 289.1, 480.8", \ + " 128.3, 139.5, 165.1, 217.4, 308.0, 499.7", \ + " 149.9, 161.7, 188.3, 241.0, 331.8, 523.5", \ + " 177.6, 190.4, 218.5, 272.3, 363.3, 555.2", \ + " 213.8, 227.8, 258.2, 314.3, 406.2, 598.1" ); } +fall_transition(x1_130_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 47.7, 66.5, 117.3, 234.2, 444.2, 890.8", \ + " 47.8, 66.6, 117.3, 234.2, 444.2, 890.8", \ + " 48.0, 66.8, 117.4, 234.2, 444.2, 890.8", \ + " 49.0, 67.4, 117.7, 234.3, 444.2, 890.8", \ + " 51.8, 69.8, 118.9, 234.5, 444.2, 890.8", \ + " 54.8, 72.5, 121.2, 235.5, 444.3, 890.8", \ + " 59.2, 76.7, 123.9, 237.1, 445.0, 890.8", \ + " 65.1, 82.6, 128.6, 239.0, 445.9, 891.3", \ + " 73.2, 91.0, 136.3, 243.6, 447.5, 892.0", \ + " 84.3, 102.8, 148.1, 252.5, 452.2, 893.5" ); }} +timing() { /* ring osc delay xoon21v0x1, path b to z 75.9 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.0 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 76.2, 110.6, 185.7, 318.5, 600.2", \ + " 69.3, 83.2, 117.8, 193.3, 326.4, 608.2", \ + " 75.5, 89.3, 123.8, 199.4, 332.6, 614.5", \ + " 83.9, 97.6, 132.1, 207.7, 340.9, 622.9", \ + " 97.6, 111.7, 146.1, 221.7, 354.9, 637.1", \ + " 113.6, 129.3, 165.5, 241.1, 374.4, 656.6", \ + " 134.8, 152.0, 192.0, 270.0, 403.3, 685.6", \ + " 163.1, 182.2, 226.4, 311.6, 446.1, 728.3", \ + " 202.2, 224.1, 273.7, 367.7, 510.9, 793.3", \ + " 257.3, 283.1, 340.4, 445.2, 602.5, 892.8" ); } +rise_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 103.4, 135.9, 225.5, 432.3, 804.2, 1596.9", \ + " 104.3, 136.6, 225.9, 432.4, 804.2, 1596.9", \ + " 105.5, 136.9, 226.1, 432.5, 804.2, 1596.9", \ + " 109.4, 139.9, 226.9, 432.7, 804.3, 1596.9", \ + " 116.7, 146.3, 231.6, 434.4, 804.5, 1596.9", \ + " 128.0, 156.3, 239.9, 440.4, 806.9, 1597.0", \ + " 144.9, 173.8, 254.4, 452.3, 815.1, 1598.9", \ + " 163.5, 195.7, 279.9, 473.4, 831.9, 1608.3", \ + " 190.9, 225.4, 317.0, 510.5, 862.8, 1630.2", \ + " 235.0, 271.3, 367.7, 573.1, 917.3, 1672.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.0, 25.3, 40.6, 78.2, 147.9, 296.6", \ + " 26.9, 32.2, 46.9, 84.2, 153.9, 302.5", \ + " 30.2, 36.7, 51.7, 88.3, 158.0, 306.5", \ + " 32.9, 40.5, 57.8, 93.7, 163.0, 311.5", \ + " 35.2, 44.3, 64.9, 103.5, 171.4, 319.5", \ + " 35.5, 46.3, 70.6, 115.4, 183.3, 330.1", \ + " 32.8, 45.5, 74.1, 126.3, 201.9, 345.6", \ + " 25.1, 40.0, 73.4, 134.2, 221.2, 369.9", \ + " 9.2, 26.6, 65.7, 136.7, 237.3, 406.2", \ + " -19.9, 0.5, 46.3, 129.1, 246.4, 441.4" ); } +fall_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 32.9, 56.9, 122.8, 257.0, 490.6, 988.4", \ + " 41.0, 62.8, 125.8, 257.7, 490.1, 987.6", \ + " 49.5, 69.8, 130.8, 260.7, 490.8, 986.8", \ + " 60.1, 80.5, 139.3, 266.4, 493.8, 986.0", \ + " 75.8, 98.4, 156.8, 279.6, 502.2, 988.5", \ + " 96.3, 120.0, 183.6, 302.6, 518.4, 996.7", \ + " 124.4, 149.8, 219.1, 341.0, 549.4, 1015.1", \ + " 162.1, 189.8, 265.8, 399.8, 602.9, 1052.3", \ + " 214.1, 244.7, 328.7, 478.8, 690.2, 1123.6", \ + " 286.8, 321.5, 414.4, 585.3, 820.9, 1249.1" ); }} +timing() { /* ring osc delay xoon21v0x1, path b to z 75.4 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.0 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.1, 76.0, 110.3, 185.5, 318.3, 600.0", \ + " 69.1, 83.0, 117.6, 193.1, 326.1, 608.0", \ + " 75.4, 89.1, 123.6, 199.2, 332.3, 614.3", \ + " 83.8, 97.5, 131.9, 207.4, 340.7, 622.7", \ + " 97.5, 111.6, 146.0, 221.5, 354.7, 636.9", \ + " 113.6, 129.2, 165.4, 241.0, 374.2, 656.4", \ + " 134.8, 152.0, 192.0, 270.0, 403.2, 685.4", \ + " 163.1, 182.2, 226.4, 311.6, 446.0, 728.3", \ + " 202.4, 224.2, 273.8, 367.7, 510.8, 793.3", \ + " 257.6, 283.4, 340.6, 445.3, 602.6, 892.8" ); } +rise_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 103.1, 135.5, 225.2, 432.1, 804.0, 1596.7", \ + " 104.0, 136.3, 225.6, 432.2, 804.0, 1596.7", \ + " 105.2, 136.6, 225.8, 432.3, 804.0, 1596.7", \ + " 109.1, 139.6, 226.6, 432.5, 804.1, 1596.7", \ + " 116.4, 146.0, 231.4, 434.2, 804.3, 1596.7", \ + " 127.7, 156.0, 239.7, 440.2, 806.7, 1596.8", \ + " 144.5, 173.5, 254.2, 452.1, 814.9, 1598.7", \ + " 163.1, 195.4, 279.6, 473.2, 831.7, 1608.1", \ + " 190.6, 225.1, 316.7, 510.2, 862.6, 1630.0", \ + " 234.8, 271.1, 367.4, 572.8, 917.0, 1672.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 25.1, 40.0, 76.2, 142.7, 283.9", \ + " 26.7, 32.0, 46.4, 82.3, 148.8, 290.0", \ + " 30.0, 36.4, 51.2, 86.6, 153.0, 294.2", \ + " 32.8, 40.3, 57.3, 92.1, 158.3, 299.5", \ + " 35.0, 44.0, 64.4, 102.2, 167.1, 308.1", \ + " 35.3, 46.0, 70.0, 114.0, 179.5, 319.5", \ + " 32.5, 45.1, 73.3, 124.8, 198.5, 336.1", \ + " 24.6, 39.4, 72.6, 132.6, 217.8, 361.7", \ + " 8.6, 25.9, 64.7, 134.9, 233.9, 398.6", \ + " -20.8, -0.4, 45.0, 127.1, 242.8, 433.8" ); } +fall_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 32.3, 54.9, 118.0, 246.7, 470.2, 945.9", \ + " 40.5, 61.0, 121.2, 247.5, 469.9, 945.4", \ + " 49.1, 68.2, 126.3, 250.7, 470.8, 944.8", \ + " 59.6, 79.1, 135.1, 256.7, 474.2, 944.4", \ + " 75.4, 96.9, 152.8, 270.3, 483.1, 947.7", \ + " 95.9, 118.7, 180.1, 293.8, 500.0, 956.9", \ + " 124.0, 148.6, 215.5, 332.8, 531.9, 976.8", \ + " 161.6, 188.7, 262.3, 392.0, 586.6, 1015.7", \ + " 213.5, 243.6, 325.3, 471.1, 675.3, 1089.2", \ + " 286.2, 320.3, 411.0, 577.7, 806.3, 1217.2" ); }} +timing() { /* ring osc delay xoon21v0x1, path b to z 72.3 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 81.0 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.2, 74.9, 109.1, 184.2, 317.0, 598.7", \ + " 68.2, 81.9, 116.2, 191.7, 324.7, 606.5", \ + " 74.6, 88.1, 122.3, 197.8, 331.0, 612.9", \ + " 83.2, 96.6, 130.7, 206.2, 339.4, 621.4", \ + " 97.1, 111.0, 145.1, 220.4, 353.6, 635.7", \ + " 113.3, 128.8, 164.9, 240.2, 373.3, 655.5", \ + " 134.8, 151.9, 191.7, 269.5, 402.6, 684.8", \ + " 163.5, 182.5, 226.5, 311.5, 445.7, 727.8", \ + " 203.4, 225.0, 274.4, 368.0, 510.9, 793.1", \ + " 259.5, 285.0, 341.8, 446.0, 603.0, 892.8" ); } +rise_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 101.2, 133.7, 223.7, 430.9, 803.0, 1595.7", \ + " 102.3, 134.6, 224.1, 431.0, 803.0, 1595.7", \ + " 103.6, 135.0, 224.3, 431.0, 803.0, 1595.7", \ + " 107.5, 138.0, 225.3, 431.3, 803.1, 1595.7", \ + " 114.7, 144.4, 230.1, 433.1, 803.3, 1595.7", \ + " 125.9, 154.3, 238.4, 439.1, 805.8, 1595.8", \ + " 142.5, 171.8, 252.8, 451.0, 813.9, 1597.7", \ + " 161.0, 193.6, 278.2, 472.0, 830.6, 1607.1", \ + " 188.8, 223.4, 315.1, 508.8, 861.3, 1628.9", \ + " 233.9, 269.8, 365.8, 571.1, 915.4, 1671.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 18.9, 23.7, 36.5, 65.5, 115.6, 219.5", \ + " 25.8, 30.8, 43.2, 72.2, 122.5, 226.5", \ + " 29.1, 35.0, 48.3, 77.1, 127.4, 231.7", \ + " 31.6, 38.7, 54.2, 83.4, 133.9, 238.4", \ + " 33.6, 42.1, 60.9, 94.4, 144.8, 249.8", \ + " 33.6, 43.8, 66.1, 105.9, 160.1, 265.2", \ + " 30.3, 42.3, 68.9, 116.1, 180.2, 287.3", \ + " 21.8, 36.0, 67.3, 123.0, 199.0, 319.9", \ + " 4.7, 21.4, 58.4, 124.1, 214.3, 357.4", \ + " -26.1, -6.6, 37.0, 114.5, 221.8, 391.9" ); } +fall_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 29.0, 44.9, 92.0, 190.8, 360.4, 719.0", \ + " 37.7, 52.0, 96.2, 192.6, 360.8, 719.2", \ + " 46.6, 59.8, 102.2, 196.6, 362.9, 719.5", \ + " 56.8, 71.7, 112.0, 203.9, 367.9, 721.2", \ + " 72.9, 89.5, 131.4, 219.7, 379.8, 728.3", \ + " 93.5, 112.0, 159.5, 246.0, 400.6, 742.8", \ + " 121.4, 142.2, 196.0, 288.8, 437.8, 770.0", \ + " 158.8, 182.3, 243.4, 349.4, 498.8, 818.5", \ + " 210.1, 237.1, 306.5, 429.1, 594.5, 904.1", \ + " 282.3, 313.3, 391.9, 535.2, 726.0, 1046.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 81.0 ; */ +/* intrinsic_fall : 32.6 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.1, 76.0, 110.3, 185.5, 318.3, 600.0", \ + " 69.1, 83.0, 117.6, 193.1, 326.1, 608.0", \ + " 75.4, 89.1, 123.6, 199.2, 332.3, 614.3", \ + " 83.8, 97.5, 131.9, 207.4, 340.7, 622.7", \ + " 97.5, 111.6, 146.0, 221.5, 354.7, 636.9", \ + " 113.6, 129.2, 165.4, 241.0, 374.2, 656.4", \ + " 134.8, 152.0, 192.0, 270.0, 403.2, 685.4", \ + " 163.1, 182.2, 226.4, 311.6, 446.0, 728.3", \ + " 202.4, 224.2, 273.8, 367.7, 510.8, 793.3", \ + " 257.6, 283.4, 340.6, 445.3, 602.6, 892.8" ); } +rise_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 103.1, 135.5, 225.2, 432.1, 804.0, 1596.7", \ + " 104.0, 136.3, 225.6, 432.2, 804.0, 1596.7", \ + " 105.2, 136.6, 225.8, 432.3, 804.0, 1596.7", \ + " 109.1, 139.6, 226.6, 432.5, 804.1, 1596.7", \ + " 116.4, 146.0, 231.4, 434.2, 804.3, 1596.7", \ + " 127.7, 156.0, 239.7, 440.2, 806.7, 1596.8", \ + " 144.5, 173.5, 254.2, 452.1, 814.9, 1598.7", \ + " 163.1, 195.4, 279.6, 473.2, 831.7, 1608.1", \ + " 190.6, 225.1, 316.7, 510.2, 862.6, 1630.0", \ + " 234.8, 271.1, 367.4, 572.8, 917.0, 1672.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 19.8, 25.1, 40.0, 76.2, 142.7, 283.9", \ + " 26.7, 32.0, 46.4, 82.3, 148.8, 290.0", \ + " 30.0, 36.4, 51.2, 86.6, 153.0, 294.2", \ + " 32.8, 40.3, 57.3, 92.1, 158.3, 299.5", \ + " 35.0, 44.0, 64.4, 102.2, 167.1, 308.1", \ + " 35.3, 46.0, 70.0, 114.0, 179.5, 319.5", \ + " 32.5, 45.1, 73.3, 124.8, 198.5, 336.1", \ + " 24.6, 39.4, 72.6, 132.6, 217.8, 361.7", \ + " 8.6, 25.9, 64.7, 134.9, 233.9, 398.6", \ + " -20.8, -0.4, 45.0, 127.1, 242.8, 433.8" ); } +fall_transition(x1_130_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 32.3, 54.9, 118.0, 246.7, 470.2, 945.9", \ + " 40.5, 61.0, 121.2, 247.5, 469.9, 945.4", \ + " 49.1, 68.2, 126.3, 250.7, 470.8, 944.8", \ + " 59.6, 79.1, 135.1, 256.7, 474.2, 944.4", \ + " 75.4, 96.9, 152.8, 270.3, 483.1, 947.7", \ + " 95.9, 118.7, 180.1, 293.8, 500.0, 956.9", \ + " 124.0, 148.6, 215.5, 332.8, 531.9, 976.8", \ + " 161.6, 188.7, 262.3, 392.0, 586.6, 1015.7", \ + " 213.5, 243.6, 325.3, 471.1, 675.3, 1089.2", \ + " 286.2, 320.3, 411.0, 577.7, 806.3, 1217.2" ); }} +} +} +cell(xoon21v0x2) { /* 2008-01-06:08h04 characteristic delay 16.7 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 2541 ; /* xoon21v0x2 */ +cell_footprint : xoon21 ; +pin(a1) { /* xoon21v0x2 FO4 effort 2.22 logical effort 1.99 */ +direction : input ; +capacitance : 11.02 ; +rise_capacitance : 11.05 ; +fall_capacitance : 10.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xoon21v0x2 */ +} +pin(a2) { /* xoon21v0x2 FO4 effort 2.07 logical effort 1.94 */ +direction : input ; +capacitance : 11.25 ; +rise_capacitance : 11.18 ; +fall_capacitance : 11.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xoon21v0x2 */ +} +pin(b) { /* xoon21v0x2 FO4 effort 1.83 logical effort 1.98 */ +direction : input ; +capacitance : 10.10 ; +rise_capacitance : 9.98 ; +fall_capacitance : 10.22 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of xoon21v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 189 ; +max_fanout : 6 ; +function : "((a1+a2)^b)" ; +internal_power(a1_z_n) { /* xoon21v0x2 60.14 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 30.53, 30.54, 30.54, 30.53, 30.50", \ + " 30.09, 30.12, 30.15, 30.17, 30.15", \ + " 29.99, 30.02, 30.07, 30.10, 30.10", \ + " 29.99, 30.02, 30.07, 30.12, 30.13", \ + " 30.26, 30.27, 30.30, 30.34, 30.36", \ + " 31.07, 31.03, 30.98, 30.96, 30.95", \ + " 32.88, 32.74, 32.51, 32.30, 32.16", \ + " 36.33, 36.02, 35.47, 34.84, 34.39", \ + " 42.48, 41.94, 40.89, 39.55, 38.44", \ + " 52.84, 52.03, 50.33, 47.92, 45.68" ); }} +internal_power(a1_z_p) { /* xoon21v0x2 67.11 nW/MHz */ +related_pin : "a1" ; +power(pwr_x2_260_5x10) { +values( " 33.77, 33.92, 34.15, 34.31, 34.38", \ + " 33.29, 33.45, 33.69, 33.88, 33.95", \ + " 33.17, 33.33, 33.57, 33.78, 33.87", \ + " 33.17, 33.31, 33.55, 33.77, 33.88", \ + " 33.47, 33.59, 33.80, 34.03, 34.15", \ + " 34.38, 34.45, 34.61, 34.80, 34.92", \ + " 36.39, 36.38, 36.41, 36.48, 36.55", \ + " 40.15, 40.02, 39.83, 39.67, 39.57", \ + " 46.74, 46.45, 45.94, 45.37, 44.92", \ + " 57.71, 57.22, 56.30, 55.10, 54.04" ); }} +internal_power(a2_z_n) { /* xoon21v0x2 51.07 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 25.45, 25.47, 25.50, 25.52, 25.50", \ + " 25.15, 25.21, 25.32, 25.39, 25.42", \ + " 25.16, 25.23, 25.36, 25.47, 25.53", \ + " 25.36, 25.42, 25.53, 25.67, 25.75", \ + " 25.99, 26.00, 26.06, 26.17, 26.25", \ + " 27.29, 27.21, 27.12, 27.10, 27.13", \ + " 29.73, 29.50, 29.15, 28.84, 28.68", \ + " 33.94, 33.51, 32.75, 31.91, 31.33", \ + " 41.04, 40.35, 39.02, 37.33, 35.97", \ + " 52.71, 51.72, 49.65, 46.73, 44.07" ); }} +internal_power(a2_z_p) { /* xoon21v0x2 57.81 nW/MHz */ +related_pin : "a2" ; +power(pwr_x2_260_5x10) { +values( " 28.68, 28.85, 29.08, 29.24, 29.30", \ + " 28.28, 28.47, 28.74, 28.95, 29.03", \ + " 28.26, 28.45, 28.73, 28.97, 29.09", \ + " 28.46, 28.62, 28.90, 29.17, 29.31", \ + " 29.16, 29.28, 29.52, 29.78, 29.94", \ + " 30.61, 30.66, 30.80, 31.00, 31.15", \ + " 33.30, 33.25, 33.22, 33.26, 33.32", \ + " 37.88, 37.69, 37.41, 37.15, 37.00", \ + " 45.48, 45.11, 44.47, 43.73, 43.17", \ + " 57.81, 57.23, 56.12, 54.68, 53.43" ); }} +internal_power(b_z_p) { /* xoon21v0x2 61.20 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 30.30, 30.26, 30.14, 29.95, 29.79", \ + " 30.06, 30.04, 29.94, 29.77, 29.59", \ + " 30.25, 30.23, 30.14, 29.97, 29.78", \ + " 30.72, 30.69, 30.60, 30.42, 30.22", \ + " 31.85, 31.80, 31.69, 31.49, 31.25", \ + " 33.81, 33.74, 33.59, 33.33, 33.04", \ + " 37.15, 37.03, 36.82, 36.49, 36.10", \ + " 42.50, 42.32, 42.02, 41.58, 41.06", \ + " 51.05, 50.77, 50.34, 49.75, 49.06", \ + " 64.76, 64.28, 63.54, 62.72, 61.81" ); }} +internal_power(b_z_n) { /* xoon21v0x2 43.90 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 21.69, 21.73, 21.76, 21.75, 21.70", \ + " 21.37, 21.43, 21.49, 21.52, 21.50", \ + " 21.51, 21.55, 21.61, 21.65, 21.63", \ + " 21.90, 21.91, 21.95, 21.98, 21.96", \ + " 22.90, 22.85, 22.81, 22.79, 22.75", \ + " 24.69, 24.56, 24.39, 24.24, 24.14", \ + " 27.82, 27.57, 27.18, 26.79, 26.53", \ + " 32.95, 32.55, 31.86, 31.07, 30.47", \ + " 41.27, 40.68, 39.59, 38.21, 37.05", \ + " 54.62, 53.78, 52.17, 50.01, 47.96" ); }} +timing() { /* ring osc delay xoon21v0x2, path a1 to z 113.0 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 98.9 ; */ +/* intrinsic_fall : 73.8 ; */ +/* rise_resistance : 1.98 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 82.0, 95.3, 128.6, 200.1, 325.2, 590.2", \ + " 89.2, 102.6, 136.0, 207.4, 332.6, 597.6", \ + " 94.1, 107.5, 140.9, 212.4, 337.5, 602.6", \ + " 100.3, 113.7, 147.1, 218.5, 343.7, 608.8", \ + " 110.8, 124.3, 157.7, 229.2, 354.3, 619.4", \ + " 123.7, 138.3, 173.0, 244.6, 369.7, 634.7", \ + " 140.4, 156.2, 194.0, 268.0, 393.0, 658.1", \ + " 162.6, 179.7, 220.7, 300.6, 427.6, 692.6", \ + " 192.9, 211.7, 256.5, 343.2, 478.7, 744.6", \ + " 233.8, 254.9, 304.9, 400.3, 547.0, 823.2" ); } +rise_transition(x2_260_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 190.0, 224.5, 320.1, 541.1, 939.1, 1790.0", \ + " 190.7, 225.2, 320.6, 541.3, 939.2, 1790.0", \ + " 192.9, 227.0, 321.7, 541.8, 939.4, 1790.1", \ + " 197.5, 231.1, 324.8, 543.4, 940.0, 1790.2", \ + " 207.4, 240.3, 332.5, 548.8, 942.6, 1790.8", \ + " 224.6, 256.4, 346.3, 559.4, 949.7, 1793.2", \ + " 255.4, 285.0, 371.1, 579.1, 964.1, 1801.2", \ + " 302.8, 332.6, 412.8, 613.1, 990.6, 1818.5", \ + " 367.2, 397.4, 480.4, 671.2, 1037.7, 1852.6", \ + " 458.6, 489.5, 574.3, 768.2, 1119.7, 1916.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.1, 62.2, 82.3, 124.8, 197.8, 349.8", \ + " 61.2, 69.2, 89.3, 131.9, 204.9, 356.9", \ + " 66.7, 74.7, 94.8, 137.4, 210.4, 362.5", \ + " 74.1, 82.2, 102.3, 144.9, 218.0, 370.1", \ + " 85.8, 94.5, 115.1, 157.9, 231.2, 383.6", \ + " 97.7, 107.7, 131.2, 176.0, 250.0, 402.9", \ + " 109.8, 121.5, 148.8, 199.5, 276.8, 431.7", \ + " 121.4, 134.9, 166.6, 225.3, 311.6, 471.9", \ + " 131.8, 147.4, 184.3, 252.8, 351.6, 526.3", \ + " 139.5, 157.5, 200.5, 280.8, 395.6, 594.3" ); } +fall_transition(x2_260_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 95.7, 115.6, 171.1, 301.2, 536.9, 1041.7", \ + " 96.7, 116.5, 171.8, 301.6, 537.1, 1041.8", \ + " 100.2, 119.3, 173.4, 302.4, 537.5, 1041.9", \ + " 107.6, 125.9, 178.2, 304.5, 538.4, 1042.2", \ + " 123.6, 141.0, 190.9, 313.0, 541.8, 1043.2", \ + " 147.6, 164.5, 212.4, 330.4, 553.3, 1046.3", \ + " 179.7, 197.7, 246.0, 360.2, 577.7, 1059.7", \ + " 222.3, 241.4, 292.1, 405.8, 618.0, 1090.8", \ + " 280.7, 301.4, 355.2, 473.7, 681.9, 1146.1", \ + " 362.3, 385.3, 443.6, 568.5, 782.7, 1236.4" ); }} +timing() { /* ring osc delay xoon21v0x2, path a1 to z 130.9 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 104.3 ; */ +/* intrinsic_fall : 121.8 ; */ +/* rise_resistance : 2.31 ; */ +/* fall_resistance : 1.57 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 89.1, 103.6, 141.3, 224.6, 371.4, 682.2", \ + " 95.9, 110.4, 148.1, 231.4, 378.2, 689.1", \ + " 101.2, 115.6, 153.3, 236.7, 383.5, 694.4", \ + " 108.0, 122.3, 159.9, 243.2, 390.1, 701.1", \ + " 117.8, 131.9, 169.3, 252.6, 399.5, 710.5", \ + " 127.9, 141.9, 179.2, 262.2, 409.1, 720.1", \ + " 138.6, 152.6, 189.4, 272.4, 419.1, 730.1", \ + " 149.3, 163.3, 199.9, 282.4, 429.0, 739.9", \ + " 159.4, 173.7, 210.2, 292.2, 438.6, 749.4", \ + " 167.7, 182.4, 219.1, 301.0, 447.1, 757.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 105.1, 141.5, 239.4, 461.1, 855.6, 1693.8", \ + " 105.1, 141.5, 239.4, 461.1, 855.6, 1693.8", \ + " 105.2, 141.6, 239.5, 461.1, 855.6, 1693.8", \ + " 105.6, 141.8, 239.5, 461.1, 855.6, 1693.8", \ + " 107.3, 143.0, 240.1, 461.2, 855.6, 1693.8", \ + " 110.1, 145.2, 241.3, 461.7, 855.8, 1693.8", \ + " 114.0, 148.4, 243.2, 462.4, 856.1, 1694.0", \ + " 119.6, 153.2, 246.3, 463.8, 856.7, 1694.3", \ + " 127.6, 160.4, 251.8, 466.6, 858.0, 1695.0", \ + " 138.7, 170.9, 260.7, 472.4, 861.1, 1696.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.5, 114.9, 142.4, 199.3, 297.9, 505.9", \ + " 110.6, 122.0, 149.5, 206.5, 305.0, 513.0", \ + " 115.5, 126.9, 154.3, 211.4, 309.9, 518.0", \ + " 121.6, 133.0, 160.4, 217.5, 316.2, 524.2", \ + " 131.4, 142.8, 170.3, 227.5, 326.2, 534.3", \ + " 143.4, 155.0, 182.7, 240.0, 338.8, 547.0", \ + " 159.2, 170.9, 199.0, 256.8, 355.7, 563.9", \ + " 180.4, 192.4, 220.9, 279.0, 378.2, 586.6", \ + " 209.4, 221.9, 251.0, 309.7, 409.1, 617.7", \ + " 248.5, 261.6, 291.9, 351.6, 451.5, 660.2" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 65.5, 86.7, 144.0, 275.3, 511.9, 1017.4", \ + " 65.5, 86.8, 144.0, 275.3, 511.9, 1017.4", \ + " 65.5, 86.8, 144.0, 275.3, 511.9, 1017.4", \ + " 65.7, 86.9, 144.1, 275.3, 511.9, 1017.4", \ + " 66.6, 87.6, 144.4, 275.4, 511.9, 1017.4", \ + " 69.2, 89.8, 145.9, 276.0, 512.1, 1017.4", \ + " 72.3, 92.8, 148.4, 277.4, 512.7, 1017.4", \ + " 76.7, 96.9, 151.5, 279.5, 513.6, 1017.8", \ + " 83.2, 103.1, 156.6, 282.6, 515.3, 1018.4", \ + " 92.4, 112.2, 164.9, 288.3, 518.4, 1019.6" ); }} +timing() { /* ring osc delay xoon21v0x2, path a2 to z 101.0 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 91.0 ; */ +/* intrinsic_fall : 64.2 ; */ +/* rise_resistance : 1.98 ; */ +/* fall_resistance : 1.10 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.1, 87.5, 120.9, 192.4, 317.5, 582.5", \ + " 79.8, 93.2, 126.7, 198.2, 323.4, 588.5", \ + " 85.0, 98.4, 131.8, 203.4, 328.5, 593.6", \ + " 92.4, 105.7, 139.0, 210.5, 335.7, 600.8", \ + " 105.7, 119.1, 152.3, 223.4, 348.4, 613.5", \ + " 121.9, 136.8, 171.5, 242.5, 367.1, 631.9", \ + " 142.7, 159.0, 197.7, 271.4, 395.5, 659.8", \ + " 169.5, 187.4, 230.1, 311.9, 437.7, 701.2", \ + " 205.4, 225.2, 272.5, 363.4, 500.5, 763.9", \ + " 254.0, 276.2, 329.2, 430.6, 583.6, 859.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 176.3, 208.7, 298.2, 505.1, 877.8, 1674.8", \ + " 177.4, 209.7, 298.9, 505.4, 877.9, 1674.8", \ + " 181.3, 212.5, 300.2, 506.0, 878.1, 1674.8", \ + " 188.0, 218.4, 304.3, 507.4, 878.6, 1674.9", \ + " 201.6, 231.0, 314.4, 513.2, 880.2, 1675.2", \ + " 223.0, 251.2, 331.8, 526.0, 886.8, 1676.1", \ + " 257.5, 283.9, 361.1, 549.7, 903.3, 1681.5", \ + " 302.3, 332.3, 407.1, 589.1, 934.5, 1699.5", \ + " 360.4, 391.9, 475.2, 653.3, 989.0, 1738.8", \ + " 444.1, 477.1, 564.4, 755.0, 1080.2, 1812.3" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 44.6, 52.1, 70.9, 110.9, 179.7, 323.6", \ + " 51.9, 59.5, 78.3, 118.4, 187.3, 331.2", \ + " 57.4, 64.9, 83.8, 123.9, 192.9, 337.0", \ + " 64.5, 72.1, 91.1, 131.4, 200.5, 344.8", \ + " 73.5, 82.4, 103.1, 144.1, 213.8, 358.5", \ + " 82.1, 92.4, 116.3, 160.9, 232.1, 377.9", \ + " 90.0, 102.1, 129.9, 181.0, 257.2, 406.1", \ + " 96.2, 110.3, 142.9, 202.2, 288.2, 444.3", \ + " 99.1, 115.6, 154.0, 223.6, 322.4, 495.0", \ + " 95.8, 115.1, 160.5, 243.1, 358.6, 555.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 82.4, 103.1, 160.8, 294.8, 535.3, 1048.3", \ + " 84.6, 104.7, 161.8, 295.4, 535.7, 1048.5", \ + " 89.5, 109.1, 164.8, 296.6, 536.2, 1048.7", \ + " 98.0, 116.9, 171.1, 300.6, 537.7, 1049.2", \ + " 114.6, 132.8, 185.2, 311.5, 544.4, 1050.9", \ + " 138.2, 156.7, 207.0, 330.4, 559.4, 1058.5", \ + " 169.8, 189.1, 241.2, 360.9, 586.2, 1078.3", \ + " 213.0, 233.4, 287.5, 407.7, 628.4, 1114.5", \ + " 273.9, 295.9, 352.7, 477.6, 694.8, 1174.2", \ + " 359.9, 384.6, 446.4, 576.8, 800.1, 1269.6" ); }} +timing() { /* ring osc delay xoon21v0x2, path a2 to z 120.1 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 93.2 ; */ +/* intrinsic_fall : 114.0 ; */ +/* rise_resistance : 2.30 ; */ +/* fall_resistance : 1.57 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 80.3, 94.8, 132.4, 215.4, 361.9, 672.6", \ + " 86.9, 101.4, 139.0, 222.1, 368.7, 679.4", \ + " 91.6, 106.0, 143.6, 226.8, 373.4, 684.2", \ + " 96.9, 111.2, 148.7, 231.9, 378.6, 689.5", \ + " 103.7, 117.9, 155.2, 238.4, 385.1, 696.0", \ + " 110.1, 124.2, 161.5, 244.5, 391.2, 702.1", \ + " 116.4, 130.4, 167.3, 250.3, 396.9, 707.8", \ + " 121.5, 135.6, 172.4, 254.9, 401.6, 712.3", \ + " 123.8, 138.2, 175.2, 257.7, 404.1, 714.8", \ + " 120.6, 135.6, 173.2, 256.3, 402.9, 713.5" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 108.5, 146.5, 248.6, 479.0, 888.9, 1759.7", \ + " 108.6, 146.6, 248.6, 479.0, 888.9, 1759.7", \ + " 108.8, 146.7, 248.6, 479.0, 888.9, 1759.7", \ + " 109.7, 147.3, 248.8, 479.0, 888.9, 1759.7", \ + " 112.0, 149.0, 249.7, 479.3, 888.9, 1759.7", \ + " 115.4, 151.6, 251.2, 479.9, 889.2, 1759.7", \ + " 119.9, 155.5, 253.9, 481.0, 889.7, 1760.0", \ + " 127.2, 161.9, 258.3, 483.4, 890.6, 1760.4", \ + " 137.5, 171.6, 266.3, 488.4, 893.3, 1761.6", \ + " 151.7, 185.3, 278.9, 497.9, 899.4, 1765.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.4, 106.9, 134.3, 191.3, 289.8, 497.8", \ + " 101.0, 112.4, 139.8, 196.9, 295.4, 503.4", \ + " 106.3, 117.7, 145.1, 202.2, 300.8, 508.8", \ + " 113.8, 125.1, 152.5, 209.7, 308.4, 516.5", \ + " 126.2, 137.6, 165.0, 222.2, 321.0, 529.2", \ + " 141.1, 152.7, 180.4, 237.8, 336.7, 544.9", \ + " 160.6, 172.2, 200.2, 258.0, 357.0, 565.3", \ + " 186.2, 198.0, 226.1, 284.0, 383.4, 591.8", \ + " 220.7, 232.9, 261.5, 319.6, 418.9, 627.7", \ + " 267.3, 280.3, 309.8, 368.7, 468.1, 677.0" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 62.1, 82.5, 137.2, 262.7, 489.0, 972.5", \ + " 62.2, 82.5, 137.2, 262.7, 489.0, 972.5", \ + " 62.2, 82.5, 137.2, 262.7, 489.0, 972.5", \ + " 62.4, 82.7, 137.3, 262.7, 489.0, 972.5", \ + " 63.7, 83.6, 137.7, 262.8, 489.1, 972.5", \ + " 66.6, 86.2, 139.5, 263.4, 489.2, 972.5", \ + " 69.2, 88.6, 141.7, 264.9, 489.7, 972.5", \ + " 73.4, 92.2, 143.9, 266.6, 490.8, 972.8", \ + " 80.0, 98.1, 148.1, 268.4, 492.0, 973.7", \ + " 89.7, 107.3, 155.6, 272.7, 493.7, 974.6" ); }} +timing() { /* ring osc delay xoon21v0x2, path b to z 99.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.6 ; */ +/* intrinsic_fall : 97.5 ; */ +/* rise_resistance : 1.90 ; */ +/* fall_resistance : 1.56 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.8, 62.5, 91.2, 160.3, 284.5, 549.0", \ + " 59.6, 69.4, 98.0, 167.0, 291.0, 555.3", \ + " 64.7, 74.3, 102.7, 171.4, 295.2, 559.4", \ + " 71.1, 80.4, 108.1, 176.3, 299.9, 563.9", \ + " 81.5, 90.6, 116.5, 183.6, 306.6, 570.2", \ + " 90.8, 101.0, 128.3, 192.4, 314.4, 577.4", \ + " 98.6, 109.6, 139.2, 205.8, 324.7, 586.3", \ + " 104.8, 116.2, 146.8, 218.4, 339.1, 598.0", \ + " 108.4, 120.5, 151.7, 225.3, 355.9, 614.7", \ + " 107.3, 120.3, 152.5, 226.8, 362.0, 638.9" ); } +rise_transition(x2_260_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 177.9, 211.8, 305.4, 522.5, 923.7, 1783.1", \ + " 173.8, 207.2, 300.6, 520.6, 922.6, 1782.5", \ + " 173.0, 206.3, 298.9, 518.5, 921.2, 1781.8", \ + " 172.8, 206.1, 298.2, 516.8, 918.7, 1780.4", \ + " 173.7, 207.2, 299.3, 516.8, 916.6, 1776.8", \ + " 175.5, 209.9, 303.5, 520.1, 917.5, 1774.2", \ + " 178.7, 213.1, 310.0, 528.6, 923.2, 1776.0", \ + " 187.7, 221.8, 316.5, 541.1, 936.3, 1784.3", \ + " 201.1, 235.0, 329.5, 552.2, 958.2, 1803.4", \ + " 220.1, 253.8, 348.2, 569.1, 976.8, 1839.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.9, 86.8, 113.2, 169.4, 267.7, 475.6", \ + " 83.7, 94.6, 121.1, 177.4, 275.8, 483.7", \ + " 90.0, 100.8, 127.4, 183.8, 282.3, 490.3", \ + " 98.2, 109.0, 135.6, 192.1, 290.7, 498.8", \ + " 111.1, 122.0, 148.6, 205.2, 303.9, 512.0", \ + " 126.3, 137.4, 164.4, 221.1, 319.8, 528.0", \ + " 145.7, 157.1, 184.3, 241.3, 340.0, 548.2", \ + " 170.3, 182.1, 209.7, 266.8, 365.7, 573.8", \ + " 202.4, 214.8, 243.4, 300.9, 399.7, 608.0", \ + " 244.8, 258.2, 288.4, 347.3, 446.5, 654.8" ); } +fall_transition(x2_260_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 57.3, 77.8, 133.4, 260.8, 488.5, 972.4", \ + " 57.3, 77.8, 133.4, 260.8, 488.5, 972.4", \ + " 57.5, 77.9, 133.5, 260.8, 488.5, 972.4", \ + " 58.0, 78.3, 133.6, 260.9, 488.5, 972.4", \ + " 60.2, 79.9, 134.2, 260.9, 488.5, 972.4", \ + " 63.3, 82.9, 136.2, 261.5, 488.6, 972.4", \ + " 67.6, 86.6, 138.8, 262.9, 489.1, 972.4", \ + " 73.3, 92.0, 142.7, 264.8, 490.0, 972.8", \ + " 81.4, 100.0, 149.4, 268.2, 491.4, 973.5", \ + " 92.7, 111.7, 160.4, 275.7, 494.9, 974.8" ); }} +timing() { /* ring osc delay xoon21v0x2, path b to z 76.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 2.30 ; */ +/* fall_resistance : 1.01 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.9, 78.6, 116.0, 198.6, 344.9, 655.5", \ + " 71.3, 86.0, 123.5, 206.3, 352.8, 663.5", \ + " 77.6, 92.3, 129.8, 212.6, 359.2, 669.9", \ + " 86.2, 100.9, 138.2, 221.1, 367.7, 678.5", \ + " 100.5, 115.4, 152.8, 235.7, 382.3, 693.1", \ + " 117.5, 134.1, 173.0, 256.0, 402.6, 713.5", \ + " 139.9, 158.3, 201.5, 286.2, 432.9, 743.8", \ + " 169.7, 190.2, 238.3, 330.4, 477.6, 788.6", \ + " 210.8, 234.3, 288.3, 390.6, 545.2, 856.5", \ + " 268.5, 296.0, 358.1, 472.6, 643.6, 960.0" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 119.8, 155.5, 253.8, 480.7, 889.2, 1759.7", \ + " 120.3, 156.0, 254.0, 480.7, 889.2, 1759.7", \ + " 122.2, 156.5, 254.1, 480.8, 889.2, 1759.7", \ + " 126.3, 159.6, 255.1, 481.0, 889.2, 1759.7", \ + " 133.8, 166.1, 259.7, 482.6, 889.4, 1759.7", \ + " 144.9, 176.2, 268.2, 488.4, 891.4, 1759.7", \ + " 163.2, 193.5, 283.0, 500.5, 899.3, 1760.9", \ + " 183.6, 218.3, 308.4, 522.1, 916.1, 1769.3", \ + " 212.0, 249.6, 348.7, 559.6, 947.3, 1790.5", \ + " 255.4, 295.5, 401.6, 623.8, 1002.3, 1833.0" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 28.5, 45.1, 83.0, 149.6, 289.9", \ + " 29.7, 35.4, 51.7, 89.6, 156.4, 296.8", \ + " 33.6, 40.3, 56.5, 94.4, 161.3, 301.9", \ + " 37.0, 44.8, 63.0, 100.6, 167.7, 308.4", \ + " 40.2, 49.6, 71.2, 111.1, 178.3, 319.4", \ + " 41.6, 52.7, 78.1, 124.5, 192.3, 334.0", \ + " 40.1, 53.3, 83.3, 137.6, 213.1, 354.1", \ + " 33.9, 49.5, 84.7, 148.2, 236.0, 382.7", \ + " 19.8, 38.2, 79.7, 154.3, 256.8, 424.3", \ + " -7.0, 14.6, 63.5, 151.4, 272.3, 467.1" ); } +fall_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 42.3, 71.4, 141.9, 286.9, 538.8, 1074.7", \ + " 49.3, 76.6, 144.9, 287.9, 539.0, 1074.5", \ + " 57.2, 83.1, 149.7, 291.2, 540.1, 1074.4", \ + " 69.2, 93.5, 158.0, 297.4, 544.0, 1074.6", \ + " 86.3, 113.8, 175.4, 310.9, 553.8, 1079.0", \ + " 108.0, 138.0, 202.8, 333.9, 571.2, 1090.0", \ + " 137.4, 170.5, 243.8, 373.4, 602.6, 1111.5", \ + " 176.5, 212.9, 296.2, 435.5, 657.1, 1150.6", \ + " 230.0, 270.1, 365.4, 524.6, 748.0, 1223.0", \ + " 304.5, 348.3, 458.2, 642.9, 890.7, 1352.2" ); }} +timing() { /* ring osc delay xoon21v0x2, path b to z 76.5 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 2.30 ; */ +/* fall_resistance : 1.01 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 78.8, 116.2, 198.8, 345.1, 655.7", \ + " 71.4, 86.2, 123.7, 206.5, 353.0, 663.7", \ + " 77.7, 92.5, 129.9, 212.8, 359.4, 670.1", \ + " 86.3, 101.0, 138.4, 221.3, 367.9, 678.6", \ + " 100.6, 115.5, 152.9, 235.8, 382.4, 693.3", \ + " 117.5, 134.2, 173.1, 256.1, 402.7, 713.6", \ + " 139.9, 158.3, 201.5, 286.3, 433.0, 743.9", \ + " 169.7, 190.2, 238.3, 330.4, 477.7, 788.7", \ + " 210.7, 234.2, 288.2, 390.6, 545.2, 856.5", \ + " 268.3, 295.9, 358.0, 472.6, 643.6, 960.0" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 120.1, 155.9, 254.1, 480.9, 889.3, 1759.8", \ + " 120.6, 156.3, 254.3, 480.9, 889.3, 1759.8", \ + " 122.5, 156.8, 254.4, 481.0, 889.3, 1759.8", \ + " 126.6, 159.9, 255.3, 481.2, 889.4, 1759.8", \ + " 134.1, 166.4, 259.9, 482.8, 889.6, 1759.8", \ + " 145.2, 176.5, 268.4, 488.6, 891.6, 1759.9", \ + " 163.6, 193.9, 283.2, 500.7, 899.4, 1761.0", \ + " 184.0, 218.6, 308.6, 522.3, 916.2, 1769.4", \ + " 212.4, 249.9, 348.9, 559.8, 947.5, 1790.7", \ + " 255.7, 295.8, 401.8, 624.0, 1002.6, 1833.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 28.7, 45.4, 84.3, 153.3, 299.3", \ + " 29.8, 35.5, 52.0, 90.9, 160.0, 306.1", \ + " 33.7, 40.4, 56.8, 95.6, 164.9, 311.0", \ + " 37.1, 44.9, 63.3, 101.7, 171.1, 317.4", \ + " 40.3, 49.7, 71.5, 112.0, 181.3, 327.9", \ + " 41.7, 52.9, 78.5, 125.4, 195.0, 341.8", \ + " 40.2, 53.5, 83.7, 138.5, 215.4, 361.2", \ + " 34.1, 49.7, 85.2, 149.2, 238.2, 388.8", \ + " 20.1, 38.6, 80.3, 155.4, 259.0, 429.7", \ + " -6.6, 15.2, 64.2, 152.6, 274.5, 472.3" ); } +fall_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 42.8, 73.0, 145.7, 295.0, 554.8, 1108.4", \ + " 49.7, 78.1, 148.5, 295.9, 554.9, 1108.0", \ + " 57.6, 84.5, 153.3, 299.1, 555.9, 1107.7", \ + " 69.6, 94.8, 161.5, 305.1, 559.5, 1107.7", \ + " 86.6, 115.0, 178.7, 318.3, 568.9, 1111.4", \ + " 108.3, 139.2, 205.8, 341.0, 585.9, 1121.6", \ + " 137.7, 171.5, 246.7, 380.1, 616.6, 1142.1", \ + " 176.8, 213.9, 298.9, 441.6, 670.2, 1179.9", \ + " 230.3, 271.1, 368.2, 530.5, 760.1, 1250.6", \ + " 304.9, 349.3, 460.9, 648.8, 902.0, 1377.9" ); }} +timing() { /* ring osc delay xoon21v0x2, path b to z 74.0 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 2.30 ; */ +/* fall_resistance : 1.01 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.0, 77.6, 114.8, 197.5, 343.8, 654.3", \ + " 70.4, 85.0, 122.3, 205.1, 351.6, 662.3", \ + " 76.9, 91.4, 128.7, 211.5, 358.0, 668.7", \ + " 85.6, 100.1, 137.3, 220.1, 366.6, 677.4", \ + " 100.1, 114.8, 152.1, 234.8, 381.4, 692.2", \ + " 117.3, 133.8, 172.6, 255.4, 401.9, 712.8", \ + " 139.9, 158.2, 201.3, 285.9, 432.5, 743.3", \ + " 170.1, 190.5, 238.4, 330.3, 477.4, 788.3", \ + " 211.6, 234.9, 288.7, 390.8, 545.2, 856.4", \ + " 269.8, 297.2, 358.9, 473.2, 643.9, 960.1" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 117.6, 153.4, 252.1, 479.5, 888.2, 1758.8", \ + " 118.4, 154.0, 252.4, 479.6, 888.3, 1758.8", \ + " 120.3, 154.6, 252.5, 479.6, 888.3, 1758.8", \ + " 124.3, 157.7, 253.6, 479.8, 888.3, 1758.8", \ + " 131.7, 164.2, 258.3, 481.5, 888.5, 1758.8", \ + " 142.7, 174.3, 266.8, 487.4, 890.5, 1758.8", \ + " 160.8, 191.5, 281.5, 499.5, 898.3, 1760.0", \ + " 181.1, 216.2, 306.8, 520.9, 915.0, 1768.3", \ + " 209.6, 247.5, 347.0, 558.2, 946.1, 1789.5", \ + " 253.5, 293.7, 399.8, 622.1, 1000.9, 1831.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 27.5, 42.5, 74.8, 128.6, 239.3", \ + " 29.2, 34.6, 49.3, 81.8, 135.8, 246.8", \ + " 33.1, 39.4, 54.4, 87.0, 141.3, 252.6", \ + " 36.4, 43.9, 60.9, 93.7, 148.5, 260.2", \ + " 39.5, 48.5, 68.9, 105.0, 160.5, 273.3", \ + " 40.8, 51.5, 75.7, 118.6, 176.6, 290.8", \ + " 39.1, 51.8, 80.6, 131.5, 199.1, 315.1", \ + " 32.5, 47.6, 81.6, 141.9, 222.1, 349.2", \ + " 17.9, 35.7, 75.9, 147.4, 242.9, 393.1", \ + " -9.9, 11.2, 58.6, 143.3, 257.9, 436.5" ); } +fall_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 39.5, 62.4, 120.3, 240.0, 446.0, 881.6", \ + " 46.9, 68.2, 123.9, 241.6, 446.6, 882.1", \ + " 55.0, 75.2, 129.2, 245.5, 448.6, 882.7", \ + " 66.8, 86.0, 138.1, 252.5, 453.6, 884.4", \ + " 84.1, 106.4, 156.7, 267.4, 465.4, 891.5", \ + " 106.1, 131.2, 185.7, 292.4, 485.5, 906.4", \ + " 135.5, 164.1, 227.0, 334.6, 520.7, 933.4", \ + " 174.6, 206.7, 279.7, 399.9, 580.1, 979.7", \ + " 227.9, 264.0, 349.0, 488.9, 676.8, 1061.3", \ + " 302.1, 342.2, 441.5, 606.7, 822.7, 1201.6" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 2.30 ; */ +/* fall_resistance : 1.01 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 78.8, 116.2, 198.8, 345.1, 655.7", \ + " 71.4, 86.2, 123.7, 206.5, 353.0, 663.7", \ + " 77.7, 92.5, 129.9, 212.8, 359.4, 670.1", \ + " 86.3, 101.0, 138.4, 221.3, 367.9, 678.6", \ + " 100.6, 115.5, 152.9, 235.8, 382.4, 693.3", \ + " 117.5, 134.2, 173.1, 256.1, 402.7, 713.6", \ + " 139.9, 158.3, 201.5, 286.3, 433.0, 743.9", \ + " 169.7, 190.2, 238.3, 330.4, 477.7, 788.7", \ + " 210.7, 234.2, 288.2, 390.6, 545.2, 856.5", \ + " 268.3, 295.9, 358.0, 472.6, 643.6, 960.0" ); } +rise_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 120.1, 155.9, 254.1, 480.9, 889.3, 1759.8", \ + " 120.6, 156.3, 254.3, 480.9, 889.3, 1759.8", \ + " 122.5, 156.8, 254.4, 481.0, 889.3, 1759.8", \ + " 126.6, 159.9, 255.3, 481.2, 889.4, 1759.8", \ + " 134.1, 166.4, 259.9, 482.8, 889.6, 1759.8", \ + " 145.2, 176.5, 268.4, 488.6, 891.6, 1759.9", \ + " 163.6, 193.9, 283.2, 500.7, 899.4, 1761.0", \ + " 184.0, 218.6, 308.6, 522.3, 916.2, 1769.4", \ + " 212.4, 249.9, 348.9, 559.8, 947.5, 1790.7", \ + " 255.7, 295.8, 401.8, 624.0, 1002.6, 1833.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.7, 28.7, 45.4, 84.3, 153.3, 299.3", \ + " 29.8, 35.5, 52.0, 90.9, 160.0, 306.1", \ + " 33.7, 40.4, 56.8, 95.6, 164.9, 311.0", \ + " 37.1, 44.9, 63.3, 101.7, 171.1, 317.4", \ + " 40.3, 49.7, 71.5, 112.0, 181.3, 327.9", \ + " 41.7, 52.9, 78.5, 125.4, 195.0, 341.8", \ + " 40.2, 53.5, 83.7, 138.5, 215.4, 361.2", \ + " 34.1, 49.7, 85.2, 149.2, 238.2, 388.8", \ + " 20.1, 38.6, 80.3, 155.4, 259.0, 429.7", \ + " -6.6, 15.2, 64.2, 152.6, 274.5, 472.3" ); } +fall_transition(x2_260_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 42.8, 73.0, 145.7, 295.0, 554.8, 1108.4", \ + " 49.7, 78.1, 148.5, 295.9, 554.9, 1108.0", \ + " 57.6, 84.5, 153.3, 299.1, 555.9, 1107.7", \ + " 69.6, 94.8, 161.5, 305.1, 559.5, 1107.7", \ + " 86.6, 115.0, 178.7, 318.3, 568.9, 1111.4", \ + " 108.3, 139.2, 205.8, 341.0, 585.9, 1121.6", \ + " 137.7, 171.5, 246.7, 380.1, 616.6, 1142.1", \ + " 176.8, 213.9, 298.9, 441.6, 670.2, 1179.9", \ + " 230.3, 271.1, 368.2, 530.5, 760.1, 1250.6", \ + " 304.9, 349.3, 460.9, 648.8, 902.0, 1377.9" ); }} +} +} +cell(xoon21v0x3) { /* 2008-01-06:08h05 characteristic delay 16.8 ps */ +area : 25 ; /* tracks */ +cell_leakage_power : 3835 ; /* xoon21v0x3 */ +cell_footprint : xoon21 ; +pin(a1) { /* xoon21v0x3 FO4 effort 2.14 logical effort 1.94 */ +direction : input ; +capacitance : 16.35 ; +rise_capacitance : 16.37 ; +fall_capacitance : 16.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a1 of xoon21v0x3 */ +} +pin(a2) { /* xoon21v0x3 FO4 effort 1.99 logical effort 1.89 */ +direction : input ; +capacitance : 16.37 ; +rise_capacitance : 16.23 ; +fall_capacitance : 16.50 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a2 of xoon21v0x3 */ +} +pin(b) { /* xoon21v0x3 FO4 effort 1.83 logical effort 2.08 */ +direction : input ; +capacitance : 16.83 ; +rise_capacitance : 16.68 ; +fall_capacitance : 16.97 ; +fanout_load : 1 ; +max_transition : 1000.0 ; /* pin b of xoon21v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 294 ; +max_fanout : 8 ; +function : "((a1+a2)^b)" ; +internal_power(a1_z_n) { /* xoon21v0x3 83.96 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 42.70, 42.71, 42.72, 42.70, 42.65", \ + " 42.02, 42.06, 42.12, 42.15, 42.12", \ + " 41.85, 41.91, 41.98, 42.04, 42.04", \ + " 41.84, 41.89, 41.98, 42.06, 42.07", \ + " 42.23, 42.24, 42.29, 42.36, 42.39", \ + " 43.41, 43.34, 43.26, 43.23, 43.22", \ + " 46.06, 45.82, 45.46, 45.12, 44.91", \ + " 51.11, 50.61, 49.73, 48.75, 48.06", \ + " 60.15, 59.28, 57.60, 55.51, 53.82", \ + " 75.36, 74.07, 71.38, 67.62, 64.19" ); }} +internal_power(a1_z_p) { /* xoon21v0x3 92.83 nW/MHz */ +related_pin : "a1" ; +power(pwr_x3_390_5x10) { +values( " 46.79, 47.02, 47.36, 47.62, 47.72", \ + " 46.05, 46.29, 46.66, 46.95, 47.07", \ + " 45.86, 46.09, 46.47, 46.78, 46.93", \ + " 45.83, 46.05, 46.42, 46.76, 46.93", \ + " 46.27, 46.44, 46.76, 47.10, 47.30", \ + " 47.61, 47.69, 47.90, 48.19, 48.38", \ + " 50.54, 50.48, 50.47, 50.58, 50.68", \ + " 56.03, 55.77, 55.42, 55.14, 54.99", \ + " 65.68, 65.16, 64.29, 63.36, 62.68", \ + " 81.75, 80.92, 79.39, 77.47, 75.85" ); }} +internal_power(a2_z_n) { /* xoon21v0x3 68.85 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 34.37, 34.42, 34.48, 34.50, 34.47", \ + " 33.88, 34.00, 34.18, 34.32, 34.36", \ + " 33.87, 34.00, 34.21, 34.40, 34.49", \ + " 34.12, 34.22, 34.42, 34.65, 34.77", \ + " 35.00, 35.01, 35.11, 35.29, 35.42", \ + " 36.84, 36.71, 36.57, 36.55, 36.59", \ + " 40.33, 39.96, 39.39, 38.91, 38.67", \ + " 46.35, 45.66, 44.44, 43.14, 42.26", \ + " 56.50, 55.38, 53.24, 50.64, 48.60", \ + " 73.18, 71.56, 68.25, 63.74, 59.76" ); }} +internal_power(a2_z_p) { /* xoon21v0x3 77.40 nW/MHz */ +related_pin : "a2" ; +power(pwr_x3_390_5x10) { +values( " 38.44, 38.72, 39.09, 39.34, 39.43", \ + " 37.82, 38.12, 38.55, 38.89, 39.02", \ + " 37.76, 38.05, 38.50, 38.88, 39.06", \ + " 38.01, 38.26, 38.70, 39.12, 39.34", \ + " 39.00, 39.17, 39.52, 39.93, 40.18", \ + " 41.06, 41.10, 41.28, 41.58, 41.81", \ + " 44.89, 44.76, 44.66, 44.70, 44.79", \ + " 51.42, 51.06, 50.55, 50.12, 49.89", \ + " 62.27, 61.62, 60.52, 59.35, 58.50", \ + " 79.89, 78.88, 77.04, 74.76, 72.87" ); }} +internal_power(b_z_p) { /* xoon21v0x3 87.89 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 43.40, 43.24, 42.85, 42.35, 41.97", \ + " 43.11, 43.00, 42.67, 42.17, 41.75", \ + " 43.49, 43.40, 43.10, 42.60, 42.15", \ + " 44.32, 44.23, 43.94, 43.43, 42.95", \ + " 46.24, 46.14, 45.85, 45.30, 44.73", \ + " 49.53, 49.41, 49.08, 48.45, 47.77", \ + " 55.07, 54.90, 54.50, 53.76, 52.90", \ + " 63.90, 63.63, 63.12, 62.23, 61.14", \ + " 78.04, 77.57, 76.82, 75.72, 74.34", \ + " 100.81, 99.96, 98.56, 97.02, 95.28" ); }} +internal_power(b_z_n) { /* xoon21v0x3 64.60 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_390_5x10) { +values( " 31.86, 31.93, 31.96, 31.90, 31.81", \ + " 31.33, 31.42, 31.53, 31.56, 31.51", \ + " 31.57, 31.64, 31.74, 31.78, 31.75", \ + " 32.22, 32.25, 32.30, 32.33, 32.31", \ + " 33.88, 33.80, 33.72, 33.67, 33.61", \ + " 36.85, 36.63, 36.33, 36.06, 35.88", \ + " 42.04, 41.63, 40.96, 40.28, 39.81", \ + " 50.53, 49.88, 48.73, 47.37, 46.33", \ + " 64.34, 63.38, 61.58, 59.24, 57.21", \ + " 86.58, 85.20, 82.51, 78.85, 75.32" ); }} +timing() { /* ring osc delay xoon21v0x3, path a1 to z 109.2 */ +related_pin : "a1" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 89.0 ; */ +/* intrinsic_fall : 75.6 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.84 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.7, 84.3, 115.7, 182.7, 299.9, 548.0", \ + " 79.0, 91.7, 123.1, 190.2, 307.5, 555.6", \ + " 83.9, 96.6, 128.0, 195.2, 312.4, 560.5", \ + " 90.1, 102.8, 134.2, 201.4, 318.6, 566.7", \ + " 100.0, 113.1, 144.8, 212.0, 329.2, 577.4", \ + " 111.3, 125.7, 159.5, 227.1, 344.4, 592.6", \ + " 125.9, 141.6, 178.4, 249.7, 367.3, 615.6", \ + " 144.8, 161.9, 202.3, 279.8, 400.9, 649.5", \ + " 169.9, 188.9, 233.6, 318.4, 448.9, 700.1", \ + " 202.9, 224.4, 274.9, 369.2, 511.5, 776.0" ); } +rise_transition(x3_390_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 165.8, 199.5, 292.9, 508.5, 896.3, 1724.7", \ + " 166.7, 200.3, 293.4, 508.7, 896.4, 1724.7", \ + " 169.3, 202.5, 294.7, 509.3, 896.6, 1724.8", \ + " 174.2, 206.9, 298.2, 511.2, 897.3, 1724.9", \ + " 184.7, 216.7, 306.5, 517.1, 900.3, 1725.4", \ + " 203.0, 233.7, 321.1, 528.5, 908.0, 1728.2", \ + " 235.8, 264.0, 347.3, 549.5, 923.7, 1737.2", \ + " 282.3, 311.9, 391.3, 585.6, 952.2, 1756.4", \ + " 348.0, 377.7, 459.8, 646.8, 1002.7, 1793.6", \ + " 442.1, 472.5, 555.7, 746.9, 1089.4, 1862.2" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.1, 64.8, 86.5, 132.2, 210.7, 374.4", \ + " 62.9, 71.7, 93.4, 139.1, 217.6, 381.4", \ + " 68.4, 77.1, 98.9, 144.6, 223.2, 387.0", \ + " 75.8, 84.6, 106.3, 152.1, 230.7, 394.6", \ + " 87.9, 97.3, 119.4, 165.3, 244.0, 408.1", \ + " 100.6, 111.4, 136.5, 184.0, 263.2, 427.6", \ + " 113.9, 126.6, 155.8, 209.6, 291.2, 456.9", \ + " 127.6, 142.2, 176.2, 238.6, 329.2, 498.9", \ + " 141.6, 158.3, 197.8, 270.6, 375.1, 557.8", \ + " 155.1, 174.4, 220.2, 305.3, 427.1, 635.5" ); } +fall_transition(x3_390_6x10) { /* 13%-87%, scaled to 0%-100% */ +values( " 93.2, 113.0, 168.2, 297.4, 531.7, 1034.4", \ + " 94.1, 113.8, 168.9, 297.8, 531.9, 1034.5", \ + " 97.3, 116.3, 170.2, 298.5, 532.3, 1034.6", \ + " 104.3, 122.4, 174.4, 300.2, 533.0, 1034.8", \ + " 120.0, 137.1, 186.4, 307.5, 535.6, 1035.5", \ + " 144.1, 160.7, 207.6, 324.0, 545.2, 1037.6", \ + " 176.2, 194.1, 241.5, 353.6, 568.2, 1047.8", \ + " 218.3, 237.7, 288.5, 400.0, 608.5, 1076.3", \ + " 275.6, 297.0, 351.8, 469.8, 673.7, 1130.7", \ + " 355.4, 379.6, 439.8, 566.1, 777.7, 1222.5" ); }} +timing() { /* ring osc delay xoon21v0x3, path a1 to z 128.9 */ +related_pin : "a1" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 99.5 ; */ +/* intrinsic_fall : 119.0 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.18 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.0, 96.6, 131.2, 207.0, 340.2, 622.2", \ + " 89.8, 103.3, 137.9, 213.7, 346.9, 628.9", \ + " 95.1, 108.6, 143.2, 219.0, 352.3, 634.3", \ + " 102.3, 115.7, 150.1, 226.0, 359.3, 641.3", \ + " 113.2, 126.5, 160.8, 236.5, 369.9, 652.0", \ + " 124.9, 138.2, 172.3, 247.9, 381.3, 663.4", \ + " 137.6, 151.0, 184.9, 260.4, 393.7, 675.8", \ + " 151.1, 164.7, 198.6, 273.8, 407.0, 689.0", \ + " 165.5, 179.5, 213.6, 288.4, 421.4, 703.5", \ + " 180.1, 194.8, 229.6, 304.5, 437.3, 719.3" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 119.4, 207.7, 408.9, 767.8, 1530.8", \ + " 87.0, 119.4, 207.8, 408.9, 767.8, 1530.8", \ + " 87.1, 119.5, 207.8, 408.9, 767.8, 1530.8", \ + " 87.4, 119.8, 207.9, 408.9, 767.8, 1530.8", \ + " 89.4, 121.2, 208.5, 409.1, 767.8, 1530.8", \ + " 92.8, 123.9, 210.1, 409.7, 768.0, 1530.9", \ + " 97.1, 127.6, 212.6, 410.7, 768.5, 1531.1", \ + " 103.3, 132.9, 216.2, 412.5, 769.2, 1531.5", \ + " 111.8, 140.6, 222.1, 415.7, 770.7, 1532.2", \ + " 123.5, 151.8, 231.4, 422.0, 774.2, 1534.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.0, 113.3, 144.4, 208.4, 319.1, 552.9", \ + " 107.2, 120.5, 151.7, 215.7, 326.4, 560.2", \ + " 112.1, 125.3, 156.5, 220.6, 331.3, 565.2", \ + " 118.1, 131.3, 162.5, 226.6, 337.4, 571.3", \ + " 127.6, 140.9, 172.2, 236.4, 347.2, 581.2", \ + " 139.0, 152.6, 184.3, 248.7, 359.6, 593.7", \ + " 153.5, 167.5, 199.8, 264.9, 375.9, 610.0", \ + " 172.5, 186.9, 220.0, 285.6, 397.1, 631.3", \ + " 197.6, 212.8, 247.1, 313.7, 425.5, 660.0", \ + " 230.5, 246.7, 283.0, 351.7, 464.4, 699.2" ); } +fall_transition(x3_390_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 68.7, 93.0, 157.3, 304.3, 569.9, 1138.5", \ + " 68.8, 93.0, 157.3, 304.3, 569.9, 1138.5", \ + " 68.9, 93.1, 157.3, 304.3, 569.9, 1138.5", \ + " 69.1, 93.3, 157.4, 304.3, 569.9, 1138.5", \ + " 70.5, 94.4, 158.1, 304.5, 569.9, 1138.5", \ + " 73.7, 97.5, 160.3, 305.4, 570.1, 1138.5", \ + " 77.4, 101.2, 163.8, 307.7, 571.1, 1138.5", \ + " 82.5, 106.4, 168.2, 310.8, 572.8, 1139.2", \ + " 89.9, 114.0, 175.3, 315.5, 575.4, 1140.3", \ + " 100.1, 124.7, 186.4, 324.5, 580.7, 1142.4" ); }} +timing() { /* ring osc delay xoon21v0x3, path a2 to z 98.5 */ +related_pin : "a2" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 80.5 ; */ +/* intrinsic_fall : 66.8 ; */ +/* rise_resistance : 1.24 ; */ +/* fall_resistance : 0.83 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.3, 76.0, 107.5, 174.6, 291.8, 539.9", \ + " 69.2, 81.9, 113.4, 180.6, 297.9, 546.0", \ + " 74.3, 87.0, 118.5, 185.7, 303.0, 551.1", \ + " 81.6, 94.2, 125.6, 192.7, 310.0, 558.1", \ + " 93.6, 106.9, 138.5, 205.4, 322.5, 570.6", \ + " 107.5, 122.3, 156.7, 223.9, 340.7, 588.6", \ + " 125.1, 141.4, 179.7, 251.8, 368.4, 615.9", \ + " 147.5, 165.5, 208.0, 288.4, 409.2, 656.2", \ + " 176.5, 196.7, 244.2, 334.0, 467.8, 717.1", \ + " 214.6, 237.6, 291.4, 392.5, 542.5, 808.8" ); } +rise_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 153.7, 185.5, 272.9, 474.7, 837.5, 1612.4", \ + " 155.5, 186.6, 273.7, 475.0, 837.6, 1612.4", \ + " 160.1, 190.3, 275.4, 475.5, 837.8, 1612.4", \ + " 167.6, 197.1, 280.3, 477.3, 838.2, 1612.5", \ + " 182.7, 211.0, 291.6, 484.3, 840.1, 1612.7", \ + " 206.3, 233.2, 310.8, 498.7, 848.2, 1613.6", \ + " 243.9, 268.9, 342.7, 524.7, 866.9, 1620.6", \ + " 286.5, 316.5, 392.6, 567.6, 901.4, 1641.7", \ + " 347.4, 378.7, 461.4, 636.9, 960.9, 1685.8", \ + " 435.7, 468.7, 555.1, 742.8, 1059.5, 1766.8" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.3, 55.8, 76.8, 121.6, 199.1, 361.8", \ + " 54.5, 63.0, 84.1, 129.1, 206.7, 369.4", \ + " 59.9, 68.4, 89.6, 134.7, 212.4, 375.1", \ + " 67.1, 75.7, 97.0, 142.2, 220.0, 382.9", \ + " 77.0, 86.9, 109.7, 155.3, 233.4, 396.6", \ + " 86.6, 98.3, 124.8, 173.4, 252.5, 416.3", \ + " 96.4, 110.0, 141.0, 197.1, 280.0, 445.7", \ + " 105.8, 121.5, 157.7, 223.1, 316.4, 487.2", \ + " 113.9, 132.2, 174.6, 251.1, 359.2, 545.0", \ + " 118.9, 140.3, 190.1, 280.5, 406.9, 619.9" ); } +fall_transition(x3_390_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 81.7, 103.1, 162.5, 300.2, 547.4, 1075.2", \ + " 83.3, 104.3, 163.3, 300.6, 547.6, 1075.3", \ + " 87.9, 108.0, 165.5, 301.4, 548.0, 1075.4", \ + " 96.0, 115.5, 171.2, 304.4, 549.0, 1075.7", \ + " 112.7, 131.2, 184.6, 314.0, 553.7, 1076.6", \ + " 136.9, 155.5, 206.6, 332.3, 566.9, 1081.0", \ + " 168.8, 188.9, 241.6, 363.1, 592.7, 1097.4", \ + " 212.0, 233.5, 289.6, 411.1, 635.3, 1131.4", \ + " 272.8, 296.2, 356.1, 484.3, 703.6, 1191.0", \ + " 358.7, 385.3, 450.8, 586.6, 813.4, 1288.7" ); }} +timing() { /* ring osc delay xoon21v0x3, path a2 to z 118.8 */ +related_pin : "a2" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 89.1 ; */ +/* intrinsic_fall : 110.4 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.18 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.1, 87.7, 122.1, 197.6, 330.5, 612.2", \ + " 80.8, 94.3, 128.8, 204.3, 337.3, 619.0", \ + " 85.8, 99.2, 133.7, 209.3, 342.3, 624.1", \ + " 92.0, 105.2, 139.6, 215.2, 348.3, 630.2", \ + " 100.2, 113.6, 147.7, 223.3, 356.5, 638.4", \ + " 108.6, 121.9, 156.1, 231.6, 364.7, 646.7", \ + " 117.6, 131.0, 165.0, 240.5, 373.6, 655.5", \ + " 126.5, 140.3, 174.4, 249.6, 382.7, 664.6", \ + " 134.6, 149.1, 183.8, 259.1, 392.0, 673.9", \ + " 140.2, 155.6, 191.6, 267.7, 401.1, 683.0" ); } +rise_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 89.3, 123.3, 215.5, 424.7, 797.7, 1590.4", \ + " 89.4, 123.4, 215.5, 424.7, 797.7, 1590.4", \ + " 89.6, 123.5, 215.6, 424.7, 797.7, 1590.4", \ + " 90.6, 124.2, 215.8, 424.7, 797.7, 1590.4", \ + " 93.5, 126.4, 217.0, 425.1, 797.7, 1590.4", \ + " 97.5, 129.7, 219.0, 426.0, 798.1, 1590.4", \ + " 102.5, 134.0, 222.2, 427.5, 798.7, 1590.8", \ + " 110.3, 140.9, 227.2, 430.3, 799.9, 1591.3", \ + " 121.2, 151.3, 235.8, 435.9, 802.9, 1592.6", \ + " 136.2, 166.0, 249.3, 446.5, 809.9, 1596.4" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.6, 104.9, 136.0, 200.0, 310.6, 544.5", \ + " 97.3, 110.5, 141.7, 205.7, 316.4, 550.3", \ + " 102.4, 115.7, 146.8, 210.9, 321.7, 555.6", \ + " 109.6, 122.8, 153.9, 218.1, 328.9, 562.9", \ + " 121.2, 134.4, 165.6, 229.9, 340.8, 574.8", \ + " 134.6, 148.2, 180.1, 244.5, 355.5, 589.6", \ + " 151.8, 165.5, 197.7, 263.2, 374.3, 608.4", \ + " 174.0, 188.1, 220.5, 286.1, 398.2, 632.3", \ + " 203.1, 217.8, 251.1, 317.0, 429.0, 664.1", \ + " 241.1, 256.8, 291.9, 359.1, 471.4, 706.9" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 65.2, 88.3, 149.5, 290.0, 544.3, 1088.2", \ + " 65.2, 88.4, 149.6, 290.0, 544.3, 1088.2", \ + " 65.4, 88.5, 149.6, 290.0, 544.3, 1088.2", \ + " 65.8, 88.8, 149.8, 290.1, 544.3, 1088.2", \ + " 67.8, 90.3, 150.5, 290.3, 544.4, 1088.2", \ + " 70.9, 93.7, 153.2, 291.2, 544.5, 1088.2", \ + " 73.9, 96.4, 156.4, 293.9, 545.4, 1088.2", \ + " 78.6, 100.7, 159.1, 296.4, 547.8, 1088.7", \ + " 86.1, 107.9, 164.7, 299.0, 549.8, 1090.9", \ + " 96.8, 118.8, 174.8, 305.5, 552.7, 1093.0" ); }} +timing() { /* ring osc delay xoon21v0x3, path b to z 98.0 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 87.7 ; */ +/* rise_resistance : 1.16 ; */ +/* fall_resistance : 1.17 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.4, 57.6, 83.0, 146.7, 262.4, 509.5", \ + " 56.5, 64.9, 90.3, 153.7, 269.1, 516.0", \ + " 62.0, 70.3, 95.4, 158.4, 273.5, 520.1", \ + " 69.0, 77.0, 101.5, 163.8, 278.5, 524.8", \ + " 80.4, 88.5, 111.3, 172.1, 286.0, 531.6", \ + " 90.9, 100.2, 125.1, 182.7, 295.2, 539.8", \ + " 100.5, 110.7, 138.1, 199.6, 308.1, 550.7", \ + " 109.2, 120.0, 148.8, 215.6, 327.8, 566.1", \ + " 116.9, 128.4, 158.0, 227.4, 350.5, 590.0", \ + " 122.4, 134.7, 165.5, 236.1, 364.4, 625.7" ); } +rise_transition(x3_390_6x10) { /* 07%-93%, scaled to 0%-100% */ +values( " 160.4, 192.8, 284.7, 498.4, 885.6, 1719.7", \ + " 162.2, 193.8, 283.4, 496.1, 884.2, 1719.0", \ + " 164.9, 196.2, 284.6, 494.9, 882.6, 1718.0", \ + " 168.7, 199.8, 287.1, 494.8, 880.3, 1716.4", \ + " 174.9, 206.3, 292.5, 497.0, 879.5, 1712.7", \ + " 181.4, 213.8, 301.1, 503.2, 882.3, 1711.4", \ + " 188.8, 220.8, 311.5, 516.1, 891.2, 1715.0", \ + " 200.6, 232.8, 321.5, 533.6, 909.8, 1726.6", \ + " 215.9, 248.6, 338.3, 549.4, 939.3, 1752.0", \ + " 236.9, 270.2, 361.3, 572.7, 965.1, 1798.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.2, 79.8, 108.5, 171.2, 281.6, 515.3", \ + " 76.1, 87.7, 116.7, 179.6, 290.1, 523.8", \ + " 82.1, 93.7, 122.8, 185.9, 296.5, 530.3", \ + " 89.2, 100.9, 130.1, 193.4, 304.1, 538.0", \ + " 99.6, 111.4, 140.7, 204.2, 315.0, 549.0", \ + " 112.0, 123.8, 153.2, 216.8, 327.7, 561.8", \ + " 127.6, 139.5, 169.0, 232.5, 343.6, 577.8", \ + " 147.1, 159.3, 188.9, 252.3, 363.5, 597.7", \ + " 172.0, 184.5, 214.7, 278.2, 389.2, 623.5", \ + " 204.0, 217.3, 248.4, 312.4, 423.5, 657.7" ); } +fall_transition(x3_390_6x10) { /* 20%-80%, scaled to 0%-100% */ +values( " 54.9, 78.3, 142.2, 287.0, 543.7, 1088.2", \ + " 55.0, 78.4, 142.2, 287.0, 543.7, 1088.2", \ + " 55.1, 78.5, 142.3, 287.0, 543.7, 1088.2", \ + " 55.8, 78.9, 142.4, 287.0, 543.7, 1088.2", \ + " 57.8, 80.3, 142.9, 287.0, 543.7, 1088.2", \ + " 59.7, 81.7, 143.7, 287.4, 543.7, 1088.2", \ + " 63.3, 84.5, 144.7, 287.6, 543.9, 1088.2", \ + " 68.3, 88.8, 147.3, 288.1, 544.0, 1088.3", \ + " 75.5, 95.4, 151.9, 289.9, 544.3, 1088.4", \ + " 85.7, 105.2, 159.8, 294.3, 545.8, 1088.7" ); }} +timing() { /* ring osc delay xoon21v0x3, path b to z 72.2 */ +related_pin : "b" ; +when : "(a1'*a2)" ; +sdf_cond : "((a1 == 1'b0) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.7 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.69 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 71.0, 104.9, 179.8, 312.5, 594.1", \ + " 64.8, 78.2, 112.4, 187.6, 320.4, 602.1", \ + " 70.9, 84.3, 118.4, 193.7, 326.6, 608.4", \ + " 79.0, 92.4, 126.4, 201.7, 334.6, 616.5", \ + " 91.6, 105.8, 139.9, 215.2, 348.2, 630.1", \ + " 106.4, 122.1, 158.7, 234.1, 367.1, 649.1", \ + " 126.1, 143.4, 184.0, 262.5, 395.6, 677.6", \ + " 152.2, 171.8, 216.9, 303.0, 437.8, 719.9", \ + " 188.0, 210.7, 261.9, 357.3, 501.7, 784.0", \ + " 237.9, 265.2, 324.8, 431.9, 590.9, 882.1" ); } +rise_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 95.0, 127.5, 217.8, 425.5, 797.8, 1590.4", \ + " 96.1, 128.2, 218.1, 425.6, 797.8, 1590.4", \ + " 97.4, 128.7, 218.3, 425.6, 797.8, 1590.4", \ + " 101.1, 131.6, 219.5, 425.9, 797.8, 1590.4", \ + " 108.1, 137.8, 224.3, 428.1, 798.2, 1590.4", \ + " 119.4, 148.1, 233.1, 434.4, 801.0, 1590.5", \ + " 135.4, 166.8, 248.7, 447.3, 809.8, 1592.8", \ + " 154.8, 189.0, 276.1, 469.9, 827.7, 1602.9", \ + " 184.8, 221.0, 314.7, 509.3, 860.3, 1625.9", \ + " 232.8, 270.3, 368.2, 574.5, 917.6, 1670.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 28.6, 45.6, 85.4, 158.3, 315.1", \ + " 29.6, 35.4, 52.0, 91.7, 164.6, 321.3", \ + " 33.4, 40.3, 56.8, 96.2, 169.1, 325.6", \ + " 36.8, 44.8, 63.3, 102.1, 174.8, 331.2", \ + " 40.0, 49.5, 71.5, 112.2, 184.3, 340.3", \ + " 41.6, 52.9, 78.6, 125.6, 197.2, 352.5", \ + " 40.7, 53.9, 84.1, 138.8, 217.0, 369.8", \ + " 35.6, 51.1, 86.3, 149.8, 239.8, 395.5", \ + " 23.5, 41.7, 82.7, 157.0, 260.9, 435.2", \ + " 0.1, 21.3, 69.2, 156.1, 277.4, 477.8" ); } +fall_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 38.9, 67.6, 138.7, 285.9, 543.8, 1095.4", \ + " 46.2, 72.7, 141.3, 286.6, 543.6, 1094.7", \ + " 54.3, 79.3, 146.1, 289.5, 544.2, 1094.0", \ + " 66.0, 89.7, 154.3, 295.2, 547.2, 1093.1", \ + " 82.3, 109.3, 171.5, 308.1, 555.7, 1095.4", \ + " 103.6, 132.1, 198.1, 330.4, 571.6, 1103.6", \ + " 132.5, 163.2, 237.0, 368.5, 601.3, 1121.7", \ + " 171.2, 204.3, 286.5, 428.2, 653.3, 1156.9", \ + " 224.5, 260.3, 352.7, 512.3, 740.3, 1224.7", \ + " 299.0, 338.2, 442.2, 624.8, 876.0, 1347.5" ); }} +timing() { /* ring osc delay xoon21v0x3, path b to z 72.2 */ +related_pin : "b" ; +when : "(a1*a2')" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.7 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.69 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 71.0, 104.9, 179.8, 312.5, 594.1", \ + " 64.8, 78.2, 112.4, 187.6, 320.4, 602.1", \ + " 70.9, 84.3, 118.4, 193.7, 326.6, 608.4", \ + " 79.0, 92.4, 126.4, 201.7, 334.6, 616.5", \ + " 91.6, 105.8, 139.9, 215.2, 348.2, 630.1", \ + " 106.4, 122.1, 158.7, 234.1, 367.1, 649.1", \ + " 126.1, 143.5, 184.0, 262.5, 395.6, 677.6", \ + " 152.2, 171.8, 216.9, 303.1, 437.8, 719.9", \ + " 188.0, 210.7, 261.9, 357.4, 501.7, 784.0", \ + " 237.9, 265.2, 324.8, 431.9, 590.9, 882.1" ); } +rise_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 95.1, 127.5, 217.9, 425.5, 797.8, 1590.4", \ + " 96.1, 128.2, 218.1, 425.6, 797.8, 1590.4", \ + " 97.4, 128.7, 218.3, 425.6, 797.8, 1590.4", \ + " 101.1, 131.6, 219.5, 425.9, 797.8, 1590.4", \ + " 108.1, 137.8, 224.3, 428.1, 798.2, 1590.4", \ + " 119.4, 148.1, 233.1, 434.5, 801.0, 1590.5", \ + " 135.4, 166.8, 248.7, 447.3, 809.8, 1592.8", \ + " 154.8, 189.0, 276.1, 469.9, 827.7, 1602.9", \ + " 184.8, 221.0, 314.7, 509.3, 860.3, 1625.9", \ + " 232.8, 270.3, 368.2, 574.5, 917.6, 1670.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 28.6, 45.6, 85.4, 158.3, 314.9", \ + " 29.6, 35.4, 52.0, 91.7, 164.6, 321.1", \ + " 33.4, 40.3, 56.8, 96.2, 169.1, 325.5", \ + " 36.8, 44.8, 63.2, 102.0, 174.8, 331.0", \ + " 40.0, 49.5, 71.5, 112.1, 184.2, 340.2", \ + " 41.6, 52.9, 78.6, 125.6, 197.1, 352.4", \ + " 40.7, 53.9, 84.1, 138.8, 216.9, 369.7", \ + " 35.6, 51.1, 86.3, 149.8, 239.7, 395.4", \ + " 23.5, 41.7, 82.7, 157.0, 260.9, 435.2", \ + " 0.1, 21.3, 69.2, 156.1, 277.4, 477.8" ); } +fall_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 38.9, 67.6, 138.8, 286.0, 543.9, 1095.3", \ + " 46.2, 72.7, 141.4, 286.7, 543.7, 1094.6", \ + " 54.3, 79.3, 146.2, 289.6, 544.3, 1093.9", \ + " 66.0, 89.7, 154.4, 295.3, 547.3, 1093.1", \ + " 82.4, 109.4, 171.5, 308.2, 555.8, 1095.4", \ + " 103.6, 132.1, 198.2, 330.5, 571.7, 1103.7", \ + " 132.5, 163.2, 237.0, 368.5, 601.4, 1121.7", \ + " 171.2, 204.3, 286.5, 428.2, 653.4, 1157.0", \ + " 224.6, 260.3, 352.7, 512.3, 740.3, 1224.8", \ + " 299.0, 338.2, 442.2, 624.8, 876.1, 1347.5" ); }} +timing() { /* ring osc delay xoon21v0x3, path b to z 69.1 */ +related_pin : "b" ; +when : "(a1*a2)" ; +sdf_cond : "((a1 == 1'b1) && (a2 == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.7 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.69 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.4, 69.6, 103.4, 178.3, 310.9, 592.5", \ + " 63.7, 77.0, 110.9, 186.0, 318.8, 600.5", \ + " 70.0, 83.2, 117.0, 192.2, 325.1, 606.8", \ + " 78.3, 91.4, 125.2, 200.3, 333.3, 615.1", \ + " 91.1, 105.1, 139.1, 214.1, 347.1, 628.9", \ + " 106.2, 121.7, 158.2, 233.3, 366.3, 648.2", \ + " 126.2, 143.4, 183.8, 262.1, 395.0, 677.0", \ + " 152.7, 172.1, 217.1, 303.0, 437.5, 719.5", \ + " 189.0, 211.6, 262.5, 357.6, 501.7, 783.9", \ + " 240.0, 267.0, 326.0, 432.6, 591.3, 882.2" ); } +rise_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 92.9, 125.6, 216.2, 424.2, 796.6, 1589.3", \ + " 94.2, 126.3, 216.4, 424.2, 796.6, 1589.3", \ + " 95.5, 126.9, 216.7, 424.3, 796.7, 1589.3", \ + " 99.2, 129.9, 218.0, 424.6, 796.7, 1589.3", \ + " 106.1, 136.1, 222.9, 426.8, 797.0, 1589.3", \ + " 117.5, 146.4, 231.7, 433.3, 799.9, 1589.4", \ + " 133.4, 165.1, 247.3, 446.0, 808.7, 1591.7", \ + " 153.2, 187.3, 274.7, 468.5, 826.4, 1601.7", \ + " 183.7, 219.6, 313.1, 507.7, 858.8, 1624.6", \ + " 232.8, 269.6, 366.7, 572.6, 915.8, 1669.0" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.5, 26.9, 41.5, 73.5, 127.4, 239.2", \ + " 28.7, 34.0, 48.3, 80.4, 134.5, 246.5", \ + " 32.4, 38.8, 53.4, 85.4, 139.8, 252.0", \ + " 35.6, 43.1, 59.9, 92.0, 146.8, 259.4", \ + " 38.7, 47.6, 67.8, 103.3, 158.5, 271.9", \ + " 40.0, 50.7, 74.5, 116.7, 174.4, 288.8", \ + " 38.7, 51.3, 79.5, 129.4, 196.6, 312.4", \ + " 32.9, 47.7, 80.9, 139.9, 219.3, 346.1", \ + " 19.8, 37.2, 76.2, 145.8, 240.0, 389.5", \ + " -5.2, 15.3, 61.1, 143.1, 255.4, 432.5" ); } +fall_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 35.0, 55.4, 109.5, 222.3, 416.7, 828.3", \ + " 42.7, 61.4, 113.0, 223.7, 417.3, 828.6", \ + " 51.2, 68.7, 118.6, 227.6, 419.1, 829.1", \ + " 62.6, 79.9, 127.7, 234.5, 423.9, 830.6", \ + " 79.4, 99.5, 146.4, 249.5, 435.4, 837.4", \ + " 100.8, 123.3, 175.5, 274.6, 455.4, 851.8", \ + " 129.8, 154.9, 214.3, 316.5, 490.6, 878.1", \ + " 168.4, 196.4, 264.5, 379.8, 549.6, 924.0", \ + " 221.3, 252.6, 330.8, 464.1, 644.7, 1005.4", \ + " 295.1, 330.4, 420.1, 576.2, 783.8, 1143.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 75.7 ; */ +/* intrinsic_fall : 36.4 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 0.69 ; */ +cell_rise(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.5, 71.0, 104.9, 179.8, 312.5, 594.1", \ + " 64.8, 78.2, 112.4, 187.6, 320.4, 602.1", \ + " 70.9, 84.3, 118.4, 193.7, 326.6, 608.4", \ + " 79.0, 92.4, 126.4, 201.7, 334.6, 616.5", \ + " 91.6, 105.8, 139.9, 215.2, 348.2, 630.1", \ + " 106.4, 122.1, 158.7, 234.1, 367.1, 649.1", \ + " 126.1, 143.5, 184.0, 262.5, 395.6, 677.6", \ + " 152.2, 171.8, 216.9, 303.1, 437.8, 719.9", \ + " 188.0, 210.7, 261.9, 357.4, 501.7, 784.0", \ + " 237.9, 265.2, 324.8, 431.9, 590.9, 882.1" ); } +rise_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 95.1, 127.5, 217.9, 425.5, 797.8, 1590.4", \ + " 96.1, 128.2, 218.1, 425.6, 797.8, 1590.4", \ + " 97.4, 128.7, 218.3, 425.6, 797.8, 1590.4", \ + " 101.1, 131.6, 219.5, 425.9, 797.8, 1590.4", \ + " 108.1, 137.8, 224.3, 428.1, 798.2, 1590.4", \ + " 119.4, 148.1, 233.1, 434.5, 801.0, 1590.5", \ + " 135.4, 166.8, 248.7, 447.3, 809.8, 1592.8", \ + " 154.8, 189.0, 276.1, 469.9, 827.7, 1602.9", \ + " 184.8, 221.0, 314.7, 509.3, 860.3, 1625.9", \ + " 232.8, 270.3, 368.2, 574.5, 917.6, 1670.5" ); } +cell_fall(x3_390_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.6, 28.6, 45.6, 85.4, 158.3, 314.9", \ + " 29.6, 35.4, 52.0, 91.7, 164.6, 321.1", \ + " 33.4, 40.3, 56.8, 96.2, 169.1, 325.5", \ + " 36.8, 44.8, 63.2, 102.0, 174.8, 331.0", \ + " 40.0, 49.5, 71.5, 112.1, 184.2, 340.2", \ + " 41.6, 52.9, 78.6, 125.6, 197.1, 352.4", \ + " 40.7, 53.9, 84.1, 138.8, 216.9, 369.7", \ + " 35.6, 51.1, 86.3, 149.8, 239.7, 395.4", \ + " 23.5, 41.7, 82.7, 157.0, 260.9, 435.2", \ + " 0.1, 21.3, 69.2, 156.1, 277.4, 477.8" ); } +fall_transition(x3_390_6x10) { /* 08%-92%, scaled to 0%-100% */ +values( " 38.9, 67.6, 138.8, 286.0, 543.9, 1095.3", \ + " 46.2, 72.7, 141.4, 286.7, 543.7, 1094.6", \ + " 54.3, 79.3, 146.2, 289.6, 544.3, 1093.9", \ + " 66.0, 89.7, 154.4, 295.3, 547.3, 1093.1", \ + " 82.4, 109.4, 171.5, 308.2, 555.8, 1095.4", \ + " 103.6, 132.1, 198.2, 330.5, 571.7, 1103.7", \ + " 132.5, 163.2, 237.0, 368.5, 601.4, 1121.7", \ + " 171.2, 204.3, 286.5, 428.2, 653.4, 1157.0", \ + " 224.6, 260.3, 352.7, 512.3, 740.3, 1224.8", \ + " 299.0, 338.2, 442.2, 624.8, 876.1, 1347.5" ); }} +} +} +cell(xor2v0x05) { /* 2008-01-06:08h06 characteristic delay 15.9 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 658 ; /* xor2v0x05 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x05 FO4 effort 1.64 logical effort 1.40 */ +direction : input ; +capacitance : 2.49 ; +rise_capacitance : 2.49 ; +fall_capacitance : 2.49 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x05 */ +} +pin(b) { /* xor2v0x05 FO4 effort 1.95 logical effort 2.32 */ +direction : input ; +capacitance : 4.16 ; +rise_capacitance : 4.17 ; +fall_capacitance : 4.14 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 58 ; +max_fanout : 3 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x05 11.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 5.83, 5.84, 5.84, 5.84, 5.84", \ + " 5.77, 5.78, 5.79, 5.81, 5.81", \ + " 5.79, 5.80, 5.81, 5.83, 5.84", \ + " 5.86, 5.86, 5.87, 5.89, 5.89", \ + " 6.05, 6.04, 6.03, 6.03, 6.03", \ + " 6.40, 6.37, 6.33, 6.29, 6.27", \ + " 7.05, 6.99, 6.87, 6.76, 6.68", \ + " 8.15, 8.03, 7.81, 7.57, 7.38", \ + " 9.98, 9.79, 9.42, 8.97, 8.59", \ + " 12.97, 12.70, 12.14, 11.37, 10.67" ); }} +internal_power(a_z_p) { /* xor2v0x05 14.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 7.26, 7.31, 7.38, 7.44, 7.46", \ + " 7.17, 7.22, 7.30, 7.37, 7.40", \ + " 7.18, 7.23, 7.30, 7.38, 7.42", \ + " 7.25, 7.29, 7.36, 7.44, 7.48", \ + " 7.46, 7.48, 7.53, 7.60, 7.65", \ + " 7.86, 7.86, 7.88, 7.93, 7.97", \ + " 8.58, 8.55, 8.53, 8.52, 8.53", \ + " 9.79, 9.72, 9.62, 9.53, 9.48", \ + " 11.78, 11.66, 11.46, 11.23, 11.07", \ + " 15.02, 14.83, 14.48, 14.06, 13.71" ); }} +internal_power(b_z_n) { /* xor2v0x05 14.04 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 7.00, 7.03, 7.06, 7.08, 7.09", \ + " 6.83, 6.86, 6.92, 6.96, 6.99", \ + " 6.86, 6.88, 6.93, 6.98, 7.01", \ + " 6.98, 6.99, 7.02, 7.07, 7.10", \ + " 7.31, 7.30, 7.30, 7.32, 7.34", \ + " 7.94, 7.90, 7.84, 7.80, 7.79", \ + " 9.08, 8.99, 8.84, 8.69, 8.59", \ + " 10.98, 10.84, 10.57, 10.24, 9.98", \ + " 14.10, 13.90, 13.49, 12.91, 12.37", \ + " 19.16, 18.88, 18.29, 17.40, 16.46" ); }} +internal_power(b_z_p) { /* xor2v0x05 16.62 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 8.31, 8.28, 8.22, 8.12, 8.05", \ + " 8.17, 8.16, 8.10, 8.03, 7.96", \ + " 8.23, 8.21, 8.16, 8.08, 8.01", \ + " 8.39, 8.36, 8.31, 8.22, 8.14", \ + " 8.77, 8.74, 8.67, 8.57, 8.47", \ + " 9.46, 9.41, 9.31, 9.18, 9.04", \ + " 10.66, 10.59, 10.44, 10.24, 10.05", \ + " 12.62, 12.52, 12.30, 12.00, 11.71", \ + " 15.83, 15.67, 15.35, 14.91, 14.45", \ + " 21.03, 20.80, 20.32, 19.64, 18.94" ); }} +timing() { /* ring osc delay xor2v0x05, path a to z 94.4 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.6 ; */ +/* intrinsic_fall : 61.1 ; */ +/* rise_resistance : 5.87 ; */ +/* fall_resistance : 4.05 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.5, 60.3, 85.1, 138.2, 231.1, 427.9", \ + " 58.3, 68.1, 92.9, 146.0, 239.0, 435.8", \ + " 64.5, 74.3, 99.0, 152.1, 245.0, 441.8", \ + " 72.9, 82.6, 107.3, 160.3, 253.2, 449.9", \ + " 86.2, 96.8, 121.9, 174.8, 267.5, 464.2", \ + " 101.6, 113.6, 141.7, 195.7, 288.2, 484.7", \ + " 121.1, 134.6, 166.4, 226.2, 319.2, 515.5", \ + " 145.7, 160.8, 196.8, 264.6, 364.3, 560.8", \ + " 178.0, 195.1, 235.8, 312.7, 425.8, 628.3", \ + " 221.1, 240.7, 287.1, 374.6, 503.4, 727.7" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 125.3, 154.4, 234.0, 416.8, 745.3, 1446.3", \ + " 128.4, 156.6, 235.0, 417.3, 745.5, 1446.4", \ + " 133.5, 161.0, 237.8, 418.1, 745.7, 1446.4", \ + " 141.6, 168.4, 243.5, 421.0, 746.4, 1446.5", \ + " 157.6, 183.3, 256.1, 429.7, 750.1, 1446.9", \ + " 182.0, 206.5, 276.7, 446.0, 760.7, 1449.3", \ + " 217.7, 242.6, 309.8, 474.3, 782.6, 1460.7", \ + " 262.8, 289.9, 360.2, 519.2, 820.6, 1487.1", \ + " 324.1, 353.2, 428.9, 589.8, 883.6, 1537.5", \ + " 410.7, 442.0, 522.8, 695.4, 985.0, 1625.3" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 48.4, 65.9, 102.3, 164.2, 293.8", \ + " 48.5, 55.6, 73.2, 109.8, 171.9, 301.6", \ + " 53.9, 61.0, 78.7, 115.6, 177.9, 307.8", \ + " 60.5, 68.0, 86.0, 123.3, 186.0, 316.2", \ + " 68.6, 77.4, 97.7, 136.3, 200.1, 331.1", \ + " 76.2, 86.6, 110.2, 153.2, 219.3, 351.9", \ + " 83.2, 95.3, 123.1, 173.2, 245.5, 381.7", \ + " 88.4, 102.6, 135.4, 194.2, 277.5, 422.4", \ + " 90.5, 107.1, 145.7, 215.4, 312.8, 477.0", \ + " 86.6, 106.2, 151.8, 234.7, 349.9, 541.0" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.0, 88.2, 135.6, 244.4, 439.8, 858.7", \ + " 74.2, 90.7, 137.0, 245.3, 440.3, 858.8", \ + " 79.8, 95.6, 140.6, 246.7, 441.0, 859.1", \ + " 88.9, 104.0, 147.4, 251.2, 442.6, 859.6", \ + " 106.5, 120.5, 161.9, 262.9, 449.8, 861.3", \ + " 129.9, 144.8, 184.4, 282.3, 465.5, 868.9", \ + " 161.3, 177.1, 219.3, 313.9, 493.5, 888.9", \ + " 203.6, 220.7, 265.6, 363.5, 537.8, 926.7", \ + " 262.8, 281.6, 329.9, 433.9, 608.7, 990.0", \ + " 346.4, 367.8, 421.1, 532.3, 717.5, 1092.0" ); }} +timing() { /* ring osc delay xor2v0x05, path a to z 102.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.9 ; */ +/* intrinsic_fall : 92.5 ; */ +/* rise_resistance : 5.59 ; */ +/* fall_resistance : 4.22 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.9, 74.8, 97.7, 148.2, 237.0, 425.0", \ + " 72.7, 81.6, 104.5, 155.0, 243.9, 432.0", \ + " 77.6, 86.4, 109.2, 159.8, 248.7, 436.8", \ + " 83.1, 91.8, 114.5, 165.0, 254.0, 442.1", \ + " 90.1, 98.7, 121.4, 171.7, 260.6, 448.7", \ + " 96.8, 105.5, 127.9, 178.1, 266.9, 455.0", \ + " 103.4, 112.1, 134.4, 184.3, 273.0, 460.9", \ + " 108.9, 117.8, 140.2, 189.7, 278.2, 466.0", \ + " 111.9, 121.2, 144.0, 193.7, 281.9, 469.5", \ + " 110.2, 120.0, 143.7, 193.9, 282.6, 470.1" ); } +rise_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 79.4, 101.5, 161.0, 295.6, 535.3, 1044.4", \ + " 79.5, 101.6, 161.0, 295.6, 535.3, 1044.4", \ + " 79.9, 101.8, 161.1, 295.5, 535.3, 1044.4", \ + " 81.2, 102.8, 161.5, 295.6, 535.3, 1044.4", \ + " 83.9, 105.0, 162.9, 296.1, 535.3, 1044.3", \ + " 87.3, 108.2, 165.0, 297.1, 535.7, 1044.5", \ + " 92.5, 112.6, 168.2, 298.8, 536.3, 1044.7", \ + " 99.8, 119.4, 173.7, 302.0, 537.8, 1045.3", \ + " 110.0, 129.3, 182.6, 308.4, 541.4, 1046.9", \ + " 124.0, 143.3, 195.7, 319.7, 549.3, 1051.4" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 77.8, 96.2, 134.4, 200.2, 339.0", \ + " 78.0, 85.6, 104.0, 142.2, 208.1, 346.9", \ + " 84.3, 91.9, 110.2, 148.5, 214.5, 353.3", \ + " 92.5, 100.0, 118.4, 156.7, 222.7, 361.6", \ + " 105.2, 112.8, 131.2, 169.5, 235.6, 374.6", \ + " 120.1, 127.9, 146.5, 185.1, 251.2, 390.2", \ + " 139.2, 147.1, 166.0, 204.8, 271.0, 410.1", \ + " 163.4, 171.7, 191.0, 230.0, 296.3, 435.4", \ + " 195.3, 204.0, 224.0, 263.6, 329.9, 469.0", \ + " 237.7, 247.1, 268.2, 308.9, 375.7, 514.7" ); } +fall_transition(x05_65_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 47.8, 61.8, 99.7, 187.3, 345.2, 682.3", \ + " 47.9, 61.8, 99.8, 187.3, 345.2, 682.3", \ + " 48.0, 61.9, 99.8, 187.4, 345.2, 682.3", \ + " 48.6, 62.3, 100.0, 187.4, 345.2, 682.3", \ + " 51.0, 64.3, 101.1, 187.8, 345.3, 682.3", \ + " 54.6, 67.6, 103.5, 189.0, 345.7, 682.3", \ + " 59.1, 71.7, 106.8, 191.0, 346.6, 682.6", \ + " 65.2, 77.5, 111.4, 193.7, 347.9, 683.0", \ + " 73.7, 85.7, 118.6, 198.2, 350.3, 683.9", \ + " 85.6, 97.5, 129.6, 206.4, 354.9, 685.8" ); }} +timing() { /* ring osc delay xor2v0x05, path b to z 75.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.4 ; */ +/* intrinsic_fall : 37.7 ; */ +/* rise_resistance : 5.60 ; */ +/* fall_resistance : 3.66 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.5, 64.8, 87.9, 138.2, 226.8, 414.7", \ + " 62.7, 72.1, 95.4, 145.9, 234.7, 422.7", \ + " 68.7, 78.1, 101.4, 151.9, 240.8, 428.8", \ + " 76.7, 86.0, 109.3, 159.9, 248.8, 436.9", \ + " 88.8, 98.9, 122.6, 173.3, 262.2, 450.4", \ + " 102.8, 114.0, 140.2, 191.8, 280.9, 469.1", \ + " 121.1, 133.5, 162.6, 219.0, 308.7, 497.1", \ + " 145.1, 159.1, 191.8, 254.3, 349.8, 538.4", \ + " 177.5, 193.9, 231.5, 301.6, 407.0, 601.0", \ + " 222.1, 241.8, 286.2, 366.4, 483.9, 695.0" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 92.9, 114.4, 173.5, 310.4, 557.5, 1085.6", \ + " 93.6, 114.9, 173.9, 310.5, 557.5, 1085.6", \ + " 95.6, 116.0, 174.2, 310.6, 557.5, 1085.6", \ + " 99.7, 119.6, 176.3, 311.2, 557.7, 1085.6", \ + " 107.6, 126.7, 182.1, 314.9, 558.9, 1085.7", \ + " 120.5, 138.3, 191.9, 322.8, 564.2, 1086.8", \ + " 137.1, 157.1, 209.4, 337.5, 575.9, 1093.3", \ + " 157.6, 179.3, 237.5, 363.3, 597.6, 1108.7", \ + " 188.3, 211.5, 273.9, 408.1, 635.9, 1138.8", \ + " 236.8, 261.5, 327.2, 469.5, 702.3, 1193.5" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.9, 28.8, 42.4, 75.2, 135.5, 263.9", \ + " 31.0, 35.6, 48.8, 81.4, 141.7, 270.1", \ + " 35.2, 40.6, 53.7, 85.8, 146.1, 274.5", \ + " 39.0, 45.3, 60.1, 91.4, 151.6, 280.1", \ + " 43.0, 50.4, 67.9, 101.7, 160.6, 289.2", \ + " 45.7, 54.4, 74.8, 113.8, 173.5, 301.2", \ + " 46.4, 56.5, 80.3, 125.3, 192.6, 318.5", \ + " 43.7, 55.5, 83.0, 134.9, 211.9, 345.4", \ + " 35.3, 49.0, 80.9, 140.8, 229.0, 381.6", \ + " 17.4, 33.5, 70.7, 139.9, 241.4, 416.5" ); } +fall_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.5, 58.6, 115.7, 234.9, 441.3, 879.7", \ + " 45.4, 63.9, 118.7, 235.8, 441.2, 879.5", \ + " 53.5, 70.8, 123.7, 239.0, 442.4, 879.3", \ + " 65.1, 81.4, 132.2, 244.9, 446.0, 879.7", \ + " 81.5, 100.3, 149.8, 258.3, 455.2, 884.0", \ + " 102.9, 122.7, 177.0, 281.7, 472.3, 894.2", \ + " 131.9, 153.3, 212.5, 320.6, 504.6, 914.9", \ + " 170.7, 194.1, 259.0, 378.7, 559.2, 954.8", \ + " 224.0, 250.0, 321.3, 455.6, 647.0, 1029.3", \ + " 298.6, 327.8, 406.2, 558.9, 773.7, 1157.0" ); }} +timing() { /* ring osc delay xor2v0x05, path b to z 96.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.1 ; */ +/* intrinsic_fall : 75.7 ; */ +/* rise_resistance : 5.38 ; */ +/* fall_resistance : 4.13 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.0, 60.0, 79.7, 128.0, 218.0, 412.9", \ + " 60.0, 67.1, 86.9, 135.0, 224.7, 419.2", \ + " 65.7, 72.8, 92.5, 140.3, 229.6, 423.6", \ + " 73.4, 80.5, 99.8, 147.1, 235.8, 429.3", \ + " 87.2, 94.0, 112.2, 158.3, 246.1, 438.6", \ + " 101.6, 109.6, 129.8, 173.9, 260.0, 450.9", \ + " 115.6, 124.9, 148.3, 197.7, 280.7, 468.7", \ + " 129.3, 139.6, 165.5, 221.9, 312.8, 495.4", \ + " 143.2, 154.5, 182.3, 243.4, 348.0, 538.3", \ + " 157.5, 169.7, 199.3, 263.6, 377.1, 598.2" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 147.8, 176.6, 258.0, 450.0, 798.7, 1551.0", \ + " 148.2, 176.5, 256.5, 447.2, 797.0, 1550.1", \ + " 150.6, 178.8, 258.2, 447.1, 795.3, 1549.0", \ + " 154.6, 182.8, 261.7, 448.8, 794.2, 1547.0", \ + " 162.2, 190.7, 269.2, 453.9, 795.8, 1544.1", \ + " 170.7, 201.2, 281.2, 464.0, 801.9, 1545.3", \ + " 179.0, 209.8, 296.0, 482.6, 816.5, 1553.6", \ + " 190.2, 221.8, 307.7, 507.1, 844.7, 1574.1", \ + " 204.4, 236.7, 324.1, 526.0, 887.6, 1615.3", \ + " 223.6, 256.8, 346.0, 550.1, 922.1, 1687.0" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.2, 62.2, 79.5, 116.5, 181.7, 320.1", \ + " 63.2, 70.2, 87.6, 124.7, 189.9, 328.3", \ + " 69.1, 76.2, 93.6, 130.8, 196.1, 334.5", \ + " 76.1, 83.4, 100.9, 138.2, 203.5, 341.9", \ + " 85.8, 93.5, 111.6, 149.0, 214.3, 352.8", \ + " 97.0, 105.0, 123.5, 161.5, 226.9, 365.3", \ + " 110.5, 119.0, 138.3, 176.6, 242.4, 380.7", \ + " 126.6, 135.7, 156.1, 195.3, 261.1, 399.7", \ + " 145.8, 155.9, 178.0, 218.8, 285.2, 423.8", \ + " 169.1, 180.3, 204.7, 248.3, 316.4, 455.7" ); } +fall_transition(x05_65_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 45.8, 60.2, 98.8, 186.8, 344.9, 682.2", \ + " 45.9, 60.3, 98.8, 186.8, 344.9, 682.2", \ + " 46.4, 60.7, 99.0, 186.8, 344.9, 682.2", \ + " 48.1, 62.1, 99.7, 187.0, 345.0, 682.2", \ + " 51.0, 64.8, 101.8, 188.1, 345.1, 682.2", \ + " 54.4, 67.9, 104.5, 189.8, 346.0, 682.3", \ + " 59.5, 72.8, 108.3, 192.1, 347.2, 682.9", \ + " 66.3, 79.8, 114.5, 195.9, 349.1, 683.6", \ + " 75.7, 89.5, 124.0, 203.1, 353.0, 685.1", \ + " 88.3, 102.7, 137.7, 215.3, 361.6, 689.1" ); }} +} +} +cell(xor2v0x1) { /* 2008-01-06:08h06 characteristic delay 15.8 ps */ +area : 8 ; /* tracks */ +cell_leakage_power : 866 ; /* xor2v0x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x1 FO4 effort 1.63 logical effort 1.39 */ +direction : input ; +capacitance : 3.31 ; +rise_capacitance : 3.31 ; +fall_capacitance : 3.31 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x1 */ +} +pin(b) { /* xor2v0x1 FO4 effort 1.94 logical effort 2.29 */ +direction : input ; +capacitance : 5.32 ; +rise_capacitance : 5.34 ; +fall_capacitance : 5.30 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 80 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x1 15.45 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_97_5x10) { +values( " 7.67, 7.67, 7.68, 7.68, 7.67", \ + " 7.58, 7.59, 7.62, 7.63, 7.64", \ + " 7.61, 7.62, 7.65, 7.67, 7.67", \ + " 7.70, 7.71, 7.72, 7.74, 7.75", \ + " 7.96, 7.94, 7.93, 7.93, 7.93", \ + " 8.44, 8.39, 8.32, 8.27, 8.25", \ + " 9.31, 9.20, 9.04, 8.89, 8.79", \ + " 10.77, 10.59, 10.28, 9.95, 9.71", \ + " 13.22, 12.94, 12.41, 11.78, 11.28", \ + " 17.23, 16.82, 16.00, 14.92, 13.99" ); }} +internal_power(a_z_p) { /* xor2v0x1 19.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_97_5x10) { +values( " 9.55, 9.62, 9.71, 9.79, 9.81", \ + " 9.43, 9.50, 9.61, 9.70, 9.74", \ + " 9.44, 9.51, 9.62, 9.72, 9.76", \ + " 9.54, 9.59, 9.69, 9.80, 9.85", \ + " 9.82, 9.85, 9.93, 10.02, 10.08", \ + " 10.35, 10.36, 10.39, 10.45, 10.50", \ + " 11.32, 11.28, 11.24, 11.24, 11.25", \ + " 12.93, 12.83, 12.69, 12.57, 12.51", \ + " 15.59, 15.41, 15.12, 14.83, 14.62", \ + " 19.91, 19.64, 19.14, 18.57, 18.11" ); }} +internal_power(b_z_n) { /* xor2v0x1 18.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_97_5x10) { +values( " 9.04, 9.09, 9.13, 9.16, 9.16", \ + " 8.82, 8.87, 8.94, 9.00, 9.03", \ + " 8.85, 8.89, 8.95, 9.02, 9.06", \ + " 9.00, 9.02, 9.07, 9.13, 9.17", \ + " 9.43, 9.41, 9.41, 9.44, 9.47", \ + " 10.24, 10.17, 10.09, 10.04, 10.04", \ + " 11.69, 11.56, 11.36, 11.16, 11.05", \ + " 14.12, 13.92, 13.54, 13.10, 12.78", \ + " 18.13, 17.84, 17.25, 16.46, 15.77", \ + " 24.62, 24.21, 23.37, 22.13, 20.90" ); }} +internal_power(b_z_p) { /* xor2v0x1 21.66 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_97_5x10) { +values( " 10.85, 10.82, 10.72, 10.60, 10.50", \ + " 10.67, 10.65, 10.57, 10.47, 10.37", \ + " 10.74, 10.71, 10.64, 10.54, 10.44", \ + " 10.94, 10.90, 10.83, 10.71, 10.60", \ + " 11.43, 11.38, 11.29, 11.15, 11.01", \ + " 12.32, 12.24, 12.11, 11.92, 11.75", \ + " 13.86, 13.75, 13.54, 13.27, 13.02", \ + " 16.38, 16.22, 15.92, 15.51, 15.12", \ + " 20.50, 20.26, 19.82, 19.21, 18.60", \ + " 27.20, 26.85, 26.16, 25.23, 24.30" ); }} +timing() { /* ring osc delay xor2v0x1, path a to z 92.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 69.7 ; */ +/* intrinsic_fall : 62.0 ; */ +/* rise_resistance : 4.30 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.8, 59.7, 86.8, 145.2, 247.5, 464.5", \ + " 56.6, 67.5, 94.6, 153.0, 255.3, 472.3", \ + " 62.8, 73.6, 100.7, 159.0, 261.3, 478.3", \ + " 71.1, 81.9, 109.0, 167.2, 269.4, 486.4", \ + " 83.9, 95.8, 123.4, 181.5, 283.6, 500.5", \ + " 98.8, 112.1, 143.1, 202.1, 304.0, 520.8", \ + " 117.5, 132.5, 167.5, 232.4, 334.7, 551.2", \ + " 141.0, 157.9, 197.4, 271.1, 379.1, 595.8", \ + " 171.6, 190.7, 235.5, 319.1, 441.2, 662.4", \ + " 212.1, 234.0, 285.2, 380.5, 519.6, 761.2" ); } +rise_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.7, 152.4, 239.3, 439.0, 797.5, 1562.4", \ + " 124.0, 154.7, 240.4, 439.4, 797.6, 1562.4", \ + " 129.2, 159.2, 243.1, 440.2, 797.9, 1562.5", \ + " 137.6, 166.7, 248.7, 442.9, 798.5, 1562.5", \ + " 153.9, 181.7, 261.2, 451.2, 801.6, 1562.9", \ + " 178.6, 205.1, 281.7, 467.0, 811.5, 1564.7", \ + " 214.3, 241.6, 314.8, 494.9, 832.3, 1574.5", \ + " 259.5, 289.1, 365.3, 539.4, 869.4, 1599.1", \ + " 321.5, 353.1, 435.2, 609.9, 931.4, 1647.4", \ + " 409.0, 443.0, 530.7, 717.3, 1032.3, 1733.0" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.1, 50.3, 70.5, 112.8, 184.8, 335.8", \ + " 49.3, 57.5, 77.8, 120.2, 192.5, 343.5", \ + " 54.7, 62.9, 83.4, 126.0, 198.5, 349.7", \ + " 61.5, 70.0, 90.7, 133.8, 206.6, 358.1", \ + " 69.9, 80.0, 102.8, 147.0, 220.8, 373.0", \ + " 78.0, 89.8, 116.3, 164.5, 240.4, 394.1", \ + " 85.6, 99.4, 130.6, 186.4, 267.5, 424.6", \ + " 91.9, 108.0, 144.6, 209.8, 302.2, 466.6", \ + " 95.5, 114.3, 157.3, 234.3, 341.7, 523.7", \ + " 93.9, 116.0, 166.8, 258.1, 384.5, 595.2" ); } +fall_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.3, 93.4, 148.8, 276.0, 504.1, 992.7", \ + " 76.4, 95.7, 150.1, 276.7, 504.5, 992.8", \ + " 81.9, 100.4, 153.2, 278.0, 505.1, 993.0", \ + " 90.9, 108.7, 159.8, 281.8, 506.5, 993.5", \ + " 108.4, 124.9, 173.9, 292.7, 512.4, 994.8", \ + " 131.9, 149.2, 195.9, 311.6, 526.9, 1000.4", \ + " 163.3, 181.8, 230.9, 342.4, 553.9, 1018.2", \ + " 205.6, 225.5, 277.7, 390.7, 597.0, 1053.7", \ + " 264.7, 286.5, 342.5, 462.6, 665.9, 1115.1", \ + " 348.3, 373.1, 434.4, 562.4, 775.3, 1214.3" ); }} +timing() { /* ring osc delay xor2v0x1, path a to z 101.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 82.6 ; */ +/* intrinsic_fall : 90.3 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.9, 78.3, 105.0, 163.8, 267.4, 486.6", \ + " 74.7, 85.0, 111.8, 170.6, 274.2, 493.5", \ + " 79.6, 89.8, 116.5, 175.4, 279.1, 498.4", \ + " 85.2, 95.3, 121.9, 180.8, 284.5, 503.8", \ + " 92.5, 102.6, 128.9, 187.6, 291.3, 510.7", \ + " 99.6, 109.6, 135.8, 194.4, 297.9, 517.3", \ + " 106.8, 116.9, 142.9, 201.1, 304.5, 523.8", \ + " 113.2, 123.5, 149.6, 207.4, 310.6, 529.7", \ + " 117.8, 128.5, 155.0, 212.9, 315.8, 534.7", \ + " 118.5, 129.8, 157.1, 215.5, 318.9, 537.5" ); } +rise_transition(x1_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 81.1, 106.8, 176.2, 333.3, 613.0, 1206.8", \ + " 81.2, 106.9, 176.3, 333.3, 613.0, 1206.8", \ + " 81.5, 107.1, 176.3, 333.3, 612.9, 1206.8", \ + " 82.7, 108.0, 176.6, 333.3, 612.9, 1206.8", \ + " 85.4, 110.1, 177.8, 333.7, 612.9, 1206.8", \ + " 88.7, 113.1, 179.7, 334.4, 613.2, 1206.8", \ + " 93.8, 117.3, 182.8, 335.9, 613.7, 1207.1", \ + " 101.0, 124.0, 187.9, 338.7, 614.9, 1207.5", \ + " 111.1, 133.8, 196.4, 344.4, 618.0, 1208.8", \ + " 124.9, 147.6, 209.3, 355.2, 625.0, 1212.7" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.1, 76.6, 97.0, 139.6, 213.5, 369.4", \ + " 76.0, 84.5, 104.9, 147.5, 221.5, 377.5", \ + " 82.3, 90.7, 111.1, 153.8, 227.8, 383.9", \ + " 90.3, 98.7, 119.1, 161.9, 236.0, 392.1", \ + " 102.6, 111.1, 131.6, 174.4, 248.5, 404.8", \ + " 117.0, 125.7, 146.4, 189.4, 263.6, 419.8", \ + " 135.3, 144.1, 165.2, 208.3, 282.6, 438.9", \ + " 158.4, 167.6, 189.0, 232.4, 306.8, 463.1", \ + " 188.5, 198.2, 220.4, 264.4, 338.7, 495.1", \ + " 228.3, 238.8, 262.2, 307.2, 381.9, 538.2" ); } +fall_transition(x1_97_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 47.1, 62.8, 105.7, 204.7, 382.6, 762.0", \ + " 47.1, 62.8, 105.8, 204.7, 382.6, 762.0", \ + " 47.3, 63.0, 105.8, 204.7, 382.6, 762.0", \ + " 47.9, 63.4, 106.0, 204.8, 382.6, 762.0", \ + " 50.5, 65.4, 107.1, 205.1, 382.7, 762.0", \ + " 53.9, 68.6, 109.3, 206.2, 383.0, 762.0", \ + " 58.5, 72.7, 112.4, 207.9, 383.7, 762.2", \ + " 64.7, 78.5, 116.8, 210.3, 384.9, 762.6", \ + " 73.4, 86.8, 123.9, 214.5, 386.9, 763.3", \ + " 85.4, 98.7, 134.9, 222.2, 391.1, 765.0" ); }} +timing() { /* ring osc delay xor2v0x1, path b to z 75.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 75.8 ; */ +/* intrinsic_fall : 37.4 ; */ +/* rise_resistance : 4.35 ; */ +/* fall_resistance : 2.84 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.3, 67.2, 94.0, 152.5, 255.8, 474.9", \ + " 63.5, 74.4, 101.4, 160.2, 263.6, 482.9", \ + " 69.5, 80.4, 107.4, 166.2, 269.8, 489.1", \ + " 77.5, 88.3, 115.3, 174.2, 277.8, 497.1", \ + " 89.6, 101.3, 128.7, 187.5, 291.2, 510.6", \ + " 103.8, 116.6, 146.6, 206.1, 309.8, 529.3", \ + " 122.2, 136.4, 169.6, 233.7, 337.7, 557.3", \ + " 146.2, 162.4, 199.6, 270.4, 378.8, 598.6", \ + " 178.8, 197.6, 240.2, 319.4, 438.4, 661.2", \ + " 223.5, 246.1, 296.3, 386.4, 518.6, 756.6" ); } +rise_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 95.1, 120.1, 189.3, 349.3, 637.9, 1254.3", \ + " 95.7, 120.7, 189.6, 349.3, 637.9, 1254.3", \ + " 97.8, 121.6, 189.8, 349.5, 638.0, 1254.3", \ + " 101.9, 125.1, 191.6, 349.9, 638.1, 1254.3", \ + " 109.8, 132.2, 197.2, 353.1, 638.8, 1254.3", \ + " 122.6, 143.5, 206.8, 360.5, 643.4, 1254.8", \ + " 139.4, 162.7, 223.7, 374.8, 654.2, 1259.9", \ + " 159.9, 185.1, 252.5, 399.7, 674.8, 1273.6", \ + " 190.4, 217.4, 289.8, 443.4, 711.7, 1301.6", \ + " 238.6, 267.4, 343.8, 507.8, 775.8, 1353.5" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.1, 29.8, 45.6, 83.8, 153.9, 303.0", \ + " 31.2, 36.6, 52.0, 90.0, 160.2, 309.2", \ + " 35.5, 41.6, 56.8, 94.4, 164.5, 313.6", \ + " 39.3, 46.5, 63.3, 100.0, 170.1, 319.3", \ + " 43.3, 51.9, 71.7, 109.9, 179.2, 328.5", \ + " 46.1, 56.1, 79.2, 123.1, 191.8, 340.6", \ + " 46.8, 58.5, 85.5, 136.1, 211.4, 357.8", \ + " 44.2, 57.8, 89.0, 147.4, 233.5, 383.7", \ + " 35.9, 51.8, 88.0, 155.3, 253.9, 423.2", \ + " 18.2, 36.7, 78.9, 156.8, 270.0, 464.3" ); } +fall_transition(x1_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 38.5, 61.9, 128.3, 266.4, 506.7, 1017.8", \ + " 45.3, 66.9, 130.9, 267.1, 506.6, 1017.5", \ + " 53.4, 73.6, 135.7, 269.9, 507.3, 1017.2", \ + " 65.0, 84.1, 143.8, 275.4, 510.3, 1017.1", \ + " 81.6, 103.4, 160.9, 288.0, 518.6, 1020.2", \ + " 103.1, 126.1, 187.5, 310.4, 534.4, 1029.0", \ + " 132.2, 157.1, 224.9, 348.3, 564.5, 1047.6", \ + " 171.2, 198.3, 272.8, 407.4, 617.0, 1083.7", \ + " 224.8, 254.8, 336.9, 487.5, 703.3, 1153.2", \ + " 299.7, 333.4, 423.9, 595.0, 834.7, 1276.6" ); }} +timing() { /* ring osc delay xor2v0x1, path b to z 95.2 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.4 ; */ +/* intrinsic_fall : 76.4 ; */ +/* rise_resistance : 3.99 ; */ +/* fall_resistance : 3.09 ; */ +cell_rise(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.6, 61.5, 83.5, 137.1, 236.7, 452.0", \ + " 60.6, 68.6, 90.7, 144.2, 243.5, 458.4", \ + " 66.3, 74.3, 96.3, 149.5, 248.4, 462.9", \ + " 74.0, 81.9, 103.6, 156.3, 254.7, 468.7", \ + " 87.6, 95.2, 115.8, 167.5, 265.0, 478.1", \ + " 101.9, 110.8, 133.1, 182.7, 278.7, 490.5", \ + " 115.9, 126.2, 151.9, 206.0, 299.0, 508.1", \ + " 129.5, 140.9, 169.3, 231.0, 329.7, 534.1", \ + " 143.5, 155.9, 186.3, 253.2, 366.7, 575.1", \ + " 157.8, 171.3, 203.7, 273.8, 397.5, 636.0" ); } +rise_transition(x1_97_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 145.2, 176.5, 265.5, 474.4, 854.7, 1674.9", \ + " 145.1, 175.8, 263.3, 471.8, 853.3, 1674.3", \ + " 147.2, 177.9, 264.6, 471.2, 851.9, 1673.5", \ + " 151.0, 181.6, 267.8, 472.4, 850.4, 1671.9", \ + " 158.2, 189.1, 274.7, 476.8, 851.1, 1668.9", \ + " 166.2, 199.1, 286.0, 485.8, 856.4, 1669.5", \ + " 174.0, 207.3, 300.6, 503.1, 869.7, 1676.9", \ + " 184.5, 218.7, 311.9, 527.9, 895.9, 1695.5", \ + " 198.2, 233.0, 327.8, 547.9, 938.6, 1733.7", \ + " 216.9, 252.8, 349.4, 571.2, 976.3, 1802.0" ); } +cell_fall(x1_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 63.9, 83.2, 124.8, 198.2, 353.9", \ + " 63.8, 71.9, 91.3, 133.0, 206.4, 362.1", \ + " 69.8, 77.8, 97.4, 139.1, 212.6, 368.3", \ + " 76.8, 85.1, 104.7, 146.6, 220.0, 375.8", \ + " 86.7, 95.3, 115.5, 157.5, 231.0, 386.7", \ + " 98.0, 107.0, 127.7, 170.2, 243.7, 399.4", \ + " 111.7, 121.2, 142.6, 185.5, 259.3, 415.0", \ + " 128.0, 138.2, 160.8, 204.3, 278.2, 434.1", \ + " 147.5, 158.7, 183.1, 228.2, 302.5, 458.5", \ + " 171.1, 183.6, 210.4, 258.3, 334.2, 490.6" ); } +fall_transition(x1_97_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 45.6, 61.9, 105.3, 204.4, 382.5, 762.0", \ + " 45.7, 62.0, 105.3, 204.4, 382.5, 762.0", \ + " 46.2, 62.3, 105.5, 204.5, 382.5, 762.0", \ + " 47.9, 63.6, 106.1, 204.6, 382.5, 762.0", \ + " 50.8, 66.3, 108.1, 205.5, 382.6, 762.0", \ + " 54.3, 69.5, 110.8, 207.0, 383.3, 762.0", \ + " 59.4, 74.4, 114.4, 209.3, 384.4, 762.5", \ + " 66.3, 81.3, 120.4, 212.7, 386.1, 763.2", \ + " 75.6, 91.1, 129.8, 219.5, 389.4, 764.5", \ + " 88.3, 104.4, 143.5, 231.3, 397.3, 767.9" ); }} +} +} +cell(xor2v0x2) { /* 2008-01-06:08h06 characteristic delay 14.9 ps */ +area : 14 ; /* tracks */ +cell_leakage_power : 1825 ; /* xor2v0x2 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x2 FO4 effort 1.59 logical effort 1.35 */ +direction : input ; +capacitance : 6.97 ; +rise_capacitance : 6.95 ; +fall_capacitance : 6.98 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x2 */ +} +pin(b) { /* xor2v0x2 FO4 effort 1.86 logical effort 2.14 */ +direction : input ; +capacitance : 10.26 ; +rise_capacitance : 10.33 ; +fall_capacitance : 10.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 185 ; +max_fanout : 6 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x2 32.43 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 16.06, 16.08, 16.10, 16.09, 16.06", \ + " 15.88, 15.92, 15.97, 16.00, 15.99", \ + " 15.94, 15.98, 16.04, 16.08, 16.08", \ + " 16.15, 16.17, 16.21, 16.26, 16.26", \ + " 16.71, 16.68, 16.66, 16.67, 16.66", \ + " 17.78, 17.65, 17.50, 17.40, 17.34", \ + " 19.68, 19.41, 19.02, 18.69, 18.49", \ + " 22.89, 22.41, 21.65, 20.90, 20.40", \ + " 28.23, 27.47, 26.15, 24.71, 23.66", \ + " 36.98, 35.87, 33.79, 31.27, 29.25" ); }} +internal_power(a_z_p) { /* xor2v0x2 38.98 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 19.14, 19.31, 19.52, 19.65, 19.69", \ + " 18.87, 19.05, 19.29, 19.46, 19.52", \ + " 18.91, 19.07, 19.31, 19.51, 19.59", \ + " 19.13, 19.26, 19.49, 19.70, 19.80", \ + " 19.75, 19.83, 20.01, 20.20, 20.31", \ + " 20.93, 20.93, 21.01, 21.15, 21.25", \ + " 23.05, 22.93, 22.84, 22.84, 22.87", \ + " 26.56, 26.30, 25.96, 25.71, 25.58", \ + " 32.36, 31.89, 31.18, 30.52, 30.09", \ + " 41.73, 41.01, 39.80, 38.49, 37.53" ); }} +internal_power(b_z_n) { /* xor2v0x2 36.26 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 17.97, 18.05, 18.14, 18.18, 18.18", \ + " 17.56, 17.67, 17.82, 17.93, 17.97", \ + " 17.65, 17.73, 17.88, 18.01, 18.07", \ + " 17.97, 18.02, 18.13, 18.26, 18.33", \ + " 18.84, 18.81, 18.83, 18.92, 18.98", \ + " 20.48, 20.34, 20.19, 20.13, 20.14", \ + " 23.42, 23.13, 22.69, 22.34, 22.17", \ + " 28.35, 27.87, 27.03, 26.16, 25.59", \ + " 36.49, 35.77, 34.42, 32.74, 31.44", \ + " 49.69, 48.68, 46.68, 43.93, 41.41" ); }} +internal_power(b_z_p) { /* xor2v0x2 43.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 21.86, 21.77, 21.57, 21.35, 21.18", \ + " 21.58, 21.53, 21.38, 21.18, 21.02", \ + " 21.77, 21.72, 21.58, 21.38, 21.20", \ + " 22.21, 22.14, 22.00, 21.78, 21.58", \ + " 23.25, 23.16, 22.97, 22.70, 22.46", \ + " 25.08, 24.93, 24.66, 24.30, 23.98", \ + " 28.25, 28.01, 27.59, 27.05, 26.57", \ + " 33.41, 33.06, 32.41, 31.56, 30.81", \ + " 41.86, 41.30, 40.30, 38.99, 37.77", \ + " 55.54, 54.72, 53.14, 51.11, 49.16" ); }} +timing() { /* ring osc delay xor2v0x2, path a to z 86.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 66.6 ; */ +/* intrinsic_fall : 62.2 ; */ +/* rise_resistance : 1.91 ; */ +/* fall_resistance : 1.53 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 59.4, 91.0, 159.8, 281.2, 539.1", \ + " 54.4, 67.2, 98.8, 167.5, 288.9, 546.8", \ + " 60.4, 73.2, 104.8, 173.4, 294.8, 552.7", \ + " 68.2, 81.2, 112.8, 181.3, 302.7, 560.5", \ + " 79.9, 94.2, 126.9, 195.3, 316.5, 574.3", \ + " 93.4, 109.5, 145.9, 215.2, 336.2, 593.9", \ + " 110.2, 128.4, 169.6, 244.6, 365.8, 623.3", \ + " 131.2, 151.6, 198.3, 283.3, 408.7, 666.4", \ + " 158.0, 181.3, 234.3, 331.0, 470.7, 730.7", \ + " 192.8, 219.6, 280.6, 391.0, 550.1, 826.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.2, 154.3, 255.9, 488.7, 905.5, 1793.6", \ + " 120.8, 156.7, 257.0, 489.1, 905.6, 1793.6", \ + " 126.3, 161.2, 259.5, 489.7, 905.8, 1793.6", \ + " 134.9, 168.8, 264.8, 491.8, 906.2, 1793.6", \ + " 151.4, 183.8, 276.9, 499.2, 908.2, 1793.8", \ + " 176.2, 207.0, 296.9, 513.9, 916.3, 1794.5", \ + " 211.3, 243.4, 329.3, 540.3, 935.0, 1801.2", \ + " 256.5, 291.0, 379.5, 583.5, 969.5, 1821.8", \ + " 319.1, 356.0, 451.0, 653.1, 1029.1, 1865.5", \ + " 408.2, 447.9, 549.2, 762.8, 1128.2, 1946.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.0, 53.4, 79.4, 134.4, 229.5, 429.4", \ + " 50.0, 60.5, 86.6, 141.9, 237.2, 437.1", \ + " 55.5, 66.0, 92.2, 147.7, 243.2, 443.3", \ + " 62.3, 73.1, 99.6, 155.6, 251.4, 451.8", \ + " 71.1, 83.7, 112.1, 169.2, 265.8, 466.8", \ + " 79.6, 94.4, 127.2, 187.5, 286.0, 488.3", \ + " 88.2, 105.5, 143.8, 212.1, 314.6, 519.8", \ + " 96.0, 116.1, 160.9, 240.1, 352.8, 563.9", \ + " 102.2, 125.6, 178.2, 270.8, 400.5, 625.2", \ + " 104.8, 132.3, 194.2, 303.3, 454.3, 708.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 77.7, 105.0, 179.9, 350.4, 655.0, 1305.9", \ + " 80.6, 107.0, 181.0, 351.0, 655.3, 1306.0", \ + " 85.9, 111.4, 183.5, 351.9, 655.8, 1306.1", \ + " 94.7, 119.2, 189.4, 354.6, 656.7, 1306.4", \ + " 111.5, 134.8, 202.5, 364.0, 660.4, 1307.1", \ + " 134.7, 158.5, 223.3, 381.4, 672.4, 1309.8", \ + " 165.4, 190.8, 256.7, 410.4, 696.8, 1322.6", \ + " 206.9, 234.1, 304.4, 455.9, 737.4, 1353.2", \ + " 265.2, 294.7, 369.6, 527.9, 802.3, 1409.9", \ + " 348.1, 381.1, 462.0, 630.0, 907.1, 1503.7" ); }} +timing() { /* ring osc delay xor2v0x2, path a to z 96.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 80.2 ; */ +/* intrinsic_fall : 86.7 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.55 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.8, 79.3, 113.8, 189.3, 322.3, 604.1", \ + " 72.5, 86.0, 120.5, 196.1, 329.1, 610.9", \ + " 77.5, 90.8, 125.2, 200.9, 334.0, 615.9", \ + " 83.2, 96.4, 130.7, 206.4, 339.5, 621.5", \ + " 90.7, 103.9, 137.9, 213.5, 346.7, 628.7", \ + " 98.2, 111.3, 145.3, 220.7, 353.9, 635.9", \ + " 106.1, 119.3, 153.0, 228.4, 361.4, 643.3", \ + " 113.8, 127.4, 161.1, 236.0, 369.0, 650.8", \ + " 120.6, 134.7, 168.9, 243.9, 376.6, 658.4", \ + " 124.8, 139.8, 175.1, 250.8, 383.9, 665.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 81.5, 114.4, 203.6, 405.5, 764.7, 1527.8", \ + " 81.6, 114.5, 203.6, 405.5, 764.7, 1527.8", \ + " 81.9, 114.7, 203.6, 405.5, 764.7, 1527.8", \ + " 83.1, 115.4, 203.8, 405.5, 764.7, 1527.8", \ + " 85.7, 117.4, 204.8, 405.7, 764.6, 1527.8", \ + " 89.0, 120.3, 206.4, 406.3, 764.9, 1527.8", \ + " 93.7, 124.1, 209.0, 407.3, 765.3, 1528.0", \ + " 100.6, 130.2, 213.2, 409.4, 766.1, 1528.4", \ + " 110.2, 139.4, 220.9, 414.0, 768.5, 1529.3", \ + " 123.6, 152.7, 233.1, 423.4, 774.4, 1532.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.8, 76.6, 102.8, 158.7, 256.8, 464.5", \ + " 73.6, 84.4, 110.7, 166.7, 264.8, 472.6", \ + " 79.7, 90.5, 116.8, 172.9, 271.1, 478.9", \ + " 87.4, 98.2, 124.6, 180.7, 279.0, 486.8", \ + " 99.0, 110.1, 136.5, 192.7, 291.0, 498.9", \ + " 112.4, 123.8, 150.7, 207.0, 305.3, 513.2", \ + " 129.2, 141.0, 168.3, 224.9, 323.3, 531.2", \ + " 150.2, 162.5, 190.5, 247.4, 346.0, 553.9", \ + " 177.0, 190.2, 219.5, 277.1, 375.6, 583.8", \ + " 211.6, 226.0, 257.4, 316.8, 416.0, 624.1" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 53.1, 74.6, 132.4, 265.0, 502.6, 1008.7", \ + " 53.2, 74.6, 132.5, 265.0, 502.6, 1008.7", \ + " 53.5, 74.8, 132.5, 265.0, 502.6, 1008.7", \ + " 54.4, 75.4, 132.8, 265.0, 502.6, 1008.7", \ + " 57.4, 77.8, 134.0, 265.3, 502.7, 1008.7", \ + " 61.0, 81.3, 136.6, 266.5, 502.8, 1008.7", \ + " 65.7, 85.6, 139.8, 268.3, 503.8, 1008.8", \ + " 72.1, 91.9, 144.7, 271.1, 505.1, 1009.5", \ + " 81.0, 101.0, 152.7, 275.8, 507.4, 1010.5", \ + " 93.2, 113.8, 165.3, 285.3, 512.7, 1012.7" ); }} +timing() { /* ring osc delay xor2v0x2, path b to z 73.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.1 ; */ +/* intrinsic_fall : 39.2 ; */ +/* rise_resistance : 2.09 ; */ +/* fall_resistance : 1.41 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.1, 69.4, 103.2, 178.0, 310.7, 592.3", \ + " 63.4, 76.8, 110.8, 185.9, 318.6, 600.3", \ + " 69.3, 82.7, 116.7, 191.9, 324.7, 606.4", \ + " 77.0, 90.4, 124.4, 199.6, 332.5, 614.2", \ + " 88.7, 103.1, 137.4, 212.6, 345.6, 627.4", \ + " 102.5, 118.4, 155.6, 231.0, 364.0, 645.9", \ + " 120.4, 138.2, 179.5, 258.7, 391.8, 673.7", \ + " 143.8, 164.2, 210.6, 297.8, 432.9, 715.0", \ + " 175.5, 199.5, 252.5, 349.6, 495.1, 777.6", \ + " 219.2, 248.1, 310.2, 419.9, 580.8, 873.2" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 90.9, 123.9, 214.7, 422.7, 795.2, 1587.9", \ + " 91.9, 124.4, 214.9, 422.8, 795.2, 1587.9", \ + " 93.0, 125.0, 215.2, 422.9, 795.2, 1587.9", \ + " 96.6, 127.8, 216.4, 423.2, 795.3, 1587.9", \ + " 103.8, 134.3, 221.4, 425.5, 795.6, 1587.9", \ + " 116.4, 145.3, 230.7, 432.3, 798.8, 1588.0", \ + " 133.3, 165.2, 247.6, 445.9, 808.1, 1590.6", \ + " 154.8, 189.3, 277.1, 470.1, 827.0, 1601.3", \ + " 187.6, 224.0, 318.0, 512.3, 861.8, 1625.6", \ + " 239.1, 276.9, 375.3, 581.3, 922.8, 1673.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 26.4, 34.1, 55.5, 106.0, 198.0, 394.7", \ + " 33.3, 40.7, 61.8, 112.3, 204.2, 401.0", \ + " 38.0, 45.8, 66.4, 116.7, 208.7, 405.4", \ + " 42.2, 51.3, 72.7, 122.4, 214.3, 411.0", \ + " 46.8, 57.6, 82.6, 132.0, 223.5, 420.3", \ + " 50.3, 62.8, 91.8, 146.1, 236.0, 432.6", \ + " 51.9, 66.5, 100.2, 162.6, 254.8, 449.8", \ + " 50.4, 67.4, 106.3, 177.9, 282.0, 474.3", \ + " 43.6, 63.4, 108.3, 190.8, 309.9, 513.8", \ + " 28.0, 51.0, 103.1, 198.4, 335.2, 567.9" ); } +fall_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 47.3, 80.9, 164.8, 344.6, 663.1, 1343.4", \ + " 53.4, 85.1, 166.7, 344.8, 663.0, 1342.9", \ + " 60.8, 91.1, 170.9, 347.0, 663.0, 1342.4", \ + " 72.3, 100.9, 178.2, 351.7, 664.9, 1341.7", \ + " 90.0, 120.0, 194.2, 362.8, 671.5, 1342.2", \ + " 111.9, 145.0, 219.9, 382.8, 684.7, 1348.1", \ + " 141.7, 177.3, 260.3, 418.5, 710.3, 1362.5", \ + " 181.4, 220.0, 312.0, 475.8, 757.4, 1391.6", \ + " 236.0, 277.8, 381.2, 562.9, 839.2, 1450.0", \ + " 312.2, 357.7, 474.3, 680.1, 972.2, 1561.5" ); }} +timing() { /* ring osc delay xor2v0x2, path b to z 92.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 68.6 ; */ +/* intrinsic_fall : 76.4 ; */ +/* rise_resistance : 1.81 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.8, 64.0, 90.7, 155.7, 275.1, 531.8", \ + " 62.1, 71.5, 98.2, 163.1, 282.3, 538.7", \ + " 68.0, 77.3, 104.0, 168.5, 287.4, 543.6", \ + " 75.5, 84.8, 111.2, 175.3, 293.7, 549.5", \ + " 88.2, 97.3, 122.8, 186.0, 303.6, 558.7", \ + " 101.6, 112.2, 139.0, 200.3, 316.5, 570.4", \ + " 115.0, 126.8, 157.3, 221.6, 335.3, 587.0", \ + " 128.2, 141.0, 174.2, 246.9, 363.2, 611.1", \ + " 142.1, 155.8, 190.8, 269.3, 401.0, 648.4", \ + " 156.6, 171.3, 208.0, 290.0, 433.7, 707.0" ); } +rise_transition(x2_260_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 142.9, 180.1, 285.0, 528.1, 970.2, 1920.9", \ + " 143.4, 179.9, 283.0, 527.0, 969.4, 1920.7", \ + " 146.2, 182.4, 284.4, 526.0, 968.7, 1920.4", \ + " 150.6, 186.6, 287.5, 526.5, 967.4, 1919.8", \ + " 158.4, 194.5, 294.2, 529.9, 967.7, 1918.4", \ + " 166.9, 204.9, 305.2, 538.1, 972.4, 1918.6", \ + " 174.9, 213.4, 320.4, 554.5, 984.7, 1925.2", \ + " 185.7, 224.9, 332.4, 580.3, 1008.8, 1942.0", \ + " 199.9, 239.9, 348.9, 603.4, 1050.0, 1976.1", \ + " 219.7, 260.7, 371.6, 627.2, 1093.9, 2038.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.0, 67.9, 92.9, 148.2, 246.0, 453.6", \ + " 66.0, 76.0, 101.2, 156.6, 254.4, 462.0", \ + " 71.7, 81.8, 107.1, 162.5, 260.4, 468.0", \ + " 78.1, 88.3, 113.7, 169.2, 267.1, 474.8", \ + " 86.9, 97.3, 123.0, 178.7, 276.6, 484.3", \ + " 97.1, 107.7, 133.6, 189.4, 287.5, 495.1", \ + " 109.6, 120.5, 146.7, 202.6, 300.7, 508.4", \ + " 124.4, 135.8, 162.5, 218.6, 316.8, 524.6", \ + " 142.4, 154.4, 182.1, 238.8, 337.0, 544.8", \ + " 164.0, 177.1, 206.4, 264.2, 362.9, 570.7" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 49.6, 71.5, 130.5, 264.3, 502.5, 1008.7", \ + " 49.7, 71.5, 130.5, 264.3, 502.5, 1008.7", \ + " 50.0, 71.7, 130.6, 264.3, 502.5, 1008.7", \ + " 51.1, 72.4, 130.8, 264.3, 502.5, 1008.7", \ + " 52.9, 73.9, 131.6, 264.6, 502.5, 1008.7", \ + " 55.3, 75.6, 132.7, 265.0, 502.7, 1008.7", \ + " 59.2, 78.8, 134.3, 265.7, 502.9, 1008.8", \ + " 65.0, 83.9, 137.6, 266.8, 503.3, 1009.0", \ + " 73.4, 91.8, 143.5, 269.8, 504.4, 1009.2", \ + " 85.1, 103.3, 153.2, 276.0, 507.3, 1010.3" ); }} +} +} +cell(xor2v0x3) { /* 2008-01-06:08h06 characteristic delay 14.7 ps */ +area : 17 ; /* tracks */ +cell_leakage_power : 2703 ; /* xor2v0x3 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x3 FO4 effort 1.54 logical effort 1.30 */ +direction : input ; +capacitance : 9.60 ; +rise_capacitance : 9.57 ; +fall_capacitance : 9.62 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x3 */ +} +pin(b) { /* xor2v0x3 FO4 effort 1.83 logical effort 2.13 */ +direction : input ; +capacitance : 15.35 ; +rise_capacitance : 15.42 ; +fall_capacitance : 15.27 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x3 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 3 ; +max_capacitance : 247 ; +max_fanout : 8 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x3 45.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 22.45, 22.48, 22.51, 22.51, 22.48", \ + " 22.17, 22.22, 22.30, 22.35, 22.36", \ + " 22.26, 22.31, 22.39, 22.46, 22.47", \ + " 22.57, 22.59, 22.64, 22.70, 22.73", \ + " 23.40, 23.35, 23.31, 23.30, 23.30", \ + " 24.96, 24.80, 24.57, 24.40, 24.31", \ + " 27.75, 27.42, 26.88, 26.36, 26.03", \ + " 32.44, 31.85, 30.84, 29.73, 28.94", \ + " 40.22, 39.30, 37.59, 35.54, 33.92", \ + " 52.91, 51.59, 48.96, 45.49, 42.50" ); }} +internal_power(a_z_p) { /* xor2v0x3 55.79 nW/MHz */ +related_pin : "a" ; +power(pwr_x3_292_5x10) { +values( " 27.43, 27.66, 27.99, 28.25, 28.37", \ + " 27.04, 27.27, 27.63, 27.94, 28.10", \ + " 27.09, 27.29, 27.65, 27.99, 28.18", \ + " 27.41, 27.57, 27.89, 28.24, 28.45", \ + " 28.33, 28.42, 28.64, 28.95, 29.16", \ + " 30.07, 30.04, 30.12, 30.31, 30.49", \ + " 33.16, 32.99, 32.82, 32.79, 32.85", \ + " 38.29, 37.93, 37.42, 37.01, 36.80", \ + " 46.72, 46.10, 45.11, 44.10, 43.42", \ + " 60.34, 59.39, 57.76, 55.87, 54.39" ); }} +internal_power(b_z_n) { /* xor2v0x3 51.76 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 25.59, 25.71, 25.86, 25.95, 25.96", \ + " 24.99, 25.13, 25.36, 25.55, 25.65", \ + " 25.15, 25.25, 25.46, 25.68, 25.81", \ + " 25.68, 25.73, 25.88, 26.08, 26.22", \ + " 27.08, 27.03, 27.03, 27.13, 27.25", \ + " 29.67, 29.48, 29.23, 29.09, 29.08", \ + " 34.29, 33.91, 33.28, 32.67, 32.34", \ + " 41.97, 41.36, 40.23, 38.86, 37.86", \ + " 54.57, 53.68, 51.91, 49.49, 47.34", \ + " 74.91, 73.68, 71.12, 67.32, 63.48" ); }} +internal_power(b_z_p) { /* xor2v0x3 62.87 nW/MHz */ +related_pin : "b" ; +power(pwr_x3_292_5x10) { +values( " 31.10, 30.99, 30.73, 30.39, 30.12", \ + " 30.70, 30.63, 30.44, 30.16, 29.91", \ + " 31.00, 30.94, 30.76, 30.48, 30.22", \ + " 31.70, 31.62, 31.43, 31.13, 30.84", \ + " 33.35, 33.23, 32.98, 32.61, 32.25", \ + " 36.23, 36.04, 35.67, 35.16, 34.67", \ + " 41.16, 40.87, 40.31, 39.53, 38.79", \ + " 49.18, 48.74, 47.89, 46.69, 45.53", \ + " 62.25, 61.56, 60.23, 58.41, 56.57", \ + " 83.33, 82.33, 80.30, 77.41, 74.56" ); }} +timing() { /* ring osc delay xor2v0x3, path a to z 85.7 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.6 ; */ +/* intrinsic_fall : 58.1 ; */ +/* rise_resistance : 1.39 ; */ +/* fall_resistance : 1.01 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.6, 57.2, 83.6, 140.1, 239.2, 449.4", \ + " 54.4, 65.0, 91.4, 147.9, 247.0, 457.2", \ + " 60.5, 71.1, 97.4, 153.9, 253.0, 463.2", \ + " 68.6, 79.3, 105.7, 162.0, 261.1, 471.3", \ + " 80.8, 92.6, 120.0, 176.4, 275.3, 485.4", \ + " 95.1, 108.3, 139.0, 196.8, 295.6, 505.6", \ + " 113.1, 128.0, 162.6, 226.6, 326.1, 536.0", \ + " 135.9, 152.6, 191.6, 264.2, 370.2, 580.4", \ + " 165.6, 184.6, 228.7, 311.0, 431.0, 646.6", \ + " 205.0, 226.8, 277.4, 371.0, 507.5, 744.5" ); } +rise_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 118.5, 149.7, 235.0, 430.7, 781.7, 1530.0", \ + " 122.0, 152.2, 236.1, 431.1, 781.8, 1530.0", \ + " 127.4, 156.8, 239.0, 431.9, 782.0, 1530.0", \ + " 135.9, 164.4, 244.8, 434.8, 782.6, 1530.1", \ + " 152.2, 179.6, 257.5, 443.3, 785.9, 1530.3", \ + " 176.5, 202.7, 278.0, 459.3, 796.0, 1532.2", \ + " 211.0, 238.5, 310.7, 487.2, 817.2, 1542.5", \ + " 255.0, 284.5, 360.6, 531.5, 854.4, 1567.6", \ + " 315.7, 347.2, 428.7, 601.4, 916.3, 1616.4", \ + " 402.1, 435.9, 522.7, 707.2, 1016.7, 1702.2" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.6, 46.4, 65.8, 106.3, 175.6, 321.1", \ + " 45.7, 53.5, 73.0, 113.8, 183.3, 328.9", \ + " 51.0, 58.9, 78.6, 119.6, 189.3, 335.1", \ + " 57.2, 65.8, 85.8, 127.4, 197.5, 343.6", \ + " 64.8, 74.8, 97.4, 140.5, 211.8, 358.6", \ + " 71.8, 83.6, 109.9, 157.6, 231.1, 379.6", \ + " 78.3, 92.1, 123.0, 178.2, 257.8, 409.9", \ + " 83.3, 99.4, 135.8, 200.3, 291.5, 451.5", \ + " 85.4, 104.3, 147.1, 223.3, 329.3, 507.9", \ + " 82.1, 104.2, 154.9, 245.4, 370.1, 577.2" ); } +fall_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.6, 87.3, 141.2, 264.3, 484.6, 956.5", \ + " 71.1, 89.9, 142.6, 265.0, 485.0, 956.6", \ + " 76.8, 94.8, 146.0, 266.4, 485.6, 956.8", \ + " 86.0, 103.2, 152.8, 270.6, 487.1, 957.2", \ + " 103.5, 119.4, 166.9, 281.8, 493.5, 958.5", \ + " 125.8, 143.0, 188.8, 300.7, 508.4, 964.8", \ + " 156.1, 174.5, 223.1, 331.3, 535.4, 983.0", \ + " 197.4, 217.2, 268.7, 379.6, 578.5, 1019.0", \ + " 255.3, 277.1, 332.3, 450.0, 647.3, 1080.4", \ + " 337.4, 362.1, 422.9, 548.2, 755.8, 1179.7" ); }} +timing() { /* ring osc delay xor2v0x3, path a to z 95.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.1 ; */ +/* intrinsic_fall : 88.2 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 1.00 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.0, 72.3, 98.6, 155.7, 255.8, 467.3", \ + " 68.8, 79.0, 105.3, 162.5, 262.6, 474.1", \ + " 73.7, 83.8, 110.0, 167.2, 267.4, 479.0", \ + " 79.3, 89.3, 115.2, 172.4, 272.7, 484.4", \ + " 86.3, 96.3, 122.1, 179.1, 279.4, 491.1", \ + " 93.1, 103.1, 128.8, 185.7, 285.8, 497.6", \ + " 99.9, 110.1, 135.6, 192.1, 292.2, 503.9", \ + " 105.8, 116.3, 141.9, 198.2, 298.1, 509.7", \ + " 109.7, 120.7, 146.9, 203.2, 302.9, 514.4", \ + " 109.4, 121.1, 148.4, 205.4, 305.5, 516.8" ); } +rise_transition(x3_292_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 74.0, 98.6, 165.3, 316.5, 585.9, 1158.3", \ + " 74.1, 98.7, 165.3, 316.5, 585.9, 1158.3", \ + " 74.5, 98.9, 165.4, 316.5, 585.9, 1158.3", \ + " 75.8, 99.9, 165.7, 316.6, 585.9, 1158.3", \ + " 78.7, 102.1, 167.0, 317.0, 585.9, 1158.3", \ + " 82.0, 105.2, 169.0, 317.8, 586.3, 1158.3", \ + " 87.0, 109.4, 172.1, 319.3, 586.9, 1158.7", \ + " 94.1, 116.0, 177.2, 322.3, 588.2, 1159.2", \ + " 103.8, 125.5, 185.5, 327.9, 591.4, 1160.6", \ + " 117.1, 138.9, 198.1, 338.6, 598.5, 1164.7" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 74.0, 93.7, 134.1, 204.1, 351.9", \ + " 73.5, 81.8, 101.4, 142.0, 212.1, 359.9", \ + " 79.7, 88.0, 107.6, 148.2, 218.3, 366.2", \ + " 87.8, 96.0, 115.7, 156.3, 226.5, 374.4", \ + " 100.2, 108.7, 128.4, 169.1, 239.3, 387.3", \ + " 114.7, 123.4, 143.7, 184.7, 254.9, 402.8", \ + " 133.1, 142.2, 162.9, 204.3, 274.7, 422.6", \ + " 156.2, 165.8, 187.4, 229.2, 299.7, 447.8", \ + " 186.4, 196.7, 219.5, 262.4, 333.1, 481.3", \ + " 226.2, 237.3, 262.0, 307.0, 378.7, 527.0" ); } +fall_transition(x3_292_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 48.3, 63.5, 104.1, 197.2, 365.2, 724.4", \ + " 48.4, 63.6, 104.1, 197.2, 365.2, 724.4", \ + " 48.7, 63.8, 104.2, 197.2, 365.2, 724.4", \ + " 49.5, 64.4, 104.6, 197.3, 365.2, 724.4", \ + " 52.7, 67.1, 106.2, 197.8, 365.3, 724.4", \ + " 56.6, 70.9, 109.5, 199.7, 365.9, 724.5", \ + " 61.4, 75.8, 113.5, 202.4, 367.3, 724.9", \ + " 67.9, 82.3, 119.3, 206.2, 369.4, 725.8", \ + " 76.9, 91.5, 128.2, 212.6, 372.9, 727.3", \ + " 89.2, 104.2, 141.3, 223.9, 380.2, 730.7" ); }} +timing() { /* ring osc delay xor2v0x3, path b to z 70.2 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.6 ; */ +/* intrinsic_fall : 37.3 ; */ +/* rise_resistance : 1.40 ; */ +/* fall_resistance : 0.91 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.6, 62.8, 88.4, 144.7, 244.3, 455.5", \ + " 59.8, 70.1, 95.9, 152.5, 252.2, 463.5", \ + " 65.8, 76.0, 101.8, 158.4, 258.2, 469.6", \ + " 73.4, 83.7, 109.5, 166.2, 266.0, 477.5", \ + " 84.8, 96.1, 122.6, 179.2, 279.1, 490.7", \ + " 98.2, 110.7, 140.0, 197.7, 297.6, 509.2", \ + " 115.9, 129.9, 162.5, 225.2, 325.5, 537.2", \ + " 139.0, 155.1, 191.9, 261.4, 366.8, 578.7", \ + " 170.5, 189.5, 231.9, 309.8, 426.0, 641.6", \ + " 214.1, 237.0, 287.2, 376.1, 505.3, 737.2" ); } +rise_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.8, 107.3, 175.1, 330.6, 609.6, 1204.0", \ + " 83.7, 108.0, 175.4, 330.7, 609.6, 1204.0", \ + " 85.2, 108.8, 175.8, 330.8, 609.7, 1204.0", \ + " 88.9, 112.0, 177.6, 331.4, 609.8, 1204.0", \ + " 96.4, 118.9, 183.2, 334.9, 610.7, 1204.0", \ + " 109.5, 130.5, 193.1, 342.7, 615.7, 1204.6", \ + " 125.1, 149.4, 211.0, 357.6, 627.0, 1210.3", \ + " 146.1, 172.2, 240.1, 383.4, 648.2, 1224.5", \ + " 178.5, 205.9, 277.8, 428.3, 685.9, 1253.2", \ + " 229.7, 258.0, 332.7, 491.9, 750.9, 1306.0" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.9, 29.4, 44.9, 81.6, 149.0, 293.0", \ + " 30.9, 36.1, 51.3, 87.8, 155.1, 299.2", \ + " 35.0, 41.1, 56.0, 92.1, 159.5, 303.6", \ + " 38.7, 45.7, 62.4, 97.8, 164.9, 309.1", \ + " 42.5, 50.9, 70.5, 107.8, 174.0, 318.1", \ + " 45.1, 54.8, 77.6, 120.6, 186.7, 330.1", \ + " 45.6, 57.0, 83.4, 133.0, 206.3, 347.1", \ + " 42.8, 56.0, 86.5, 143.6, 227.5, 373.1", \ + " 34.2, 49.6, 84.9, 150.8, 247.0, 411.9", \ + " 16.1, 34.1, 75.2, 151.4, 262.0, 451.3" ); } +fall_transition(x3_292_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 40.0, 64.0, 125.9, 257.4, 488.9, 982.5", \ + " 47.0, 69.2, 128.7, 258.2, 488.8, 982.1", \ + " 55.0, 75.9, 133.7, 261.2, 489.6, 981.8", \ + " 66.8, 86.4, 142.1, 267.0, 492.8, 981.7", \ + " 83.3, 106.0, 159.6, 280.1, 501.4, 985.0", \ + " 104.5, 128.7, 186.6, 302.9, 517.6, 994.1", \ + " 133.5, 159.5, 224.1, 341.4, 548.3, 1012.9", \ + " 172.2, 200.3, 272.1, 400.7, 601.5, 1049.8", \ + " 225.5, 256.0, 336.3, 480.5, 688.5, 1120.5", \ + " 299.7, 333.6, 423.2, 587.6, 819.3, 1245.3" ); }} +timing() { /* ring osc delay xor2v0x3, path b to z 89.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 67.0 ; */ +/* intrinsic_fall : 71.6 ; */ +/* rise_resistance : 1.29 ; */ +/* fall_resistance : 0.98 ; */ +cell_rise(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.2, 59.6, 80.9, 133.3, 230.0, 438.6", \ + " 59.5, 67.0, 88.5, 140.7, 237.1, 445.4", \ + " 65.3, 72.8, 94.1, 146.0, 242.1, 450.1", \ + " 72.7, 80.2, 101.2, 152.7, 248.3, 455.8", \ + " 85.6, 93.0, 113.1, 163.4, 258.1, 464.7", \ + " 98.7, 107.5, 129.8, 178.3, 271.4, 476.5", \ + " 111.5, 121.5, 147.1, 201.2, 291.4, 493.6", \ + " 124.0, 134.8, 162.9, 224.5, 322.3, 519.6", \ + " 136.8, 148.4, 178.1, 244.7, 357.3, 561.2", \ + " 149.9, 162.3, 193.5, 263.1, 385.6, 621.2" ); } +rise_transition(x3_292_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 141.3, 172.7, 260.8, 465.9, 838.3, 1640.5", \ + " 141.5, 172.5, 259.2, 464.0, 837.2, 1640.0", \ + " 144.0, 174.8, 260.9, 463.8, 836.2, 1639.4", \ + " 147.9, 178.7, 264.1, 465.0, 834.9, 1638.3", \ + " 155.2, 186.3, 271.1, 469.5, 836.3, 1636.4", \ + " 162.9, 196.2, 282.8, 479.2, 842.7, 1638.3", \ + " 170.5, 204.0, 297.1, 497.7, 857.7, 1647.4", \ + " 180.9, 215.2, 308.1, 523.0, 886.1, 1668.3", \ + " 194.6, 229.5, 324.1, 542.5, 930.2, 1709.4", \ + " 213.6, 249.5, 345.8, 566.0, 966.5, 1781.1" ); } +cell_fall(x3_292_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.6, 59.8, 77.8, 117.2, 186.7, 334.3", \ + " 60.7, 67.9, 86.0, 125.5, 195.2, 342.7", \ + " 66.2, 73.6, 91.8, 131.4, 201.0, 348.6", \ + " 72.4, 79.9, 98.3, 137.9, 207.6, 355.2", \ + " 81.0, 88.6, 107.3, 147.1, 216.9, 364.5", \ + " 91.0, 98.8, 117.7, 157.7, 227.5, 375.1", \ + " 103.2, 111.3, 130.6, 170.7, 240.7, 388.3", \ + " 117.7, 126.3, 146.2, 186.8, 256.7, 404.5", \ + " 135.2, 144.5, 165.5, 206.9, 277.1, 424.8", \ + " 156.5, 166.8, 189.4, 232.3, 303.2, 451.2" ); } +fall_transition(x3_292_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 43.0, 58.5, 100.4, 195.4, 364.7, 724.4", \ + " 43.1, 58.6, 100.5, 195.4, 364.7, 724.4", \ + " 43.6, 58.9, 100.6, 195.4, 364.7, 724.4", \ + " 44.8, 59.9, 101.1, 195.5, 364.7, 724.4", \ + " 46.4, 61.5, 102.1, 196.0, 364.8, 724.4", \ + " 49.2, 63.5, 103.4, 196.6, 365.0, 724.4", \ + " 53.3, 67.1, 105.6, 197.6, 365.4, 724.6", \ + " 59.3, 72.5, 109.6, 199.5, 366.1, 724.8", \ + " 67.7, 80.7, 116.4, 203.6, 367.9, 725.3", \ + " 79.3, 92.3, 127.1, 211.2, 372.4, 726.9" ); }} +} +} +cell(xor2v0x4) { /* 2008-01-06:08h06 characteristic delay 14.4 ps */ +area : 20 ; /* tracks */ +cell_leakage_power : 3592 ; /* xor2v0x4 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x4 FO4 effort 1.50 logical effort 1.26 */ +direction : input ; +capacitance : 12.76 ; +rise_capacitance : 12.71 ; +fall_capacitance : 12.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x4 */ +} +pin(b) { /* xor2v0x4 FO4 effort 1.81 logical effort 2.11 */ +direction : input ; +capacitance : 20.53 ; +rise_capacitance : 20.66 ; +fall_capacitance : 20.39 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x4 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 4 ; +max_capacitance : 337 ; +max_fanout : 10 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x4 57.84 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 28.60, 28.64, 28.68, 28.67, 28.61", \ + " 28.24, 28.33, 28.44, 28.50, 28.48", \ + " 28.38, 28.45, 28.57, 28.65, 28.65", \ + " 28.81, 28.84, 28.92, 29.00, 29.00", \ + " 29.95, 29.86, 29.80, 29.80, 29.77", \ + " 32.09, 31.80, 31.46, 31.23, 31.10", \ + " 35.90, 35.30, 34.46, 33.76, 33.34", \ + " 42.28, 41.24, 39.62, 38.07, 37.05", \ + " 52.83, 51.20, 48.43, 45.49, 43.38", \ + " 70.00, 67.66, 63.36, 58.26, 54.25" ); }} +internal_power(a_z_p) { /* xor2v0x4 71.79 nW/MHz */ +related_pin : "a" ; +power(pwr_x4_520_5x10) { +values( " 35.09, 35.48, 35.95, 36.25, 36.33", \ + " 34.58, 34.97, 35.50, 35.88, 36.01", \ + " 34.67, 35.02, 35.56, 35.97, 36.15", \ + " 35.12, 35.40, 35.90, 36.34, 36.55", \ + " 36.38, 36.53, 36.91, 37.32, 37.55", \ + " 38.74, 38.72, 38.87, 39.16, 39.36", \ + " 42.94, 42.66, 42.45, 42.46, 42.52", \ + " 49.89, 49.29, 48.54, 48.03, 47.79", \ + " 61.28, 60.24, 58.73, 57.38, 56.53", \ + " 79.66, 78.06, 75.52, 72.86, 70.96" ); }} +internal_power(b_z_n) { /* xor2v0x4 68.30 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 33.70, 33.91, 34.11, 34.20, 34.20", \ + " 32.89, 33.13, 33.47, 33.71, 33.79", \ + " 33.10, 33.28, 33.61, 33.89, 34.02", \ + " 33.81, 33.90, 34.15, 34.44, 34.59", \ + " 35.69, 35.62, 35.65, 35.82, 35.96", \ + " 39.17, 38.85, 38.51, 38.38, 38.39", \ + " 45.37, 44.73, 43.77, 43.00, 42.64", \ + " 55.68, 54.64, 52.86, 50.98, 49.78", \ + " 72.61, 71.08, 68.24, 64.71, 61.94", \ + " 99.96, 97.82, 93.65, 87.92, 82.66" ); }} +internal_power(b_z_p) { /* xor2v0x4 82.13 nW/MHz */ +related_pin : "b" ; +power(pwr_x4_520_5x10) { +values( " 40.70, 40.51, 40.09, 39.65, 39.32", \ + " 40.16, 40.05, 39.75, 39.35, 39.03", \ + " 40.58, 40.46, 40.18, 39.77, 39.43", \ + " 41.51, 41.38, 41.07, 40.63, 40.25", \ + " 43.72, 43.52, 43.11, 42.57, 42.10", \ + " 47.58, 47.26, 46.66, 45.91, 45.27", \ + " 54.20, 53.70, 52.78, 51.64, 50.67", \ + " 64.95, 64.19, 62.81, 61.04, 59.47", \ + " 82.45, 81.27, 79.16, 76.44, 73.90", \ + " 110.71, 108.98, 105.68, 101.45, 97.43" ); }} +timing() { /* ring osc delay xor2v0x4, path a to z 82.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 65.7 ; */ +/* intrinsic_fall : 56.7 ; */ +/* rise_resistance : 1.03 ; */ +/* fall_resistance : 0.73 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.4, 59.3, 93.4, 167.4, 297.9, 574.8", \ + " 53.2, 67.0, 101.2, 175.2, 305.7, 582.6", \ + " 59.3, 73.1, 107.3, 181.2, 311.7, 588.6", \ + " 67.4, 81.3, 115.5, 189.3, 319.8, 596.7", \ + " 79.5, 94.9, 129.9, 203.6, 334.0, 610.8", \ + " 93.6, 111.0, 149.8, 224.1, 354.3, 631.1", \ + " 111.5, 131.0, 175.0, 254.6, 384.8, 661.5", \ + " 134.3, 156.1, 205.8, 295.8, 429.3, 706.1", \ + " 164.0, 188.8, 245.0, 347.2, 494.4, 772.7", \ + " 203.4, 232.0, 296.3, 412.6, 580.2, 872.6" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 115.3, 156.3, 268.6, 525.6, 985.5, 1964.9", \ + " 118.9, 158.6, 269.4, 525.8, 985.5, 1964.9", \ + " 124.4, 163.0, 271.7, 526.3, 985.6, 1964.9", \ + " 132.9, 170.5, 276.9, 528.1, 985.9, 1964.9", \ + " 149.2, 185.3, 288.7, 534.9, 987.3, 1964.9", \ + " 173.6, 208.1, 308.2, 549.1, 994.5, 1965.3", \ + " 207.7, 243.5, 339.9, 574.8, 1012.3, 1970.4", \ + " 251.3, 290.1, 388.5, 616.9, 1045.7, 1989.2", \ + " 311.7, 353.1, 459.1, 684.4, 1103.7, 2030.9", \ + " 397.6, 442.0, 555.0, 791.5, 1199.8, 2109.3" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.3, 47.5, 72.3, 124.5, 214.8, 405.0", \ + " 44.4, 54.6, 79.6, 132.1, 222.5, 412.9", \ + " 49.8, 60.0, 85.2, 138.0, 228.7, 419.2", \ + " 55.8, 66.9, 92.6, 145.9, 237.0, 427.8", \ + " 63.1, 76.1, 104.5, 159.4, 251.5, 442.9", \ + " 69.9, 85.1, 118.1, 177.3, 271.5, 464.3", \ + " 76.0, 93.9, 132.7, 200.5, 299.6, 495.4", \ + " 80.5, 101.5, 147.1, 226.0, 336.7, 538.8", \ + " 82.1, 106.6, 160.5, 253.3, 381.3, 599.0", \ + " 77.9, 106.8, 170.6, 280.8, 430.6, 679.2" ); } +fall_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.5, 91.5, 162.5, 324.2, 613.8, 1233.2", \ + " 69.2, 93.9, 163.7, 324.8, 614.1, 1233.3", \ + " 75.1, 98.8, 166.7, 325.8, 614.5, 1233.4", \ + " 84.3, 107.1, 173.0, 329.0, 615.4, 1233.6", \ + " 101.8, 123.0, 186.5, 339.0, 619.7, 1234.3", \ + " 123.8, 146.6, 207.7, 356.9, 632.2, 1237.3", \ + " 153.9, 178.3, 241.9, 386.4, 657.1, 1250.7", \ + " 194.9, 221.1, 288.6, 432.8, 698.5, 1281.7", \ + " 252.6, 281.3, 353.4, 505.2, 764.7, 1339.2", \ + " 334.2, 366.9, 445.5, 606.8, 871.7, 1434.9" ); }} +timing() { /* ring osc delay xor2v0x4, path a to z 93.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 74.2 ; */ +/* intrinsic_fall : 87.7 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.78 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.2, 73.8, 108.3, 183.6, 316.0, 596.6", \ + " 66.9, 80.4, 114.9, 190.3, 322.8, 603.4", \ + " 71.7, 85.1, 119.5, 195.0, 327.5, 608.2", \ + " 77.1, 90.3, 124.6, 200.0, 332.7, 613.4", \ + " 83.7, 96.9, 131.0, 206.3, 339.0, 619.8", \ + " 90.1, 103.3, 137.2, 212.4, 345.1, 625.9", \ + " 96.4, 109.7, 143.4, 218.4, 351.0, 631.8", \ + " 101.7, 115.4, 149.1, 223.7, 356.3, 636.9", \ + " 104.7, 119.1, 153.3, 227.9, 360.2, 640.8", \ + " 103.3, 118.6, 153.9, 229.2, 361.9, 642.3" ); } +rise_transition(x4_520_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 73.3, 106.2, 195.1, 396.1, 753.8, 1513.6", \ + " 73.5, 106.3, 195.1, 396.1, 753.8, 1513.6", \ + " 73.9, 106.5, 195.1, 396.1, 753.8, 1513.6", \ + " 75.3, 107.3, 195.3, 396.1, 753.8, 1513.6", \ + " 78.1, 109.4, 196.3, 396.4, 753.8, 1513.6", \ + " 81.4, 112.3, 197.9, 396.9, 754.1, 1513.6", \ + " 86.4, 116.3, 200.6, 398.0, 754.5, 1513.9", \ + " 93.5, 122.7, 205.0, 400.2, 755.3, 1514.3", \ + " 103.3, 132.1, 212.8, 404.9, 757.8, 1515.3", \ + " 116.9, 145.5, 225.1, 414.3, 763.7, 1518.5" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 77.1, 103.8, 159.9, 258.1, 465.8", \ + " 73.6, 84.9, 111.6, 167.8, 266.0, 473.8", \ + " 79.8, 91.1, 117.8, 174.1, 272.3, 480.2", \ + " 87.8, 99.1, 125.8, 182.1, 280.5, 488.4", \ + " 100.2, 111.7, 138.4, 194.8, 293.2, 501.1", \ + " 114.6, 126.4, 153.7, 210.2, 308.5, 516.5", \ + " 132.9, 145.1, 172.9, 229.8, 328.2, 536.1", \ + " 156.1, 169.0, 197.5, 254.6, 353.2, 561.1", \ + " 186.4, 200.2, 230.1, 287.8, 386.4, 594.5", \ + " 226.5, 241.4, 273.4, 333.1, 432.2, 640.3" ); } +fall_transition(x4_520_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 49.3, 70.6, 128.1, 260.3, 497.8, 1003.8", \ + " 49.4, 70.7, 128.2, 260.3, 497.8, 1003.8", \ + " 49.6, 70.9, 128.2, 260.3, 497.8, 1003.8", \ + " 50.4, 71.4, 128.5, 260.3, 497.8, 1003.8", \ + " 53.6, 73.9, 129.7, 260.6, 497.8, 1003.8", \ + " 57.3, 77.6, 132.4, 261.8, 498.0, 1003.8", \ + " 62.0, 82.1, 135.9, 263.8, 498.9, 1003.8", \ + " 68.3, 88.4, 140.9, 266.7, 500.3, 1004.6", \ + " 77.1, 97.4, 148.9, 271.4, 502.6, 1005.5", \ + " 89.2, 110.0, 161.5, 280.9, 507.8, 1007.6" ); }} +timing() { /* ring osc delay xor2v0x4, path b to z 68.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.0 ; */ +/* intrinsic_fall : 36.1 ; */ +/* rise_resistance : 1.04 ; */ +/* fall_resistance : 0.68 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.7, 66.1, 99.9, 174.5, 306.6, 587.0", \ + " 60.0, 73.5, 107.4, 182.3, 314.5, 595.1", \ + " 65.9, 79.4, 113.4, 188.3, 320.6, 601.2", \ + " 73.6, 87.1, 121.1, 196.1, 328.4, 609.1", \ + " 85.0, 99.8, 134.2, 209.2, 341.6, 622.3", \ + " 98.5, 114.8, 152.4, 227.7, 360.2, 641.0", \ + " 116.2, 134.6, 176.3, 255.6, 388.2, 669.1", \ + " 139.5, 160.5, 207.4, 295.0, 429.8, 710.7", \ + " 171.3, 196.0, 249.7, 347.2, 492.5, 773.9", \ + " 215.3, 245.1, 308.1, 418.2, 578.9, 870.4" ); } +rise_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 82.4, 115.1, 205.7, 412.9, 783.9, 1573.1", \ + " 83.3, 115.7, 205.9, 413.0, 783.9, 1573.1", \ + " 84.7, 116.4, 206.2, 413.1, 783.9, 1573.1", \ + " 88.5, 119.4, 207.6, 413.4, 783.9, 1573.1", \ + " 96.0, 126.1, 212.7, 415.9, 784.3, 1573.1", \ + " 109.2, 137.4, 222.1, 422.8, 787.5, 1573.2", \ + " 124.8, 156.9, 239.2, 436.5, 796.9, 1575.8", \ + " 145.9, 180.4, 268.9, 460.7, 815.8, 1586.6", \ + " 178.2, 214.5, 308.7, 502.7, 850.4, 1610.9", \ + " 229.4, 266.8, 365.0, 570.8, 911.0, 1658.0" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 23.6, 31.0, 51.5, 100.3, 188.8, 377.7", \ + " 30.6, 37.6, 57.8, 106.5, 195.0, 383.9", \ + " 34.7, 42.7, 62.5, 110.9, 199.4, 388.3", \ + " 38.4, 47.6, 68.7, 116.4, 204.8, 393.8", \ + " 42.1, 53.0, 77.9, 125.9, 213.9, 402.9", \ + " 44.6, 57.2, 86.2, 139.7, 226.1, 414.9", \ + " 44.9, 59.7, 93.3, 154.9, 244.7, 431.6", \ + " 41.8, 59.0, 97.8, 168.6, 270.8, 455.9", \ + " 32.8, 52.8, 97.7, 179.2, 296.5, 495.7", \ + " 14.2, 37.5, 89.5, 183.9, 318.7, 546.8" ); } +fall_transition(x4_520_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 39.1, 70.5, 150.1, 321.2, 624.6, 1271.9", \ + " 46.2, 75.3, 152.4, 321.5, 624.4, 1271.4", \ + " 54.3, 81.8, 156.9, 323.9, 624.6, 1270.9", \ + " 66.0, 92.1, 164.6, 328.8, 626.7, 1270.3", \ + " 82.4, 112.0, 181.3, 340.4, 633.5, 1271.3", \ + " 103.6, 135.2, 207.5, 361.1, 647.2, 1277.6", \ + " 132.5, 166.6, 247.3, 397.6, 673.6, 1292.6", \ + " 171.1, 208.2, 298.0, 455.9, 722.1, 1322.8", \ + " 224.2, 264.6, 365.6, 542.0, 805.5, 1383.5", \ + " 298.1, 342.8, 456.9, 657.1, 939.8, 1498.2" ); }} +timing() { /* ring osc delay xor2v0x4, path b to z 88.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.8 ; */ +/* intrinsic_fall : 72.2 ; */ +/* rise_resistance : 0.97 ; */ +/* fall_resistance : 0.77 ; */ +cell_rise(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 50.8, 60.6, 89.4, 159.6, 288.1, 563.8", \ + " 58.2, 68.1, 96.9, 166.8, 295.0, 570.3", \ + " 64.0, 73.9, 102.5, 172.0, 299.8, 574.8", \ + " 71.5, 81.2, 109.4, 178.4, 305.7, 580.3", \ + " 84.2, 94.0, 120.9, 188.7, 315.0, 588.8", \ + " 97.0, 108.4, 137.4, 202.8, 327.4, 599.9", \ + " 109.3, 122.3, 155.8, 224.5, 346.0, 615.8", \ + " 121.3, 135.4, 172.1, 251.2, 374.4, 639.5", \ + " 133.5, 148.6, 187.4, 274.0, 415.4, 677.4", \ + " 145.6, 161.8, 202.4, 293.4, 451.0, 739.1" ); } +rise_transition(x4_520_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 138.1, 179.1, 295.8, 566.3, 1056.4, 2107.2", \ + " 139.1, 179.5, 294.1, 564.8, 1055.5, 2106.9", \ + " 142.0, 182.2, 295.7, 563.9, 1054.5, 2106.6", \ + " 146.4, 186.5, 298.9, 564.5, 1052.9, 2105.8", \ + " 154.5, 194.9, 306.1, 568.2, 1053.1, 2103.8", \ + " 162.6, 205.9, 318.3, 577.0, 1058.0, 2103.7", \ + " 171.3, 214.8, 335.2, 595.0, 1071.0, 2110.4", \ + " 182.4, 226.9, 348.3, 624.1, 1097.2, 2128.0", \ + " 196.7, 242.0, 365.0, 651.0, 1143.1, 2164.5", \ + " 216.2, 262.7, 387.9, 675.4, 1196.9, 2232.6" ); } +cell_fall(x4_520_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.8, 63.8, 88.8, 144.0, 241.8, 449.4", \ + " 61.9, 71.9, 97.1, 152.4, 250.3, 457.8", \ + " 67.5, 77.6, 102.9, 158.3, 256.2, 463.8", \ + " 73.7, 84.0, 109.5, 164.9, 262.8, 470.4", \ + " 82.4, 92.9, 118.7, 174.3, 272.2, 479.8", \ + " 92.5, 103.3, 129.2, 185.0, 283.0, 490.6", \ + " 104.9, 116.1, 142.4, 198.2, 296.3, 504.0", \ + " 119.8, 131.5, 158.5, 214.6, 312.7, 520.4", \ + " 137.9, 150.4, 178.5, 235.3, 333.5, 541.2", \ + " 160.0, 173.8, 203.6, 261.6, 360.4, 568.2" ); } +fall_transition(x4_520_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 44.4, 66.4, 125.5, 259.3, 497.6, 1003.8", \ + " 44.5, 66.4, 125.5, 259.3, 497.6, 1003.8", \ + " 44.9, 66.6, 125.5, 259.3, 497.6, 1003.8", \ + " 46.2, 67.5, 125.8, 259.4, 497.6, 1003.8", \ + " 47.8, 68.9, 126.6, 259.7, 497.6, 1003.8", \ + " 50.4, 70.6, 127.7, 260.0, 497.8, 1003.8", \ + " 54.4, 73.9, 129.3, 260.6, 498.0, 1003.9", \ + " 60.2, 79.0, 132.6, 261.8, 498.3, 1004.0", \ + " 68.4, 86.8, 138.4, 264.8, 499.3, 1004.3", \ + " 79.8, 98.2, 148.1, 270.9, 502.3, 1005.3" ); }} +} +} +cell(xor2v0x6) { /* 2008-01-06:08h07 characteristic delay 14.4 ps */ +area : 30 ; /* tracks */ +cell_leakage_power : 5198 ; /* xor2v0x6 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v0x6 FO4 effort 1.52 logical effort 1.26 */ +direction : input ; +capacitance : 18.17 ; +rise_capacitance : 18.11 ; +fall_capacitance : 18.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v0x6 */ +} +pin(b) { /* xor2v0x6 FO4 effort 1.81 logical effort 2.10 */ +direction : input ; +capacitance : 29.34 ; +rise_capacitance : 29.54 ; +fall_capacitance : 29.14 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v0x6 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 6 ; +max_capacitance : 472 ; +max_fanout : 15 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v0x6 86.34 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 42.75, 42.81, 42.85, 42.83, 42.74", \ + " 42.22, 42.35, 42.50, 42.58, 42.54", \ + " 42.41, 42.52, 42.69, 42.79, 42.77", \ + " 43.00, 43.05, 43.17, 43.27, 43.27", \ + " 44.59, 44.47, 44.40, 44.40, 44.36", \ + " 47.59, 47.19, 46.72, 46.42, 46.24", \ + " 52.95, 52.11, 50.94, 49.97, 49.39", \ + " 61.96, 60.47, 58.19, 56.04, 54.64", \ + " 76.91, 74.57, 70.64, 66.50, 63.55", \ + " 101.31, 97.93, 91.75, 84.52, 78.90" ); }} +internal_power(a_z_p) { /* xor2v0x6 106.76 nW/MHz */ +related_pin : "a" ; +power(pwr_x6_780_5x10) { +values( " 52.25, 52.81, 53.50, 53.93, 54.06", \ + " 51.52, 52.08, 52.85, 53.39, 53.59", \ + " 51.62, 52.14, 52.91, 53.52, 53.77", \ + " 52.24, 52.65, 53.38, 54.02, 54.32", \ + " 54.00, 54.23, 54.78, 55.39, 55.71", \ + " 57.33, 57.30, 57.54, 57.97, 58.26", \ + " 63.26, 62.87, 62.59, 62.61, 62.73", \ + " 73.13, 72.25, 71.20, 70.49, 70.17", \ + " 89.33, 87.81, 85.65, 83.74, 82.56", \ + " 115.54, 113.19, 109.52, 105.73, 103.04" ); }} +internal_power(b_z_n) { /* xor2v0x6 98.87 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 48.78, 49.07, 49.34, 49.47, 49.46", \ + " 47.63, 47.98, 48.45, 48.78, 48.89", \ + " 47.93, 48.20, 48.66, 49.05, 49.21", \ + " 48.94, 49.08, 49.44, 49.83, 50.03", \ + " 51.63, 51.52, 51.57, 51.82, 52.00", \ + " 56.60, 56.14, 55.65, 55.47, 55.48", \ + " 65.46, 64.53, 63.15, 62.06, 61.54", \ + " 80.23, 78.70, 76.10, 73.41, 71.72", \ + " 104.48, 102.22, 98.05, 92.95, 89.02", \ + " 143.66, 140.50, 134.36, 126.02, 118.49" ); }} +internal_power(b_z_p) { /* xor2v0x6 119.38 nW/MHz */ +related_pin : "b" ; +power(pwr_x6_780_5x10) { +values( " 59.20, 58.91, 58.29, 57.63, 57.16", \ + " 58.42, 58.25, 57.79, 57.21, 56.74", \ + " 59.01, 58.84, 58.42, 57.82, 57.32", \ + " 60.35, 60.15, 59.69, 59.05, 58.49", \ + " 63.52, 63.22, 62.62, 61.83, 61.14", \ + " 69.05, 68.58, 67.71, 66.62, 65.69", \ + " 78.55, 77.81, 76.48, 74.83, 73.43", \ + " 93.98, 92.86, 90.85, 88.27, 86.02", \ + " 119.12, 117.38, 114.28, 110.32, 106.65", \ + " 159.72, 157.16, 152.31, 146.13, 140.32" ); }} +timing() { /* ring osc delay xor2v0x6, path a to z 84.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.2 ; */ +/* intrinsic_fall : 58.4 ; */ +/* rise_resistance : 0.71 ; */ +/* fall_resistance : 0.51 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.1, 61.5, 97.1, 174.3, 310.5, 599.6", \ + " 54.9, 69.3, 104.9, 182.1, 318.3, 607.5", \ + " 61.0, 75.4, 111.0, 188.1, 324.3, 613.5", \ + " 69.1, 83.6, 119.2, 196.2, 332.4, 621.5", \ + " 81.4, 97.3, 133.6, 210.5, 346.6, 635.6", \ + " 95.8, 113.7, 153.6, 231.0, 366.8, 655.8", \ + " 113.9, 134.0, 179.3, 261.4, 397.3, 686.1", \ + " 136.8, 159.4, 210.5, 303.2, 441.8, 730.6", \ + " 166.7, 192.4, 250.3, 355.6, 507.1, 797.2", \ + " 206.3, 235.8, 302.0, 421.9, 594.4, 897.0" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.4, 162.0, 278.6, 545.7, 1023.8, 2041.9", \ + " 122.9, 164.1, 279.5, 546.0, 1023.8, 2041.8", \ + " 128.2, 168.4, 281.6, 546.5, 1023.9, 2041.8", \ + " 136.6, 175.7, 286.6, 548.0, 1024.2, 2041.9", \ + " 152.9, 190.4, 298.2, 554.5, 1025.4, 2041.9", \ + " 177.2, 213.2, 317.5, 568.3, 1032.1, 2042.2", \ + " 212.0, 248.6, 349.0, 593.7, 1049.2, 2046.5", \ + " 256.2, 296.1, 397.6, 635.5, 1082.0, 2064.2", \ + " 317.3, 359.9, 469.2, 702.8, 1139.4, 2104.7", \ + " 404.0, 449.8, 566.3, 809.9, 1234.9, 2181.7" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.0, 49.7, 75.8, 130.9, 226.1, 426.6", \ + " 46.1, 56.8, 83.1, 138.4, 233.8, 434.5", \ + " 51.5, 62.2, 88.7, 144.3, 239.9, 440.8", \ + " 57.7, 69.2, 96.1, 152.3, 248.2, 449.3", \ + " 65.4, 78.9, 108.3, 165.9, 262.8, 464.5", \ + " 72.7, 88.4, 122.5, 184.0, 282.9, 486.0", \ + " 79.4, 97.8, 137.8, 207.9, 311.3, 517.3", \ + " 84.6, 106.1, 153.2, 234.6, 349.1, 561.1", \ + " 86.9, 112.2, 167.7, 263.3, 395.6, 622.1", \ + " 83.9, 113.6, 179.3, 292.7, 447.2, 704.3" ); } +fall_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.9, 96.2, 171.1, 341.8, 647.3, 1300.7", \ + " 72.3, 98.5, 172.3, 342.4, 647.6, 1300.8", \ + " 78.0, 103.2, 175.1, 343.3, 648.0, 1300.9", \ + " 87.2, 111.3, 181.2, 346.2, 648.9, 1301.1", \ + " 104.6, 127.1, 194.5, 355.8, 652.7, 1301.8", \ + " 127.1, 151.0, 215.5, 373.4, 664.5, 1304.3", \ + " 157.6, 183.1, 249.4, 402.7, 688.9, 1316.6", \ + " 199.0, 226.3, 296.9, 448.6, 729.9, 1346.5", \ + " 257.1, 287.0, 362.4, 521.3, 795.6, 1403.1", \ + " 339.5, 373.3, 455.3, 624.1, 901.7, 1498.0" ); }} +timing() { /* ring osc delay xor2v0x6, path a to z 94.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 76.6 ; */ +/* intrinsic_fall : 88.7 ; */ +/* rise_resistance : 0.72 ; */ +/* fall_resistance : 0.52 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 76.6, 112.5, 190.9, 328.7, 620.9", \ + " 69.2, 83.2, 119.2, 197.6, 335.6, 627.9", \ + " 74.1, 88.0, 123.9, 202.4, 340.5, 632.8", \ + " 79.6, 93.4, 129.1, 207.7, 345.8, 638.1", \ + " 86.6, 100.4, 135.9, 214.4, 352.5, 644.9", \ + " 93.5, 107.2, 142.5, 220.9, 359.0, 651.4", \ + " 100.3, 114.2, 149.2, 227.4, 365.4, 657.8", \ + " 106.2, 120.5, 155.5, 233.2, 371.2, 663.5", \ + " 110.1, 125.0, 160.6, 238.2, 375.9, 668.1", \ + " 109.8, 125.6, 162.3, 240.6, 378.6, 670.6" ); } +rise_transition(x6_780_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 75.4, 109.5, 202.0, 411.3, 783.8, 1575.2", \ + " 75.5, 109.6, 202.0, 411.3, 783.8, 1575.2", \ + " 75.9, 109.8, 202.0, 411.3, 783.8, 1575.2", \ + " 77.2, 110.6, 202.2, 411.3, 783.8, 1575.2", \ + " 80.0, 112.7, 203.2, 411.6, 783.8, 1575.2", \ + " 83.4, 115.7, 204.8, 412.1, 784.0, 1575.2", \ + " 88.4, 119.6, 207.5, 413.1, 784.4, 1575.4", \ + " 95.5, 126.0, 211.8, 415.3, 785.3, 1575.8", \ + " 105.3, 135.3, 219.6, 419.8, 787.6, 1576.7", \ + " 118.7, 148.7, 231.8, 429.1, 793.3, 1579.8" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.6, 78.1, 104.8, 160.9, 259.1, 466.8", \ + " 74.4, 85.8, 112.6, 168.8, 267.0, 474.8", \ + " 80.7, 92.0, 118.8, 175.1, 273.3, 481.1", \ + " 88.8, 100.1, 126.9, 183.2, 281.5, 489.4", \ + " 101.3, 112.8, 139.7, 196.0, 294.3, 502.3", \ + " 115.8, 127.7, 155.2, 211.6, 309.9, 517.8", \ + " 134.2, 146.6, 174.5, 231.4, 329.8, 537.6", \ + " 157.5, 170.5, 199.3, 256.4, 355.0, 562.8", \ + " 187.8, 201.7, 231.9, 289.8, 388.3, 596.4", \ + " 227.7, 242.8, 275.2, 335.1, 434.1, 642.2" ); } +fall_transition(x6_780_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 49.5, 70.8, 128.2, 260.2, 497.6, 1003.6", \ + " 49.6, 70.9, 128.3, 260.2, 497.6, 1003.6", \ + " 49.8, 71.1, 128.3, 260.2, 497.6, 1003.6", \ + " 50.6, 71.6, 128.6, 260.3, 497.6, 1003.6", \ + " 53.7, 74.1, 129.8, 260.6, 497.6, 1003.6", \ + " 57.6, 77.9, 132.6, 261.8, 497.8, 1003.6", \ + " 62.4, 82.5, 136.3, 263.8, 498.8, 1003.6", \ + " 68.8, 88.9, 141.4, 266.8, 500.2, 1004.4", \ + " 77.7, 98.0, 149.6, 271.8, 502.6, 1005.3", \ + " 90.0, 110.9, 162.4, 281.4, 507.8, 1007.4" ); }} +timing() { /* ring osc delay xor2v0x6, path b to z 69.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.2 ; */ +/* intrinsic_fall : 36.5 ; */ +/* rise_resistance : 0.72 ; */ +/* fall_resistance : 0.48 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.1, 67.1, 102.1, 179.8, 317.3, 609.3", \ + " 60.4, 74.4, 109.7, 187.6, 325.3, 617.4", \ + " 66.4, 80.3, 115.7, 193.6, 331.4, 623.5", \ + " 74.0, 88.0, 123.4, 201.4, 339.2, 631.4", \ + " 85.4, 100.7, 136.4, 214.4, 352.3, 644.6", \ + " 98.9, 115.8, 154.6, 232.9, 370.8, 663.1", \ + " 116.6, 135.5, 178.7, 260.7, 398.7, 691.1", \ + " 139.9, 161.5, 209.9, 300.2, 440.1, 732.6", \ + " 171.5, 197.0, 252.3, 352.8, 502.8, 795.6", \ + " 215.2, 246.0, 310.8, 424.1, 589.8, 891.7" ); } +rise_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 84.1, 118.3, 212.7, 428.6, 815.0, 1637.1", \ + " 85.1, 118.9, 212.9, 428.7, 815.0, 1637.1", \ + " 86.4, 119.5, 213.2, 428.8, 815.0, 1637.1", \ + " 90.2, 122.5, 214.5, 429.1, 815.1, 1637.1", \ + " 97.6, 129.1, 219.6, 431.5, 815.4, 1637.1", \ + " 110.6, 140.3, 228.9, 438.2, 818.4, 1637.1", \ + " 126.5, 160.0, 245.8, 451.7, 827.6, 1639.4", \ + " 147.7, 183.6, 275.4, 475.7, 846.1, 1649.7", \ + " 180.2, 218.0, 315.8, 517.4, 880.3, 1673.3", \ + " 231.5, 270.6, 372.6, 586.0, 940.3, 1719.6" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 24.2, 31.9, 53.5, 104.9, 198.2, 397.2", \ + " 31.1, 38.5, 59.8, 111.1, 204.4, 403.4", \ + " 35.4, 43.6, 64.4, 115.5, 208.7, 407.7", \ + " 39.1, 48.7, 70.6, 121.0, 214.2, 413.3", \ + " 43.1, 54.3, 80.1, 130.5, 223.3, 422.4", \ + " 45.7, 58.8, 88.8, 144.4, 235.4, 434.5", \ + " 46.4, 61.7, 96.5, 160.4, 253.9, 451.3", \ + " 43.6, 61.4, 101.6, 174.9, 280.8, 475.3", \ + " 35.2, 55.9, 102.4, 186.8, 308.1, 514.6", \ + " 17.3, 41.5, 95.3, 192.9, 332.3, 568.4" ); } +fall_transition(x6_780_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 41.0, 74.3, 158.0, 338.4, 658.5, 1341.5", \ + " 47.8, 78.9, 160.1, 338.6, 658.3, 1341.0", \ + " 55.7, 85.3, 164.5, 340.8, 658.3, 1340.5", \ + " 67.6, 95.4, 172.0, 345.5, 660.1, 1339.7", \ + " 84.1, 115.0, 188.3, 356.7, 666.6, 1340.3", \ + " 105.5, 138.8, 214.3, 376.9, 679.7, 1346.0", \ + " 134.5, 170.6, 254.5, 412.8, 705.3, 1360.1", \ + " 173.4, 212.5, 305.8, 470.5, 752.5, 1389.1", \ + " 226.8, 269.4, 374.4, 557.5, 834.7, 1447.5", \ + " 301.2, 348.1, 466.8, 674.4, 968.1, 1559.4" ); }} +timing() { /* ring osc delay xor2v0x6, path b to z 88.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 64.7 ; */ +/* intrinsic_fall : 71.8 ; */ +/* rise_resistance : 0.68 ; */ +/* fall_resistance : 0.51 ; */ +cell_rise(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.1, 62.4, 92.6, 166.1, 300.4, 588.2", \ + " 59.4, 69.8, 100.1, 173.3, 307.3, 594.9", \ + " 65.2, 75.6, 105.7, 178.5, 312.1, 599.4", \ + " 72.6, 82.9, 112.6, 184.9, 318.0, 604.9", \ + " 85.4, 95.6, 124.0, 195.2, 327.3, 613.4", \ + " 98.4, 110.3, 140.4, 209.1, 339.7, 624.5", \ + " 111.0, 124.5, 159.2, 230.5, 358.1, 640.4", \ + " 123.3, 138.0, 176.1, 258.0, 386.1, 663.9", \ + " 136.0, 151.6, 191.9, 281.9, 427.7, 701.2", \ + " 148.9, 165.6, 207.7, 302.3, 465.4, 761.9" ); } +rise_transition(x6_780_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 141.7, 184.6, 306.1, 587.1, 1096.8, 2189.2", \ + " 142.1, 184.4, 303.9, 585.8, 1095.9, 2188.9", \ + " 144.7, 186.8, 305.2, 584.6, 1095.0, 2188.6", \ + " 148.7, 190.7, 308.0, 584.8, 1093.4, 2187.9", \ + " 156.2, 198.4, 314.5, 587.9, 1093.2, 2186.1", \ + " 163.9, 208.8, 325.9, 596.1, 1097.7, 2185.5", \ + " 171.7, 217.1, 342.4, 613.3, 1110.0, 2191.6", \ + " 182.2, 228.6, 355.7, 641.8, 1135.0, 2208.4", \ + " 196.0, 243.2, 371.5, 669.7, 1179.7, 2243.4", \ + " 215.1, 263.5, 393.9, 693.6, 1235.2, 2309.3" ); } +cell_fall(x6_780_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 53.5, 63.4, 88.4, 143.7, 241.5, 449.1", \ + " 61.5, 71.6, 96.7, 152.1, 249.9, 457.5", \ + " 67.1, 77.3, 102.5, 157.9, 255.8, 463.4", \ + " 73.3, 83.6, 109.0, 164.5, 262.4, 470.0", \ + " 81.9, 92.5, 118.2, 173.8, 271.7, 479.3", \ + " 91.9, 102.7, 128.7, 184.4, 282.4, 490.0", \ + " 104.2, 115.4, 141.7, 197.5, 295.6, 503.2", \ + " 118.8, 130.6, 157.5, 213.6, 311.7, 519.4", \ + " 136.6, 149.1, 177.2, 233.9, 332.1, 539.9", \ + " 158.1, 171.9, 201.7, 259.7, 358.5, 566.3" ); } +fall_transition(x6_780_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 44.1, 66.0, 125.2, 259.1, 497.4, 1003.6", \ + " 44.2, 66.1, 125.2, 259.1, 497.4, 1003.6", \ + " 44.6, 66.3, 125.3, 259.1, 497.4, 1003.6", \ + " 45.9, 67.2, 125.6, 259.1, 497.4, 1003.6", \ + " 47.5, 68.6, 126.4, 259.4, 497.4, 1003.6", \ + " 50.1, 70.4, 127.5, 259.8, 497.6, 1003.6", \ + " 54.1, 73.7, 129.0, 260.4, 497.8, 1003.7", \ + " 59.9, 78.8, 132.4, 261.5, 498.1, 1003.8", \ + " 68.3, 86.7, 138.2, 264.6, 499.2, 1004.1", \ + " 79.8, 98.1, 147.9, 270.7, 502.1, 1005.2" ); }} +} +} +cell(xor2v1x05) { /* 2008-01-06:08h07 characteristic delay 19.4 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 624 ; /* xor2v1x05 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v1x05 FO4 effort 1.76 logical effort 1.47 */ +direction : input ; +capacitance : 2.24 ; +rise_capacitance : 2.23 ; +fall_capacitance : 2.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v1x05 */ +} +pin(b) { /* xor2v1x05 FO4 effort 2.12 logical effort 3.06 */ +direction : input ; +capacitance : 4.17 ; +rise_capacitance : 4.11 ; +fall_capacitance : 4.22 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 47 ; +max_fanout : 2 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v1x05 16.78 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 8.25, 8.29, 8.41, 8.70, 9.30", \ + " 8.18, 8.22, 8.35, 8.65, 9.25", \ + " 8.18, 8.23, 8.35, 8.65, 9.24", \ + " 8.25, 8.28, 8.39, 8.67, 9.25", \ + " 8.45, 8.48, 8.56, 8.79, 9.33", \ + " 8.84, 8.86, 8.92, 9.10, 9.54", \ + " 9.52, 9.52, 9.56, 9.71, 10.04", \ + " 10.64, 10.61, 10.60, 10.72, 10.99", \ + " 12.49, 12.41, 12.31, 12.33, 12.57", \ + " 15.49, 15.34, 15.10, 14.94, 15.06" ); }} +internal_power(a_z_p) { /* xor2v1x05 16.76 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_65_5x10) { +values( " 8.30, 8.33, 8.40, 8.55, 8.85", \ + " 8.21, 8.24, 8.32, 8.48, 8.78", \ + " 8.21, 8.25, 8.32, 8.48, 8.78", \ + " 8.28, 8.31, 8.38, 8.54, 8.83", \ + " 8.49, 8.51, 8.57, 8.70, 8.98", \ + " 8.88, 8.90, 8.95, 9.05, 9.28", \ + " 9.57, 9.58, 9.61, 9.70, 9.88", \ + " 10.72, 10.70, 10.71, 10.78, 10.92", \ + " 12.59, 12.54, 12.49, 12.51, 12.64", \ + " 15.61, 15.52, 15.38, 15.29, 15.36" ); }} +internal_power(b_z_n) { /* xor2v1x05 8.47 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 4.09, 4.16, 4.24, 4.33, 4.50", \ + " 3.95, 4.01, 4.11, 4.23, 4.42", \ + " 4.01, 4.05, 4.13, 4.24, 4.43", \ + " 4.18, 4.19, 4.23, 4.33, 4.51", \ + " 4.60, 4.56, 4.54, 4.58, 4.71", \ + " 5.35, 5.25, 5.14, 5.09, 5.13", \ + " 6.63, 6.47, 6.23, 6.04, 5.96", \ + " 8.70, 8.45, 8.06, 7.68, 7.42", \ + " 11.99, 11.64, 11.06, 10.42, 9.93", \ + " 17.15, 16.71, 15.91, 14.94, 14.09" ); }} +internal_power(b_z_p) { /* xor2v1x05 10.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_65_5x10) { +values( " 5.35, 5.32, 5.26, 5.32, 5.69", \ + " 5.28, 5.24, 5.19, 5.25, 5.60", \ + " 5.36, 5.32, 5.25, 5.29, 5.61", \ + " 5.54, 5.48, 5.40, 5.39, 5.67", \ + " 5.96, 5.88, 5.75, 5.66, 5.85", \ + " 6.67, 6.56, 6.37, 6.19, 6.23", \ + " 7.85, 7.71, 7.46, 7.17, 7.02", \ + " 9.74, 9.54, 9.21, 8.80, 8.47", \ + " 12.76, 12.48, 12.01, 11.44, 10.92", \ + " 17.58, 17.20, 16.50, 15.69, 14.93" ); }} +timing() { /* ring osc delay xor2v1x05, path a to z 109.2 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.0 ; */ +/* intrinsic_fall : 66.1 ; */ +/* rise_resistance : 6.59 ; */ +/* fall_resistance : 5.09 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.9, 67.2, 96.1, 157.0, 259.5, 476.3", \ + " 63.6, 75.0, 103.9, 164.8, 267.3, 484.1", \ + " 69.9, 81.1, 110.0, 170.8, 273.3, 490.1", \ + " 78.3, 89.5, 118.2, 178.9, 281.4, 498.1", \ + " 92.4, 104.1, 132.7, 193.3, 295.7, 512.3", \ + " 108.7, 122.0, 153.2, 214.1, 316.2, 532.6", \ + " 129.0, 144.0, 179.4, 245.0, 347.0, 563.1", \ + " 154.5, 171.3, 211.3, 285.9, 392.2, 607.9", \ + " 187.7, 206.6, 251.6, 336.5, 456.4, 675.1", \ + " 231.7, 253.2, 304.4, 400.7, 537.7, 775.2" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 142.9, 174.4, 263.0, 469.9, 843.4, 1641.7", \ + " 146.2, 177.0, 264.3, 470.5, 843.7, 1641.8", \ + " 151.6, 181.6, 267.5, 471.7, 844.0, 1641.9", \ + " 160.1, 189.4, 273.7, 475.2, 845.1, 1642.0", \ + " 176.8, 205.1, 287.0, 484.7, 850.0, 1642.8", \ + " 202.7, 229.8, 308.9, 502.1, 861.9, 1646.9", \ + " 243.2, 268.8, 344.6, 532.2, 885.2, 1660.5", \ + " 295.3, 323.9, 399.1, 580.7, 925.7, 1689.2", \ + " 365.2, 396.2, 478.1, 656.7, 993.2, 1742.8", \ + " 463.0, 496.5, 584.4, 774.0, 1101.7, 1836.1" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 55.4, 77.2, 123.9, 203.2, 370.6", \ + " 53.9, 62.6, 84.4, 131.0, 210.4, 377.8", \ + " 59.4, 68.0, 89.8, 136.5, 215.9, 383.3", \ + " 66.3, 75.2, 97.1, 143.8, 223.3, 390.6", \ + " 75.5, 85.8, 109.2, 156.4, 236.1, 403.5", \ + " 84.4, 96.3, 123.4, 173.4, 253.9, 421.7", \ + " 92.9, 106.8, 138.5, 195.8, 279.5, 448.4", \ + " 100.3, 116.3, 153.4, 220.2, 314.0, 486.1", \ + " 105.3, 123.9, 167.2, 245.6, 354.5, 539.4", \ + " 105.6, 127.3, 178.0, 270.5, 398.8, 609.6" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.0, 106.2, 159.7, 287.6, 521.6, 1024.1", \ + " 90.0, 108.6, 161.2, 288.3, 521.9, 1024.2", \ + " 95.5, 113.3, 164.3, 289.6, 522.3, 1024.4", \ + " 104.6, 121.6, 170.9, 293.2, 523.4, 1024.6", \ + " 122.5, 138.4, 185.2, 303.6, 528.3, 1025.4", \ + " 149.2, 164.3, 208.2, 322.3, 541.2, 1029.4", \ + " 184.2, 200.5, 245.2, 353.8, 566.6, 1043.8", \ + " 230.4, 247.9, 295.5, 403.7, 609.2, 1074.9", \ + " 294.2, 313.2, 364.2, 478.8, 678.9, 1131.9", \ + " 383.6, 405.0, 460.6, 582.4, 790.5, 1228.9" ); }} +timing() { /* ring osc delay xor2v1x05, path a to z 123.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.9 ; */ +/* intrinsic_fall : 102.4 ; */ +/* rise_resistance : 6.43 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.8, 85.2, 111.7, 169.6, 271.8, 488.7", \ + " 81.8, 92.2, 118.7, 176.6, 278.9, 495.8", \ + " 87.0, 97.4, 123.9, 181.8, 284.1, 501.1", \ + " 92.9, 103.3, 129.7, 187.7, 290.0, 507.0", \ + " 100.5, 110.9, 137.4, 195.3, 297.6, 514.6", \ + " 107.9, 118.3, 144.9, 202.9, 305.1, 522.1", \ + " 115.3, 125.9, 152.5, 210.4, 312.7, 529.7", \ + " 122.1, 132.9, 159.7, 217.7, 320.0, 537.0", \ + " 127.1, 138.3, 165.7, 224.1, 326.5, 543.6", \ + " 128.2, 140.0, 168.5, 227.8, 330.9, 548.3" ); } +rise_transition(x05_65_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 113.9, 147.4, 240.3, 454.4, 839.1, 1660.0", \ + " 114.1, 147.5, 240.3, 454.4, 839.1, 1660.0", \ + " 114.5, 147.9, 240.5, 454.5, 839.2, 1660.0", \ + " 116.0, 149.2, 241.3, 454.9, 839.3, 1660.0", \ + " 119.0, 151.7, 243.2, 456.0, 839.8, 1660.1", \ + " 122.9, 155.1, 245.7, 457.6, 840.7, 1660.5", \ + " 128.0, 159.8, 249.5, 459.9, 841.9, 1661.0", \ + " 135.8, 166.8, 255.1, 463.8, 844.2, 1662.0", \ + " 147.1, 177.4, 264.1, 470.6, 848.7, 1664.3", \ + " 163.1, 192.8, 278.0, 481.8, 857.1, 1669.6" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.1, 89.6, 110.5, 155.6, 234.7, 402.2", \ + " 88.9, 97.3, 118.3, 163.5, 242.6, 410.1", \ + " 95.1, 103.6, 124.6, 169.8, 248.9, 416.4", \ + " 103.2, 111.8, 132.8, 178.0, 257.2, 424.7", \ + " 115.9, 124.6, 145.8, 191.1, 270.2, 437.8", \ + " 130.8, 139.7, 161.3, 206.8, 286.1, 453.8", \ + " 149.6, 158.7, 180.8, 226.7, 306.3, 474.0", \ + " 173.3, 182.9, 205.5, 252.0, 331.8, 499.8", \ + " 204.3, 214.5, 238.1, 285.4, 365.6, 534.0", \ + " 245.1, 256.2, 281.4, 330.3, 411.5, 580.4" ); } +fall_transition(x05_65_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 72.9, 93.1, 148.7, 278.0, 512.6, 1016.3", \ + " 73.0, 93.2, 148.8, 278.0, 512.6, 1016.3", \ + " 73.3, 93.4, 148.9, 278.0, 512.6, 1016.3", \ + " 74.2, 94.2, 149.4, 278.3, 512.7, 1016.3", \ + " 77.3, 96.8, 151.3, 279.2, 513.1, 1016.3", \ + " 81.5, 100.7, 154.4, 281.3, 514.1, 1016.5", \ + " 86.7, 105.6, 158.6, 284.1, 515.7, 1017.3", \ + " 94.0, 112.4, 164.2, 288.2, 518.1, 1018.2", \ + " 104.2, 122.3, 172.8, 294.6, 522.1, 1019.8", \ + " 118.5, 136.4, 186.0, 305.3, 529.5, 1023.6" ); }} +timing() { /* ring osc delay xor2v1x05, path b to z 73.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 49.8 ; */ +/* intrinsic_fall : 36.5 ; */ +/* rise_resistance : 6.42 ; */ +/* fall_resistance : 5.00 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 30.2, 42.0, 68.4, 126.8, 229.6, 447.1", \ + " 37.2, 48.7, 75.2, 133.7, 236.8, 454.3", \ + " 43.1, 54.6, 80.8, 139.2, 242.2, 459.7", \ + " 49.1, 62.7, 88.4, 146.2, 249.0, 466.5", \ + " 58.1, 73.6, 101.8, 157.8, 259.9, 477.0", \ + " 69.4, 86.9, 117.7, 173.9, 274.0, 489.9", \ + " 84.5, 104.4, 137.8, 197.2, 294.2, 506.7", \ + " 104.5, 127.4, 164.0, 225.8, 325.8, 530.8", \ + " 131.6, 158.4, 199.2, 263.9, 368.4, 570.9", \ + " 169.0, 200.7, 247.2, 316.3, 425.2, 637.4" ); } +rise_transition(x05_65_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 90.5, 135.3, 240.9, 473.0, 886.5, 1767.5", \ + " 95.8, 139.2, 242.7, 472.7, 886.3, 1767.3", \ + " 102.7, 145.3, 247.3, 475.0, 886.2, 1767.1", \ + " 113.1, 154.7, 254.7, 479.4, 888.0, 1766.6", \ + " 133.3, 173.1, 269.5, 488.7, 892.8, 1767.8", \ + " 167.2, 201.6, 293.1, 504.6, 901.1, 1770.8", \ + " 199.2, 249.8, 332.1, 533.3, 917.1, 1776.5", \ + " 246.7, 298.9, 394.4, 582.8, 949.0, 1789.0", \ + " 321.8, 374.1, 479.0, 666.4, 1012.4, 1819.7", \ + " 437.1, 489.3, 596.8, 801.5, 1128.4, 1890.4" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 21.8, 29.2, 49.2, 94.4, 173.6, 341.0", \ + " 28.4, 35.9, 55.8, 101.3, 180.6, 348.0", \ + " 31.6, 40.8, 60.9, 106.1, 185.4, 352.8", \ + " 34.3, 45.2, 67.7, 112.1, 191.1, 358.3", \ + " 37.0, 50.2, 77.0, 121.9, 199.8, 366.5", \ + " 38.7, 54.3, 85.6, 135.8, 211.7, 376.6", \ + " 38.6, 57.2, 94.1, 150.9, 230.6, 391.6", \ + " 35.6, 57.8, 101.5, 165.4, 255.2, 415.5", \ + " 27.8, 54.3, 106.9, 179.3, 279.7, 455.3", \ + " 11.8, 43.6, 107.7, 191.5, 303.6, 501.0" ); } +fall_transition(x05_65_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 51.1, 80.6, 151.4, 303.5, 572.9, 1146.7", \ + " 57.1, 85.5, 154.4, 304.3, 572.7, 1146.6", \ + " 64.2, 92.1, 159.6, 307.4, 573.8, 1146.2", \ + " 75.4, 102.1, 168.0, 313.0, 576.9, 1146.8", \ + " 93.2, 121.7, 184.9, 324.9, 584.1, 1150.0", \ + " 113.0, 147.9, 211.6, 345.7, 597.5, 1157.2", \ + " 145.2, 181.4, 254.8, 382.3, 623.6, 1172.5", \ + " 193.2, 231.4, 308.8, 441.1, 671.1, 1202.8", \ + " 264.6, 305.5, 388.0, 529.7, 753.0, 1262.1", \ + " 374.8, 415.5, 505.6, 654.3, 888.0, 1370.9" ); }} +timing() { /* ring osc delay xor2v1x05, path b to z 88.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 52.9 ; */ +/* intrinsic_fall : 64.9 ; */ +/* rise_resistance : 6.04 ; */ +/* fall_resistance : 4.53 ; */ +cell_rise(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 40.4, 47.4, 68.6, 123.1, 223.7, 439.6", \ + " 47.4, 54.7, 76.2, 130.5, 230.8, 446.4", \ + " 52.8, 60.1, 81.5, 135.6, 235.6, 451.0", \ + " 60.3, 67.1, 88.0, 141.7, 241.3, 456.3", \ + " 70.9, 78.9, 99.2, 151.2, 250.1, 464.4", \ + " 79.9, 89.4, 113.6, 164.5, 261.7, 474.7", \ + " 87.4, 98.0, 125.5, 184.4, 278.9, 489.5", \ + " 93.0, 104.6, 134.1, 200.5, 305.8, 511.7", \ + " 96.0, 108.5, 139.6, 210.0, 331.1, 547.0", \ + " 94.2, 107.9, 140.8, 213.7, 343.4, 595.7" ); } +rise_transition(x05_65_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 129.5, 160.0, 247.3, 454.0, 826.0, 1630.2", \ + " 130.2, 160.7, 246.2, 449.9, 824.5, 1629.4", \ + " 131.4, 161.8, 246.9, 449.0, 822.6, 1628.5", \ + " 133.6, 164.1, 248.9, 449.3, 821.1, 1626.8", \ + " 137.0, 169.1, 254.0, 452.6, 822.0, 1623.7", \ + " 138.1, 172.6, 263.0, 461.4, 827.8, 1624.7", \ + " 145.2, 177.8, 270.6, 479.0, 842.4, 1633.1", \ + " 154.1, 187.7, 279.4, 498.8, 870.6, 1653.8", \ + " 167.5, 201.6, 294.9, 511.7, 910.9, 1695.6", \ + " 188.0, 222.2, 316.1, 534.7, 937.3, 1767.6" ); } +cell_fall(x05_65_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.8, 52.0, 68.6, 108.4, 183.8, 348.7", \ + " 53.7, 60.1, 76.9, 116.7, 191.9, 356.6", \ + " 60.0, 66.6, 83.4, 123.1, 198.2, 362.6", \ + " 68.1, 75.0, 92.0, 131.5, 206.2, 370.3", \ + " 81.8, 88.8, 105.7, 145.0, 219.1, 382.5", \ + " 96.7, 104.6, 123.3, 162.6, 235.9, 398.1", \ + " 114.5, 123.3, 143.6, 185.8, 259.3, 420.0", \ + " 136.3, 146.0, 167.8, 212.1, 290.0, 450.7", \ + " 164.2, 175.0, 198.7, 244.9, 325.6, 494.3", \ + " 200.9, 212.9, 239.0, 287.8, 370.1, 547.0" ); } +fall_transition(x05_65_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 93.6, 111.4, 162.0, 272.3, 495.8, 985.0", \ + " 89.2, 105.8, 154.0, 271.6, 495.7, 985.0", \ + " 88.1, 104.6, 152.0, 271.3, 495.8, 985.0", \ + " 88.5, 105.0, 152.0, 270.4, 495.9, 984.9", \ + " 90.0, 107.7, 155.2, 272.5, 495.7, 984.9", \ + " 92.7, 110.7, 161.1, 278.6, 498.7, 984.3", \ + " 98.6, 117.8, 167.6, 288.1, 507.3, 987.5", \ + " 107.3, 127.5, 178.6, 297.2, 521.3, 998.6", \ + " 119.8, 141.2, 193.5, 312.1, 535.0, 1020.0", \ + " 137.3, 159.9, 214.1, 333.2, 553.4, 1044.4" ); }} +} +} +cell(xor2v1x1) { /* 2008-01-06:08h07 characteristic delay 18.2 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1143 ; /* xor2v1x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v1x1 FO4 effort 1.67 logical effort 1.39 */ +direction : input ; +capacitance : 3.92 ; +rise_capacitance : 3.90 ; +fall_capacitance : 3.94 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v1x1 */ +} +pin(b) { /* xor2v1x1 FO4 effort 2.00 logical effort 2.86 */ +direction : input ; +capacitance : 7.13 ; +rise_capacitance : 7.03 ; +fall_capacitance : 7.23 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v1x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 87 ; +max_fanout : 2 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v1x1 28.08 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 13.73, 13.81, 14.04, 14.65, 15.88", \ + " 13.60, 13.69, 13.94, 14.56, 15.79", \ + " 13.62, 13.70, 13.95, 14.56, 15.78", \ + " 13.76, 13.83, 14.04, 14.61, 15.80", \ + " 14.17, 14.22, 14.38, 14.85, 15.94", \ + " 14.90, 14.94, 15.06, 15.43, 16.33", \ + " 16.18, 16.18, 16.26, 16.56, 17.24", \ + " 18.28, 18.21, 18.19, 18.42, 18.98", \ + " 21.72, 21.54, 21.34, 21.40, 21.88", \ + " 27.28, 26.97, 26.48, 26.18, 26.46" ); }} +internal_power(a_z_p) { /* xor2v1x1 28.02 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 13.81, 13.87, 14.00, 14.31, 14.92", \ + " 13.65, 13.71, 13.86, 14.18, 14.80", \ + " 13.67, 13.74, 13.89, 14.21, 14.82", \ + " 13.82, 13.87, 14.01, 14.31, 14.92", \ + " 14.23, 14.27, 14.38, 14.64, 15.20", \ + " 14.98, 15.01, 15.10, 15.31, 15.77", \ + " 16.29, 16.29, 16.35, 16.53, 16.89", \ + " 18.43, 18.39, 18.39, 18.53, 18.82", \ + " 21.92, 21.81, 21.70, 21.74, 21.99", \ + " 27.51, 27.32, 27.04, 26.87, 27.01" ); }} +internal_power(b_z_n) { /* xor2v1x1 14.18 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 6.73, 6.89, 7.05, 7.24, 7.60", \ + " 6.52, 6.64, 6.83, 7.07, 7.47", \ + " 6.65, 6.73, 6.88, 7.11, 7.51", \ + " 6.99, 7.01, 7.09, 7.28, 7.65", \ + " 7.80, 7.72, 7.68, 7.75, 8.03", \ + " 9.22, 9.01, 8.79, 8.69, 8.79", \ + " 11.63, 11.28, 10.81, 10.45, 10.31", \ + " 15.48, 14.95, 14.18, 13.46, 13.00", \ + " 21.56, 20.86, 19.71, 18.49, 17.57", \ + " 31.09, 30.19, 28.61, 26.75, 25.18" ); }} +internal_power(b_z_p) { /* xor2v1x1 18.32 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.01, 8.95, 8.87, 9.07, 9.88", \ + " 8.91, 8.84, 8.77, 8.95, 9.73", \ + " 9.08, 8.99, 8.89, 9.02, 9.75", \ + " 9.44, 9.32, 9.16, 9.21, 9.86", \ + " 10.23, 10.06, 9.81, 9.70, 10.16", \ + " 11.56, 11.33, 10.98, 10.66, 10.83", \ + " 13.77, 13.47, 12.98, 12.45, 12.24", \ + " 17.28, 16.87, 16.21, 15.43, 14.86", \ + " 22.86, 22.29, 21.37, 20.28, 19.33", \ + " 31.78, 30.98, 29.61, 28.06, 26.64" ); }} +timing() { /* ring osc delay xor2v1x1, path a to z 100.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 74.1 ; */ +/* intrinsic_fall : 62.9 ; */ +/* rise_resistance : 3.56 ; */ +/* fall_resistance : 2.75 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.2, 64.4, 95.5, 160.7, 272.3, 508.8", \ + " 60.0, 72.2, 103.3, 168.5, 280.1, 516.6", \ + " 66.2, 78.4, 109.4, 174.6, 286.1, 522.6", \ + " 74.7, 86.8, 117.6, 182.7, 294.2, 530.6", \ + " 88.2, 101.2, 132.1, 197.1, 308.4, 544.8", \ + " 103.9, 118.6, 152.5, 217.8, 328.8, 565.1", \ + " 123.6, 140.1, 178.6, 248.7, 359.6, 595.5", \ + " 148.3, 166.8, 210.3, 290.1, 404.7, 640.3", \ + " 180.5, 201.4, 250.5, 341.3, 469.6, 707.3", \ + " 223.3, 247.1, 303.0, 406.2, 552.6, 807.5" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 132.0, 166.7, 264.2, 491.1, 899.3, 1770.9", \ + " 135.8, 169.5, 265.6, 491.6, 899.5, 1770.9", \ + " 141.3, 174.3, 268.8, 492.7, 899.8, 1771.0", \ + " 150.1, 182.2, 274.9, 496.1, 900.7, 1771.1", \ + " 167.1, 198.1, 288.2, 505.2, 905.1, 1771.7", \ + " 193.3, 222.9, 309.9, 522.1, 916.3, 1774.9", \ + " 233.3, 261.9, 345.3, 551.6, 938.7, 1787.1", \ + " 283.9, 315.9, 399.1, 599.3, 978.1, 1814.2", \ + " 352.5, 387.0, 477.5, 674.1, 1044.1, 1865.8", \ + " 448.9, 486.1, 583.1, 790.7, 1150.8, 1956.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.8, 53.2, 76.6, 126.8, 213.0, 395.5", \ + " 50.9, 60.3, 83.8, 134.0, 220.2, 402.8", \ + " 56.4, 65.7, 89.2, 139.5, 225.7, 408.3", \ + " 63.2, 72.8, 96.4, 146.8, 233.1, 415.6", \ + " 71.7, 83.0, 108.5, 159.3, 245.8, 428.5", \ + " 79.9, 93.1, 122.6, 176.4, 263.7, 446.7", \ + " 87.7, 103.1, 137.6, 199.1, 289.2, 473.4", \ + " 94.3, 112.1, 152.5, 224.1, 324.1, 511.2", \ + " 98.4, 119.0, 166.3, 250.4, 366.1, 564.8", \ + " 97.5, 121.6, 177.0, 276.4, 412.4, 637.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.0, 101.1, 160.2, 300.9, 557.1, 1106.1", \ + " 83.4, 103.7, 161.7, 301.6, 557.3, 1106.2", \ + " 89.1, 108.6, 164.8, 302.8, 557.7, 1106.3", \ + " 98.5, 117.0, 171.4, 306.1, 558.6, 1106.5", \ + " 116.6, 133.8, 185.6, 316.1, 562.9, 1107.1", \ + " 142.7, 159.7, 208.3, 334.4, 575.0, 1110.1", \ + " 176.7, 194.9, 244.8, 365.3, 599.4, 1123.0", \ + " 222.0, 241.5, 294.7, 414.2, 640.9, 1152.3", \ + " 284.6, 305.9, 362.8, 489.1, 709.1, 1207.3", \ + " 372.4, 396.5, 458.5, 592.7, 819.7, 1301.9" ); }} +timing() { /* ring osc delay xor2v1x1, path a to z 113.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.0 ; */ +/* intrinsic_fall : 95.7 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.8, 80.0, 108.7, 171.7, 283.2, 519.8", \ + " 75.8, 87.0, 115.7, 178.8, 290.3, 526.9", \ + " 80.8, 92.1, 120.8, 183.8, 295.3, 532.0", \ + " 86.4, 97.6, 126.3, 189.3, 300.8, 537.5", \ + " 93.2, 104.6, 133.3, 196.3, 307.8, 544.5", \ + " 99.9, 111.3, 140.0, 203.2, 314.6, 551.3", \ + " 106.6, 118.1, 146.9, 209.9, 321.5, 558.2", \ + " 112.5, 124.2, 153.3, 216.4, 327.9, 564.6", \ + " 116.3, 128.5, 158.2, 221.7, 333.4, 570.2", \ + " 115.9, 128.8, 159.6, 224.2, 336.7, 573.9" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 106.6, 143.3, 245.0, 479.3, 899.5, 1795.4", \ + " 106.7, 143.4, 245.1, 479.3, 899.5, 1795.4", \ + " 107.4, 143.8, 245.3, 479.4, 899.6, 1795.5", \ + " 109.0, 145.2, 246.2, 479.8, 899.7, 1795.5", \ + " 111.9, 147.7, 247.9, 480.8, 900.1, 1795.5", \ + " 115.6, 150.9, 250.2, 482.1, 900.8, 1795.9", \ + " 120.7, 155.4, 253.8, 484.2, 901.9, 1796.3", \ + " 128.2, 162.2, 259.1, 487.9, 904.0, 1797.1", \ + " 139.2, 172.4, 267.7, 494.3, 908.1, 1799.3", \ + " 154.7, 187.3, 281.1, 505.0, 916.1, 1804.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.7, 83.9, 106.5, 155.5, 241.6, 424.3", \ + " 82.6, 91.7, 114.4, 163.4, 249.6, 432.2", \ + " 88.8, 98.0, 120.7, 169.7, 255.9, 438.5", \ + " 96.8, 106.0, 128.8, 177.8, 264.0, 446.7", \ + " 109.0, 118.3, 141.2, 190.4, 276.7, 459.3", \ + " 123.1, 132.7, 156.1, 205.5, 291.9, 474.7", \ + " 141.2, 151.1, 174.8, 224.7, 311.3, 494.2", \ + " 164.1, 174.5, 198.8, 249.1, 336.0, 519.1", \ + " 194.1, 205.1, 230.5, 281.6, 368.9, 552.4", \ + " 233.6, 245.6, 272.7, 325.5, 413.7, 597.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 67.8, 90.0, 151.0, 292.8, 549.6, 1099.7", \ + " 67.9, 90.1, 151.0, 292.8, 549.6, 1099.7", \ + " 68.2, 90.3, 151.2, 292.9, 549.6, 1099.7", \ + " 69.4, 91.2, 151.7, 293.1, 549.7, 1099.7", \ + " 72.5, 93.9, 153.7, 294.0, 550.0, 1099.8", \ + " 76.4, 97.5, 156.5, 295.9, 550.9, 1099.9", \ + " 81.4, 102.0, 160.3, 298.3, 552.2, 1100.5", \ + " 88.3, 108.5, 165.5, 301.9, 554.2, 1101.2", \ + " 98.2, 118.0, 173.7, 307.8, 557.7, 1102.6", \ + " 111.9, 131.6, 186.3, 317.8, 564.4, 1105.8" ); }} +timing() { /* ring osc delay xor2v1x1, path b to z 67.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 47.3 ; */ +/* intrinsic_fall : 34.8 ; */ +/* rise_resistance : 3.50 ; */ +/* fall_resistance : 2.72 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 40.8, 69.4, 133.1, 245.2, 482.3", \ + " 35.4, 47.6, 76.2, 140.1, 252.4, 489.5", \ + " 41.0, 53.5, 81.8, 145.5, 257.7, 494.9", \ + " 46.6, 61.4, 89.2, 152.3, 264.4, 501.5", \ + " 55.3, 72.1, 102.3, 163.6, 274.9, 511.6", \ + " 66.2, 85.2, 117.8, 179.1, 288.3, 523.9", \ + " 81.0, 102.5, 137.7, 202.0, 307.7, 539.8", \ + " 100.4, 125.3, 163.4, 230.4, 338.3, 562.6", \ + " 126.8, 156.0, 198.3, 268.2, 381.3, 601.0", \ + " 163.4, 197.9, 245.9, 320.4, 438.3, 667.2" ); } +rise_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 84.7, 132.9, 247.4, 500.7, 952.4, 1913.8", \ + " 90.4, 137.1, 249.2, 500.5, 952.1, 1913.7", \ + " 97.4, 143.3, 253.7, 502.5, 952.0, 1913.4", \ + " 107.9, 152.7, 260.9, 506.5, 953.6, 1912.9", \ + " 128.6, 171.2, 275.2, 515.1, 957.7, 1913.8", \ + " 163.7, 199.7, 298.2, 529.8, 964.9, 1916.1", \ + " 192.3, 247.4, 336.6, 556.9, 979.0, 1920.4", \ + " 240.1, 296.4, 398.2, 604.8, 1007.9, 1930.9", \ + " 315.4, 371.7, 483.5, 686.8, 1067.6, 1958.0", \ + " 431.1, 487.0, 601.6, 822.6, 1180.1, 2022.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.6, 28.6, 50.3, 99.6, 185.9, 368.4", \ + " 26.9, 35.3, 57.0, 106.5, 192.8, 375.4", \ + " 29.8, 40.1, 61.9, 111.2, 197.5, 380.0", \ + " 32.2, 44.3, 68.5, 117.0, 203.0, 385.4", \ + " 34.6, 49.1, 77.8, 126.4, 211.4, 393.2", \ + " 35.8, 53.0, 86.5, 140.2, 222.8, 402.9", \ + " 35.2, 55.8, 94.9, 155.5, 241.1, 417.3", \ + " 31.7, 56.2, 102.4, 170.4, 266.5, 440.2", \ + " 23.2, 52.5, 108.0, 184.7, 292.2, 479.7", \ + " 6.5, 41.6, 109.3, 197.3, 317.4, 528.3" ); } +fall_transition(x1_130_6x10) { /* 5%-95%, scaled to 0%-100% */ +values( " 47.2, 79.2, 155.7, 321.5, 615.7, 1241.9", \ + " 53.6, 84.3, 158.7, 322.2, 615.4, 1241.8", \ + " 60.7, 91.0, 163.8, 325.2, 616.4, 1241.4", \ + " 72.6, 101.0, 172.0, 330.4, 619.2, 1241.8", \ + " 88.7, 120.8, 188.6, 341.6, 625.8, 1244.7", \ + " 108.6, 146.7, 215.1, 361.5, 638.3, 1251.4", \ + " 140.9, 180.3, 258.4, 397.1, 663.0, 1265.7", \ + " 188.7, 230.4, 312.5, 455.0, 708.5, 1294.3", \ + " 260.2, 304.6, 392.2, 544.3, 788.0, 1350.8", \ + " 371.6, 414.6, 510.4, 669.5, 920.8, 1455.6" ); }} +timing() { /* ring osc delay xor2v1x1, path b to z 82.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 50.1 ; */ +/* intrinsic_fall : 61.9 ; */ +/* rise_resistance : 3.32 ; */ +/* fall_resistance : 2.49 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 38.3, 46.1, 69.9, 129.9, 239.9, 475.7", \ + " 45.3, 53.3, 77.3, 137.2, 246.9, 482.4", \ + " 50.5, 58.6, 82.5, 142.1, 251.5, 486.8", \ + " 58.0, 65.5, 88.8, 147.9, 257.0, 491.9", \ + " 67.9, 76.9, 99.6, 157.1, 265.4, 499.6", \ + " 76.1, 86.7, 113.7, 169.9, 276.5, 509.6", \ + " 82.9, 94.6, 125.2, 189.6, 293.3, 523.8", \ + " 87.8, 100.5, 133.1, 206.0, 319.5, 545.2", \ + " 89.9, 103.7, 138.0, 215.3, 346.8, 579.6", \ + " 87.2, 102.3, 138.4, 218.5, 360.3, 630.7" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 122.9, 156.0, 251.8, 477.6, 885.2, 1762.8", \ + " 123.3, 156.5, 250.2, 473.7, 883.8, 1762.1", \ + " 124.3, 157.4, 250.7, 472.5, 882.0, 1761.3", \ + " 126.2, 159.6, 252.4, 472.6, 880.3, 1759.8", \ + " 128.7, 164.1, 257.3, 475.8, 880.9, 1756.5", \ + " 130.0, 166.9, 266.5, 484.6, 886.5, 1756.9", \ + " 136.8, 172.6, 274.5, 502.5, 900.7, 1764.7", \ + " 145.9, 182.6, 283.3, 524.1, 928.7, 1784.9", \ + " 159.5, 196.6, 298.8, 537.0, 971.6, 1825.9", \ + " 180.3, 217.5, 320.1, 560.0, 1002.1, 1898.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 43.3, 50.1, 68.3, 112.2, 195.1, 375.5", \ + " 51.2, 58.2, 76.6, 120.5, 203.3, 383.5", \ + " 57.6, 64.7, 83.1, 126.9, 209.4, 389.4", \ + " 65.4, 73.0, 91.6, 135.1, 217.3, 396.9", \ + " 78.8, 86.5, 105.0, 148.3, 229.8, 408.8", \ + " 93.1, 101.9, 122.2, 165.5, 246.1, 423.9", \ + " 110.3, 120.0, 142.1, 188.3, 269.0, 445.2", \ + " 131.6, 142.2, 165.8, 214.3, 299.6, 475.3", \ + " 158.8, 170.6, 196.2, 246.4, 335.0, 518.5", \ + " 194.7, 207.9, 236.1, 288.8, 379.0, 572.4" ); } +fall_transition(x1_130_6x10) { /* 12%-88%, scaled to 0%-100% */ +values( " 88.3, 107.7, 161.8, 285.5, 531.9, 1066.3", \ + " 83.9, 102.0, 154.9, 285.1, 531.9, 1066.3", \ + " 82.7, 100.7, 153.0, 285.1, 531.9, 1066.3", \ + " 83.0, 101.1, 153.1, 284.4, 532.0, 1066.2", \ + " 83.7, 103.7, 156.5, 286.3, 531.7, 1066.2", \ + " 87.0, 106.8, 162.4, 292.2, 534.3, 1065.5", \ + " 92.9, 114.0, 168.8, 301.7, 542.5, 1068.2", \ + " 101.6, 123.8, 179.7, 310.8, 556.5, 1078.7", \ + " 114.0, 137.4, 194.5, 324.9, 570.6, 1100.1", \ + " 131.4, 156.1, 215.0, 345.7, 588.1, 1126.6" ); }} +} +} +cell(xor2v2x05) { /* 2008-01-06:08h07 characteristic delay 13.2 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 635 ; /* xor2v2x05 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v2x05 FO4 effort 1.64 logical effort 1.50 */ +direction : input ; +capacitance : 3.35 ; +rise_capacitance : 3.37 ; +fall_capacitance : 3.33 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v2x05 */ +} +pin(b) { /* xor2v2x05 FO4 effort 1.60 logical effort 1.60 */ +direction : input ; +capacitance : 3.58 ; +rise_capacitance : 3.60 ; +fall_capacitance : 3.56 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v2x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 71 ; +max_fanout : 2 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v2x05 16.00 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 8.02, 8.04, 8.08, 8.10, 8.10", \ + " 7.87, 7.91, 7.96, 8.02, 8.04", \ + " 7.88, 7.90, 7.95, 8.01, 8.05", \ + " 7.96, 7.97, 8.00, 8.05, 8.09", \ + " 8.21, 8.19, 8.17, 8.18, 8.20", \ + " 8.71, 8.65, 8.56, 8.48, 8.45", \ + " 9.63, 9.50, 9.30, 9.08, 8.94", \ + " 11.19, 10.98, 10.61, 10.17, 9.83", \ + " 13.81, 13.50, 12.89, 12.11, 11.45", \ + " 18.11, 17.66, 16.75, 15.48, 14.30" ); }} +internal_power(a_z_p) { /* xor2v2x05 19.51 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_97_5x10) { +values( " 9.78, 9.72, 9.61, 9.47, 9.36", \ + " 9.70, 9.65, 9.56, 9.43, 9.33", \ + " 9.76, 9.71, 9.62, 9.49, 9.38", \ + " 9.90, 9.85, 9.76, 9.62, 9.50", \ + " 10.23, 10.18, 10.06, 9.89, 9.74", \ + " 10.81, 10.74, 10.59, 10.37, 10.18", \ + " 11.82, 11.71, 11.50, 11.21, 10.93", \ + " 13.47, 13.31, 13.01, 12.60, 12.19", \ + " 16.18, 15.95, 15.51, 14.91, 14.30", \ + " 20.61, 20.28, 19.61, 18.71, 17.81" ); }} +internal_power(b_z_n) { /* xor2v2x05 13.68 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 6.81, 6.84, 6.88, 6.91, 6.91", \ + " 6.68, 6.72, 6.78, 6.84, 6.87", \ + " 6.70, 6.73, 6.78, 6.84, 6.88", \ + " 6.80, 6.81, 6.84, 6.89, 6.92", \ + " 7.09, 7.06, 7.04, 7.04, 7.05", \ + " 7.64, 7.56, 7.45, 7.36, 7.32", \ + " 8.63, 8.48, 8.24, 7.99, 7.82", \ + " 10.29, 10.04, 9.60, 9.12, 8.74", \ + " 13.01, 12.65, 11.96, 11.10, 10.39", \ + " 17.42, 16.92, 15.92, 14.54, 13.29" ); }} +internal_power(b_z_p) { /* xor2v2x05 17.15 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_97_5x10) { +values( " 8.59, 8.55, 8.46, 8.35, 8.27", \ + " 8.50, 8.47, 8.40, 8.30, 8.22", \ + " 8.55, 8.52, 8.45, 8.35, 8.26", \ + " 8.70, 8.66, 8.57, 8.46, 8.37", \ + " 9.04, 8.98, 8.88, 8.73, 8.61", \ + " 9.65, 9.57, 9.41, 9.22, 9.04", \ + " 10.70, 10.57, 10.35, 10.07, 9.80", \ + " 12.41, 12.23, 11.90, 11.48, 11.08", \ + " 15.19, 14.93, 14.44, 13.81, 13.21", \ + " 19.72, 19.33, 18.61, 17.66, 16.74" ); }} +timing() { /* ring osc delay xor2v2x05, path a to z 76.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.2 ; */ +/* intrinsic_fall : 47.6 ; */ +/* rise_resistance : 4.85 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 58.1, 70.4, 101.1, 167.0, 282.2, 526.4", \ + " 65.4, 77.7, 108.5, 174.5, 289.9, 534.2", \ + " 71.5, 83.6, 114.3, 180.3, 295.7, 540.1", \ + " 79.9, 92.0, 122.4, 188.2, 303.5, 547.9", \ + " 94.3, 106.7, 137.1, 202.4, 317.5, 561.8", \ + " 111.3, 125.3, 158.0, 223.2, 337.8, 581.8", \ + " 133.2, 148.9, 185.7, 254.6, 368.8, 612.2", \ + " 161.8, 179.2, 220.3, 297.7, 414.6, 657.2", \ + " 200.8, 220.3, 266.1, 352.9, 481.8, 725.4", \ + " 254.8, 276.8, 328.5, 425.9, 570.8, 828.3" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 138.8, 174.5, 272.5, 497.3, 900.8, 1761.4", \ + " 140.6, 175.6, 273.1, 497.6, 900.9, 1761.4", \ + " 145.3, 179.5, 274.9, 498.2, 901.0, 1761.4", \ + " 152.7, 186.2, 279.8, 499.8, 901.4, 1761.5", \ + " 167.3, 199.8, 291.1, 506.9, 903.4, 1761.7", \ + " 189.2, 220.8, 309.7, 521.3, 911.6, 1762.7", \ + " 223.3, 253.3, 339.6, 546.6, 930.2, 1769.9", \ + " 264.5, 298.6, 384.9, 587.2, 964.0, 1791.2", \ + " 320.1, 356.7, 450.8, 650.9, 1020.7, 1834.7", \ + " 399.0, 437.9, 538.2, 750.3, 1112.4, 1912.9" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.5, 35.9, 53.1, 88.1, 148.5, 275.8", \ + " 35.6, 42.7, 59.9, 95.0, 155.4, 282.7", \ + " 40.8, 48.0, 65.0, 100.1, 160.6, 288.0", \ + " 45.7, 54.0, 71.9, 107.0, 167.6, 295.0", \ + " 51.1, 61.0, 82.2, 118.9, 179.6, 307.3", \ + " 55.1, 66.6, 91.9, 134.5, 196.3, 324.5", \ + " 57.0, 70.4, 100.3, 151.3, 220.5, 349.6", \ + " 55.1, 70.8, 105.9, 166.7, 248.5, 385.3", \ + " 46.8, 65.1, 106.5, 179.0, 276.7, 434.8", \ + " 27.9, 49.2, 98.1, 184.6, 301.8, 489.4" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 55.8, 69.6, 108.1, 197.6, 357.9, 703.0", \ + " 61.1, 73.4, 109.8, 198.2, 358.5, 703.2", \ + " 68.4, 79.8, 114.4, 200.1, 359.3, 703.5", \ + " 79.7, 90.2, 122.7, 205.3, 361.4, 704.0", \ + " 99.3, 110.3, 140.1, 218.4, 369.5, 705.8", \ + " 123.1, 135.1, 167.4, 241.1, 386.4, 714.3", \ + " 155.9, 169.1, 204.0, 278.8, 417.6, 735.5", \ + " 200.7, 215.3, 253.2, 334.2, 469.5, 775.8", \ + " 263.3, 279.9, 321.9, 409.5, 552.7, 847.3", \ + " 351.8, 371.4, 419.2, 515.4, 670.1, 967.3" ); }} +timing() { /* ring osc delay xor2v2x05, path a to z 100.6 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.6 ; */ +/* intrinsic_fall : 89.1 ; */ +/* rise_resistance : 4.44 ; */ +/* fall_resistance : 3.38 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.5, 70.7, 94.3, 153.6, 265.3, 507.3", \ + " 70.0, 78.4, 102.2, 161.4, 272.7, 514.3", \ + " 76.2, 84.6, 108.5, 167.4, 278.1, 519.3", \ + " 84.5, 93.0, 116.8, 175.2, 285.3, 525.7", \ + " 98.5, 106.8, 130.1, 187.7, 296.9, 536.3", \ + " 115.7, 125.0, 148.2, 204.1, 311.8, 549.7", \ + " 132.8, 143.5, 170.6, 227.8, 332.8, 568.2", \ + " 149.0, 161.0, 191.5, 257.3, 363.5, 594.5", \ + " 164.9, 178.0, 210.9, 283.7, 405.7, 635.0", \ + " 180.4, 194.5, 229.4, 306.6, 442.2, 698.5" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 156.9, 191.2, 288.2, 516.7, 934.5, 1832.3", \ + " 156.7, 190.5, 286.4, 515.7, 933.7, 1832.0", \ + " 159.1, 192.8, 288.0, 515.0, 932.9, 1831.7", \ + " 163.4, 197.1, 291.5, 516.2, 931.6, 1831.1", \ + " 171.2, 205.0, 298.6, 520.3, 931.5, 1829.4", \ + " 181.2, 216.0, 309.5, 528.4, 935.6, 1828.2", \ + " 189.1, 226.1, 325.4, 544.0, 947.1, 1833.6", \ + " 198.2, 235.7, 338.1, 569.9, 971.3, 1849.9", \ + " 209.3, 247.7, 352.0, 594.2, 1014.3, 1885.2", \ + " 225.6, 265.1, 371.2, 616.0, 1061.1, 1951.7" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.9, 76.6, 97.8, 143.3, 223.5, 393.4", \ + " 75.8, 84.5, 105.8, 151.4, 231.6, 401.5", \ + " 82.0, 90.7, 112.1, 157.7, 237.9, 407.8", \ + " 89.8, 98.6, 120.0, 165.7, 245.9, 415.9", \ + " 101.3, 110.4, 132.0, 177.9, 258.1, 428.1", \ + " 114.6, 123.9, 146.0, 192.1, 272.4, 442.3", \ + " 130.8, 140.5, 163.1, 209.5, 289.9, 459.9", \ + " 150.5, 160.7, 184.0, 230.9, 311.4, 481.4", \ + " 174.8, 185.8, 210.3, 258.2, 338.8, 508.8", \ + " 205.1, 217.2, 243.5, 293.0, 374.3, 544.4" ); } +fall_transition(x05_97_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 51.1, 68.6, 115.8, 224.0, 418.2, 832.2", \ + " 51.2, 68.6, 115.8, 224.0, 418.2, 832.2", \ + " 51.3, 68.7, 115.9, 224.0, 418.2, 832.2", \ + " 52.0, 69.2, 116.1, 224.0, 418.2, 832.2", \ + " 54.5, 71.1, 117.2, 224.3, 418.2, 832.2", \ + " 57.7, 74.0, 119.1, 225.3, 418.6, 832.2", \ + " 62.6, 78.3, 122.0, 226.8, 419.1, 832.3", \ + " 69.2, 84.5, 126.7, 229.1, 420.1, 832.5", \ + " 78.5, 93.5, 134.3, 233.6, 421.9, 833.0", \ + " 91.4, 106.3, 146.1, 241.8, 426.3, 834.4" ); }} +timing() { /* ring osc delay xor2v2x05, path b to z 68.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.2 ; */ +/* intrinsic_fall : 42.1 ; */ +/* rise_resistance : 4.85 ; */ +/* fall_resistance : 2.57 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.0, 63.4, 94.1, 159.7, 275.0, 519.4", \ + " 58.4, 70.7, 101.4, 167.3, 282.8, 527.2", \ + " 64.5, 76.7, 107.3, 173.1, 288.6, 533.1", \ + " 72.9, 85.0, 115.4, 181.0, 296.4, 540.9", \ + " 86.1, 99.3, 129.9, 195.1, 310.3, 554.8", \ + " 101.8, 116.5, 150.3, 215.8, 330.6, 574.8", \ + " 122.5, 138.8, 176.6, 246.9, 361.4, 605.1", \ + " 149.7, 167.8, 210.0, 288.9, 406.8, 650.0", \ + " 187.1, 207.4, 254.5, 342.8, 473.2, 717.8", \ + " 239.0, 262.1, 315.4, 414.5, 561.0, 820.1" ); } +rise_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 125.8, 162.2, 261.2, 487.3, 891.9, 1753.7", \ + " 128.4, 163.8, 261.9, 487.6, 892.0, 1753.7", \ + " 133.4, 168.0, 264.0, 488.0, 892.1, 1753.7", \ + " 141.1, 175.0, 269.1, 489.8, 892.4, 1753.7", \ + " 155.7, 188.6, 280.6, 497.1, 894.2, 1753.8", \ + " 177.2, 209.2, 299.0, 511.4, 902.4, 1754.4", \ + " 208.9, 241.0, 328.3, 536.4, 920.9, 1761.5", \ + " 247.4, 283.2, 372.7, 576.4, 954.3, 1782.5", \ + " 300.6, 338.8, 436.0, 639.2, 1010.4, 1825.7", \ + " 377.7, 417.9, 520.9, 736.8, 1101.2, 1903.3" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 25.0, 31.5, 47.6, 82.0, 142.4, 270.0", \ + " 31.9, 38.3, 54.3, 88.8, 149.3, 276.9", \ + " 36.4, 43.5, 59.5, 93.9, 154.5, 282.1", \ + " 40.2, 48.6, 66.3, 100.8, 161.4, 289.1", \ + " 44.2, 54.2, 75.6, 112.5, 173.2, 301.3", \ + " 46.6, 58.4, 84.1, 127.4, 189.7, 318.3", \ + " 46.6, 60.6, 91.2, 143.0, 213.4, 343.0", \ + " 42.6, 59.1, 95.3, 157.2, 240.3, 378.3", \ + " 31.9, 51.2, 94.2, 168.2, 267.3, 427.1", \ + " 10.2, 32.7, 83.6, 172.2, 291.1, 480.5" ); } +fall_transition(x05_97_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 46.3, 61.1, 101.3, 192.5, 354.7, 702.2", \ + " 52.7, 65.8, 103.5, 193.0, 355.1, 702.2", \ + " 60.5, 72.6, 108.4, 195.3, 355.6, 702.3", \ + " 72.2, 83.2, 117.0, 200.8, 357.7, 702.5", \ + " 89.6, 102.2, 134.3, 213.9, 366.0, 703.9", \ + " 111.9, 125.4, 160.5, 236.4, 382.8, 712.2", \ + " 143.2, 157.7, 195.3, 273.6, 413.8, 733.0", \ + " 186.1, 202.2, 242.7, 327.2, 465.2, 772.9", \ + " 246.3, 264.7, 309.6, 400.7, 547.2, 844.0", \ + " 331.7, 353.4, 404.7, 504.7, 662.7, 963.2" ); }} +timing() { /* ring osc delay xor2v2x05, path b to z 93.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 70.9 ; */ +/* intrinsic_fall : 83.5 ; */ +/* rise_resistance : 4.53 ; */ +/* fall_resistance : 3.37 ; */ +cell_rise(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 56.8, 65.7, 90.7, 151.8, 264.4, 506.9", \ + " 63.9, 72.9, 98.0, 159.0, 271.3, 513.5", \ + " 69.6, 78.6, 103.6, 164.3, 276.3, 518.2", \ + " 77.3, 86.1, 110.9, 171.1, 282.6, 524.0", \ + " 91.0, 99.2, 122.9, 182.1, 292.8, 533.4", \ + " 106.1, 115.8, 140.2, 196.9, 306.2, 545.5", \ + " 120.6, 131.8, 160.2, 219.6, 325.7, 562.5", \ + " 134.3, 146.7, 178.2, 246.7, 354.9, 587.4", \ + " 147.7, 161.1, 194.8, 269.8, 394.9, 626.4", \ + " 160.4, 174.9, 210.5, 289.3, 427.8, 688.1" ); } +rise_transition(x05_97_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 156.2, 191.1, 289.5, 518.3, 937.5, 1838.6", \ + " 154.1, 188.5, 285.8, 516.4, 936.4, 1838.1", \ + " 155.0, 189.3, 285.7, 514.6, 935.1, 1837.5", \ + " 157.2, 191.5, 287.2, 514.0, 932.9, 1836.4", \ + " 162.0, 196.5, 291.6, 516.1, 931.8, 1833.4", \ + " 168.1, 204.3, 300.1, 522.6, 935.0, 1831.6", \ + " 173.3, 210.4, 313.4, 537.4, 946.0, 1836.4", \ + " 181.7, 219.7, 323.6, 562.4, 969.7, 1852.3", \ + " 193.8, 232.5, 338.0, 583.9, 1011.9, 1887.3", \ + " 211.5, 250.9, 357.8, 604.8, 1055.0, 1953.6" ); } +cell_fall(x05_97_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.2, 71.0, 92.2, 137.7, 217.8, 387.6", \ + " 70.2, 79.0, 100.3, 145.8, 225.9, 395.7", \ + " 76.3, 85.2, 106.6, 152.1, 232.2, 402.1", \ + " 84.0, 92.9, 114.4, 160.0, 240.2, 410.0", \ + " 95.3, 104.6, 126.4, 172.1, 252.2, 422.0", \ + " 108.3, 117.9, 140.2, 186.3, 266.3, 436.1", \ + " 124.2, 134.3, 157.3, 203.7, 283.9, 453.6", \ + " 143.5, 154.3, 178.3, 225.3, 305.5, 475.3", \ + " 167.4, 179.2, 204.8, 253.0, 333.5, 503.3", \ + " 197.3, 210.3, 238.3, 289.0, 370.6, 540.5" ); } +fall_transition(x05_97_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 51.9, 69.4, 116.5, 224.2, 418.2, 832.2", \ + " 52.0, 69.5, 116.5, 224.2, 418.2, 832.2", \ + " 52.3, 69.7, 116.6, 224.2, 418.2, 832.2", \ + " 53.6, 70.5, 116.9, 224.3, 418.2, 832.2", \ + " 56.9, 73.4, 118.7, 224.9, 418.3, 832.2", \ + " 60.7, 77.0, 121.7, 226.5, 418.9, 832.2", \ + " 66.3, 82.3, 125.5, 228.8, 419.9, 832.5", \ + " 73.9, 89.7, 131.7, 232.3, 421.6, 833.2", \ + " 84.2, 100.3, 141.5, 238.9, 424.7, 834.3", \ + " 98.4, 114.9, 156.0, 250.7, 432.1, 837.3" ); }} +} +} +cell(xor2v2x1) { /* 2008-01-06:08h07 characteristic delay 13.1 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1028 ; /* xor2v2x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v2x1 FO4 effort 1.63 logical effort 1.51 */ +direction : input ; +capacitance : 5.33 ; +rise_capacitance : 5.39 ; +fall_capacitance : 5.27 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v2x1 */ +} +pin(b) { /* xor2v2x1 FO4 effort 1.57 logical effort 1.54 */ +direction : input ; +capacitance : 5.44 ; +rise_capacitance : 5.51 ; +fall_capacitance : 5.37 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v2x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 124 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v2x1 24.95 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 12.54, 12.58, 12.64, 12.68, 12.68", \ + " 12.30, 12.36, 12.46, 12.55, 12.59", \ + " 12.29, 12.34, 12.43, 12.53, 12.59", \ + " 12.39, 12.41, 12.48, 12.57, 12.63", \ + " 12.76, 12.73, 12.71, 12.74, 12.78", \ + " 13.51, 13.40, 13.26, 13.15, 13.11", \ + " 14.90, 14.70, 14.36, 14.01, 13.79", \ + " 17.31, 16.96, 16.33, 15.62, 15.07", \ + " 21.37, 20.83, 19.79, 18.51, 17.43", \ + " 28.07, 27.30, 25.73, 23.61, 21.68" ); }} +internal_power(a_z_p) { /* xor2v2x1 31.49 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_162_5x10) { +values( " 15.94, 15.80, 15.50, 15.13, 14.86", \ + " 15.78, 15.67, 15.42, 15.08, 14.82", \ + " 15.86, 15.75, 15.51, 15.18, 14.91", \ + " 16.09, 15.98, 15.74, 15.41, 15.10", \ + " 16.64, 16.52, 16.26, 15.88, 15.52", \ + " 17.57, 17.42, 17.11, 16.65, 16.21", \ + " 19.16, 18.95, 18.55, 17.97, 17.39", \ + " 21.77, 21.47, 20.91, 20.13, 19.34", \ + " 26.08, 25.64, 24.82, 23.72, 22.60", \ + " 33.22, 32.58, 31.32, 29.64, 28.02" ); }} +internal_power(b_z_n) { /* xor2v2x1 21.83 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 10.90, 10.96, 11.03, 11.07, 11.08", \ + " 10.68, 10.75, 10.86, 10.96, 11.01", \ + " 10.70, 10.75, 10.85, 10.95, 11.01", \ + " 10.84, 10.85, 10.91, 11.00, 11.07", \ + " 11.26, 11.21, 11.18, 11.20, 11.23", \ + " 12.08, 11.95, 11.77, 11.64, 11.58", \ + " 13.58, 13.33, 12.93, 12.54, 12.28", \ + " 16.11, 15.69, 14.98, 14.19, 13.59", \ + " 20.31, 19.70, 18.54, 17.15, 15.99", \ + " 27.19, 26.33, 24.62, 22.34, 20.31" ); }} +internal_power(b_z_p) { /* xor2v2x1 28.24 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_162_5x10) { +values( " 14.30, 14.19, 13.94, 13.65, 13.42", \ + " 14.12, 14.03, 13.83, 13.56, 13.35", \ + " 14.20, 14.10, 13.91, 13.64, 13.41", \ + " 14.43, 14.32, 14.12, 13.83, 13.58", \ + " 14.98, 14.85, 14.61, 14.27, 13.96", \ + " 15.94, 15.77, 15.45, 15.03, 14.63", \ + " 17.58, 17.34, 16.92, 16.35, 15.80", \ + " 20.27, 19.93, 19.32, 18.53, 17.75", \ + " 24.69, 24.18, 23.28, 22.14, 21.03", \ + " 31.95, 31.22, 29.85, 28.10, 26.47" ); }} +timing() { /* ring osc delay xor2v2x1, path a to z 75.3 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.8 ; */ +/* intrinsic_fall : 51.3 ; */ +/* rise_resistance : 2.78 ; */ +/* fall_resistance : 1.93 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.3, 64.3, 93.8, 156.6, 266.3, 498.9", \ + " 59.7, 71.7, 101.2, 164.2, 274.1, 506.8", \ + " 65.8, 77.6, 107.0, 169.9, 279.8, 512.6", \ + " 74.0, 85.7, 115.0, 177.7, 287.6, 520.3", \ + " 87.2, 99.8, 129.2, 191.7, 301.3, 534.0", \ + " 102.4, 116.5, 149.2, 212.0, 321.2, 553.7", \ + " 121.7, 137.6, 174.3, 242.3, 351.5, 583.4", \ + " 146.7, 164.4, 205.6, 282.4, 395.9, 627.5", \ + " 180.1, 200.1, 246.4, 332.9, 459.8, 693.8", \ + " 225.6, 248.4, 301.1, 398.8, 542.2, 793.5" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 126.5, 160.8, 254.3, 468.6, 852.9, 1672.7", \ + " 128.9, 162.3, 255.0, 468.9, 853.1, 1672.7", \ + " 133.9, 166.5, 257.2, 469.5, 853.2, 1672.7", \ + " 142.0, 173.8, 262.6, 471.6, 853.6, 1672.8", \ + " 157.6, 188.4, 274.8, 479.4, 856.1, 1673.0", \ + " 181.2, 210.9, 294.8, 494.9, 865.3, 1674.2", \ + " 216.5, 246.1, 326.9, 522.2, 885.5, 1682.9", \ + " 259.6, 292.7, 375.9, 565.9, 921.9, 1706.4", \ + " 318.9, 354.5, 445.2, 634.9, 983.2, 1753.6", \ + " 403.9, 442.1, 538.9, 741.8, 1082.8, 1838.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.0, 41.2, 62.8, 106.2, 181.5, 340.3", \ + " 38.7, 47.7, 69.3, 112.8, 188.1, 347.1", \ + " 44.2, 52.8, 74.3, 117.8, 193.2, 352.2", \ + " 49.8, 59.6, 81.0, 124.6, 200.1, 359.2", \ + " 56.4, 67.8, 92.5, 136.6, 212.1, 371.4", \ + " 61.9, 75.1, 104.2, 153.4, 229.1, 388.8", \ + " 66.1, 81.4, 115.3, 173.8, 254.2, 414.4", \ + " 67.6, 85.2, 124.8, 193.8, 287.6, 451.1", \ + " 64.7, 85.0, 131.1, 212.4, 323.6, 505.0", \ + " 53.9, 77.4, 131.2, 227.1, 359.0, 573.1" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.6, 85.9, 133.9, 244.8, 443.3, 870.1", \ + " 72.5, 88.5, 134.7, 245.3, 443.9, 870.3", \ + " 79.1, 94.1, 138.4, 246.3, 444.8, 870.5", \ + " 89.7, 103.7, 145.8, 250.4, 446.1, 871.0", \ + " 110.2, 122.9, 162.2, 261.9, 452.2, 872.5", \ + " 134.2, 149.4, 188.4, 283.3, 467.1, 878.2", \ + " 167.3, 183.9, 227.3, 319.7, 496.1, 896.4", \ + " 213.0, 231.1, 277.8, 376.8, 545.9, 933.0", \ + " 277.5, 297.7, 348.5, 455.0, 627.5, 1000.4", \ + " 369.4, 392.5, 449.3, 564.5, 751.0, 1116.4" ); }} +timing() { /* ring osc delay xor2v2x1, path a to z 100.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.5 ; */ +/* intrinsic_fall : 82.9 ; */ +/* rise_resistance : 2.44 ; */ +/* fall_resistance : 1.96 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.7, 76.8, 97.2, 150.1, 254.1, 483.4", \ + " 76.7, 84.0, 104.9, 158.0, 261.7, 490.5", \ + " 82.7, 90.3, 111.4, 164.3, 267.5, 495.8", \ + " 91.3, 99.1, 120.4, 173.0, 275.4, 502.7", \ + " 106.6, 114.4, 135.7, 187.8, 288.9, 514.6", \ + " 127.2, 135.4, 156.1, 207.2, 306.9, 530.7", \ + " 149.7, 159.3, 183.2, 234.2, 331.6, 552.7", \ + " 173.1, 184.0, 211.3, 269.5, 366.8, 583.4", \ + " 198.9, 211.0, 241.0, 305.8, 415.9, 629.9", \ + " 228.8, 242.1, 274.4, 343.8, 466.0, 702.0" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 163.8, 194.5, 283.0, 495.9, 891.4, 1743.9", \ + " 163.7, 194.0, 281.2, 494.8, 890.6, 1743.6", \ + " 166.4, 196.7, 283.3, 494.9, 890.1, 1743.3", \ + " 171.5, 201.8, 288.1, 497.5, 889.4, 1742.7", \ + " 181.4, 212.0, 298.0, 504.6, 891.3, 1741.1", \ + " 195.0, 226.1, 312.0, 516.0, 897.5, 1740.5", \ + " 208.1, 242.2, 331.8, 534.2, 910.3, 1746.0", \ + " 222.2, 256.6, 350.5, 561.9, 934.3, 1761.6", \ + " 237.3, 272.7, 368.3, 590.0, 976.2, 1795.1", \ + " 256.0, 292.7, 390.3, 615.1, 1025.4, 1858.3" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.3, 70.7, 91.1, 135.0, 212.3, 376.2", \ + " 70.3, 78.7, 99.2, 143.1, 220.5, 384.4", \ + " 76.3, 84.7, 105.3, 149.3, 226.6, 390.6", \ + " 83.5, 92.0, 112.7, 156.8, 234.2, 398.1", \ + " 93.6, 102.4, 123.4, 167.6, 245.0, 409.0", \ + " 104.8, 113.9, 135.2, 179.7, 257.2, 421.2", \ + " 117.8, 127.2, 149.1, 193.9, 271.5, 435.5", \ + " 132.3, 142.4, 165.1, 210.4, 288.0, 452.0", \ + " 148.5, 159.4, 183.4, 229.7, 307.5, 471.5", \ + " 166.1, 178.2, 204.2, 252.2, 330.7, 494.8" ); } +fall_transition(x1_162_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 46.7, 63.6, 109.2, 213.8, 401.3, 800.8", \ + " 46.7, 63.6, 109.2, 213.7, 401.3, 800.8", \ + " 47.0, 63.7, 109.3, 213.8, 401.3, 800.8", \ + " 47.9, 64.4, 109.5, 213.8, 401.3, 800.8", \ + " 50.6, 66.5, 110.8, 214.2, 401.3, 800.8", \ + " 54.0, 69.4, 112.9, 215.2, 401.7, 800.8", \ + " 59.2, 74.1, 115.9, 216.8, 402.2, 801.0", \ + " 66.5, 80.9, 121.1, 219.2, 403.3, 801.2", \ + " 76.5, 90.7, 129.5, 224.3, 405.3, 801.7", \ + " 90.3, 104.5, 142.3, 233.3, 410.2, 803.3" ); }} +timing() { /* ring osc delay xor2v2x1, path b to z 67.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.2 ; */ +/* intrinsic_fall : 45.6 ; */ +/* rise_resistance : 2.78 ; */ +/* fall_resistance : 1.92 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 46.8, 58.9, 88.3, 151.0, 260.9, 493.5", \ + " 54.2, 66.3, 95.8, 158.7, 268.6, 501.4", \ + " 60.3, 72.2, 101.6, 164.4, 274.4, 507.2", \ + " 68.4, 80.2, 109.5, 172.2, 282.2, 515.0", \ + " 80.4, 93.6, 123.6, 186.1, 295.9, 528.7", \ + " 94.4, 109.2, 142.8, 206.2, 315.7, 548.3", \ + " 112.7, 129.2, 167.0, 236.1, 345.7, 578.0", \ + " 136.4, 154.8, 197.2, 275.2, 389.8, 621.9", \ + " 168.2, 189.1, 236.8, 324.7, 452.9, 687.9", \ + " 211.7, 235.6, 290.1, 389.6, 534.3, 787.1" ); } +rise_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.0, 151.8, 246.1, 461.4, 846.7, 1667.4", \ + " 120.0, 153.7, 246.8, 461.7, 846.8, 1667.4", \ + " 125.3, 158.2, 249.3, 462.1, 846.9, 1667.4", \ + " 133.5, 165.6, 254.8, 464.3, 847.1, 1667.4", \ + " 148.9, 180.1, 267.0, 472.2, 849.5, 1667.5", \ + " 172.1, 202.1, 286.8, 487.6, 858.6, 1668.3", \ + " 204.9, 236.7, 318.2, 514.6, 878.7, 1676.8", \ + " 245.8, 280.4, 366.5, 557.7, 914.7, 1700.1", \ + " 303.0, 340.0, 433.3, 625.9, 975.4, 1747.0", \ + " 386.4, 425.9, 525.0, 731.1, 1074.2, 1831.1" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.3, 36.6, 57.0, 100.1, 176.1, 335.7", \ + " 35.0, 43.1, 63.4, 106.6, 182.6, 342.3", \ + " 40.0, 48.3, 68.4, 111.6, 187.6, 347.5", \ + " 44.6, 54.3, 75.2, 118.3, 194.3, 354.4", \ + " 49.8, 61.3, 86.0, 130.0, 206.0, 366.4", \ + " 53.8, 67.3, 96.6, 146.5, 222.7, 383.5", \ + " 56.3, 72.0, 106.6, 165.8, 247.2, 408.8", \ + " 56.0, 74.2, 114.7, 184.8, 279.8, 444.9", \ + " 50.8, 72.0, 119.5, 202.2, 314.7, 498.1", \ + " 37.5, 62.1, 117.8, 215.5, 348.9, 564.9" ); } +fall_transition(x1_162_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.1, 76.6, 126.6, 239.7, 440.9, 869.9", \ + " 63.0, 79.9, 127.6, 240.0, 441.3, 870.0", \ + " 70.2, 86.0, 131.7, 241.1, 441.9, 870.2", \ + " 81.2, 95.9, 139.4, 245.4, 442.8, 870.6", \ + " 100.1, 115.3, 155.8, 257.0, 448.9, 871.6", \ + " 122.6, 139.5, 181.6, 278.2, 463.5, 877.0", \ + " 154.4, 172.4, 218.6, 314.0, 492.0, 894.7", \ + " 198.6, 218.0, 267.4, 369.8, 541.3, 930.9", \ + " 261.1, 282.8, 336.3, 446.2, 622.0, 997.2", \ + " 350.3, 375.4, 435.3, 553.9, 743.6, 1112.1" ); }} +timing() { /* ring osc delay xor2v2x1, path b to z 93.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 78.4 ; */ +/* intrinsic_fall : 78.4 ; */ +/* rise_resistance : 2.50 ; */ +/* fall_resistance : 1.95 ; */ +cell_rise(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.6, 71.6, 93.8, 148.9, 254.2, 484.0", \ + " 70.4, 78.6, 101.1, 156.2, 261.2, 490.6", \ + " 76.2, 84.5, 107.0, 161.9, 266.4, 495.4", \ + " 84.5, 92.8, 115.3, 169.7, 273.5, 501.7", \ + " 99.4, 107.4, 129.4, 183.0, 285.8, 512.7", \ + " 118.8, 127.5, 148.9, 200.9, 302.2, 527.4", \ + " 139.3, 149.4, 174.3, 226.8, 325.4, 548.0", \ + " 160.4, 171.9, 200.0, 260.2, 359.1, 577.3", \ + " 184.1, 196.7, 227.4, 293.9, 406.5, 622.4", \ + " 211.7, 225.4, 258.4, 329.2, 453.7, 693.0" ); } +rise_transition(x1_162_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 161.1, 192.4, 282.8, 497.7, 893.4, 1747.8", \ + " 160.3, 191.0, 279.8, 495.4, 892.0, 1747.4", \ + " 162.2, 192.8, 280.9, 494.4, 890.9, 1746.9", \ + " 166.0, 196.7, 284.2, 495.5, 889.1, 1745.9", \ + " 173.7, 204.5, 291.6, 500.1, 889.3, 1743.0", \ + " 184.2, 216.0, 303.1, 509.1, 893.6, 1741.2", \ + " 193.7, 228.0, 319.9, 525.2, 904.8, 1745.8", \ + " 205.4, 239.9, 334.6, 550.7, 927.9, 1760.7", \ + " 219.3, 254.8, 351.0, 575.7, 968.9, 1793.7", \ + " 238.3, 274.9, 373.0, 599.9, 1015.1, 1856.2" ); } +cell_fall(x1_162_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.7, 66.1, 86.6, 130.4, 207.6, 371.5", \ + " 65.6, 74.2, 94.7, 138.6, 215.8, 379.7", \ + " 71.6, 80.1, 100.8, 144.7, 222.0, 385.9", \ + " 78.8, 87.4, 108.2, 152.2, 229.5, 393.4", \ + " 88.8, 97.8, 119.0, 163.1, 240.4, 404.2", \ + " 99.9, 109.3, 131.0, 175.5, 252.7, 416.5", \ + " 112.7, 122.7, 145.1, 189.9, 267.3, 431.0", \ + " 127.0, 137.7, 161.3, 206.8, 284.2, 448.0", \ + " 143.0, 154.7, 180.1, 227.0, 304.7, 468.5", \ + " 160.3, 173.4, 201.3, 250.9, 329.8, 493.8" ); } +fall_transition(x1_162_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 47.6, 64.6, 110.0, 214.0, 401.3, 800.8", \ + " 47.7, 64.6, 110.0, 214.0, 401.3, 800.8", \ + " 48.1, 64.9, 110.1, 214.0, 401.3, 800.8", \ + " 49.8, 66.0, 110.6, 214.2, 401.3, 800.8", \ + " 53.2, 69.1, 112.6, 215.0, 401.4, 800.8", \ + " 57.2, 72.8, 115.7, 216.6, 402.1, 800.8", \ + " 63.2, 78.6, 119.9, 219.1, 403.2, 801.2", \ + " 71.3, 86.6, 126.8, 222.9, 405.0, 801.9", \ + " 82.4, 97.9, 137.4, 230.4, 408.6, 803.2", \ + " 97.3, 113.4, 153.0, 243.2, 416.7, 806.6" ); }} +} +} +cell(xor2v2x2) { /* 2008-01-06:08h07 characteristic delay 12.8 ps */ +area : 13 ; /* tracks */ +cell_leakage_power : 1455 ; /* xor2v2x2 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v2x2 FO4 effort 1.61 logical effort 1.50 */ +direction : input ; +capacitance : 7.63 ; +rise_capacitance : 7.73 ; +fall_capacitance : 7.54 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v2x2 */ +} +pin(b) { /* xor2v2x2 FO4 effort 1.55 logical effort 1.48 */ +direction : input ; +capacitance : 7.50 ; +rise_capacitance : 7.59 ; +fall_capacitance : 7.42 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v2x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 178 ; +max_fanout : 6 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v2x2 34.46 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 17.29, 17.37, 17.46, 17.51, 17.51", \ + " 16.95, 17.05, 17.20, 17.33, 17.39", \ + " 16.94, 17.02, 17.16, 17.31, 17.39", \ + " 17.09, 17.13, 17.23, 17.37, 17.46", \ + " 17.63, 17.58, 17.56, 17.61, 17.67", \ + " 18.71, 18.55, 18.34, 18.19, 18.14", \ + " 20.73, 20.40, 19.88, 19.39, 19.08", \ + " 24.20, 23.63, 22.67, 21.62, 20.85", \ + " 30.03, 29.16, 27.56, 25.66, 24.11", \ + " 39.65, 38.41, 35.97, 32.79, 30.01" ); }} +internal_power(a_z_p) { /* xor2v2x2 43.29 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 21.93, 21.72, 21.29, 20.81, 20.47", \ + " 21.70, 21.53, 21.18, 20.74, 20.41", \ + " 21.81, 21.65, 21.32, 20.88, 20.53", \ + " 22.15, 21.98, 21.64, 21.18, 20.80", \ + " 22.92, 22.74, 22.36, 21.83, 21.36", \ + " 24.25, 24.02, 23.55, 22.91, 22.32", \ + " 26.53, 26.21, 25.60, 24.75, 23.95", \ + " 30.26, 29.80, 28.95, 27.80, 26.67", \ + " 36.44, 35.74, 34.49, 32.86, 31.24", \ + " 46.63, 45.62, 43.68, 41.20, 38.85" ); }} +internal_power(b_z_n) { /* xor2v2x2 31.52 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 15.75, 15.84, 15.93, 15.98, 15.98", \ + " 15.43, 15.53, 15.69, 15.83, 15.88", \ + " 15.45, 15.53, 15.67, 15.82, 15.89", \ + " 15.64, 15.67, 15.76, 15.89, 15.96", \ + " 16.24, 16.17, 16.13, 16.15, 16.19", \ + " 17.40, 17.21, 16.95, 16.77, 16.69", \ + " 19.52, 19.14, 18.55, 18.00, 17.65", \ + " 23.11, 22.48, 21.42, 20.29, 19.46", \ + " 29.10, 28.14, 26.41, 24.39, 22.76", \ + " 38.90, 37.56, 34.96, 31.62, 28.73" ); }} +internal_power(b_z_p) { /* xor2v2x2 40.16 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 20.37, 20.19, 19.84, 19.43, 19.13", \ + " 20.12, 19.97, 19.68, 19.31, 19.02", \ + " 20.23, 20.08, 19.79, 19.41, 19.10", \ + " 20.55, 20.39, 20.08, 19.67, 19.32", \ + " 21.33, 21.13, 20.76, 20.28, 19.84", \ + " 22.68, 22.42, 21.95, 21.33, 20.77", \ + " 25.01, 24.65, 24.01, 23.17, 22.39", \ + " 28.81, 28.30, 27.39, 26.22, 25.11", \ + " 35.09, 34.32, 32.97, 31.30, 29.68", \ + " 45.40, 44.28, 42.22, 39.67, 37.30" ); }} +timing() { /* ring osc delay xor2v2x2, path a to z 73.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 71.4 ; */ +/* intrinsic_fall : 51.0 ; */ +/* rise_resistance : 1.94 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 51.5, 64.7, 97.3, 167.2, 290.1, 550.5", \ + " 58.9, 72.1, 104.8, 174.8, 297.8, 558.3", \ + " 64.9, 78.0, 110.5, 180.6, 303.6, 564.2", \ + " 73.1, 86.1, 118.5, 188.4, 311.3, 571.9", \ + " 86.1, 100.1, 132.6, 202.3, 325.0, 585.6", \ + " 101.0, 116.8, 152.7, 222.5, 344.9, 605.2", \ + " 120.2, 137.8, 178.3, 252.8, 375.0, 634.9", \ + " 144.9, 164.7, 210.1, 294.1, 419.3, 678.8", \ + " 178.1, 200.4, 251.5, 346.1, 484.4, 745.1", \ + " 223.2, 248.7, 307.0, 413.8, 570.0, 845.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 125.5, 164.1, 269.4, 510.1, 941.2, 1859.7", \ + " 128.0, 165.6, 270.1, 510.4, 941.2, 1859.7", \ + " 133.1, 169.8, 272.0, 510.8, 941.4, 1859.8", \ + " 141.2, 177.1, 277.2, 512.5, 941.7, 1859.8", \ + " 156.8, 191.5, 289.0, 519.7, 943.4, 1859.9", \ + " 180.4, 213.8, 308.6, 534.4, 951.3, 1860.6", \ + " 215.3, 248.7, 340.2, 560.7, 970.1, 1867.1", \ + " 258.0, 295.3, 388.5, 603.4, 1004.9, 1887.9", \ + " 317.0, 357.1, 458.5, 671.4, 1064.4, 1932.2", \ + " 401.9, 444.7, 553.0, 778.4, 1162.1, 2013.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.4, 42.4, 65.7, 113.8, 198.0, 375.9", \ + " 39.0, 48.8, 72.1, 120.3, 204.7, 382.7", \ + " 44.5, 53.9, 77.2, 125.4, 209.8, 387.9", \ + " 50.0, 60.6, 83.9, 132.2, 216.6, 394.8", \ + " 56.3, 68.9, 95.6, 144.1, 228.6, 407.1", \ + " 61.7, 76.3, 107.7, 161.0, 245.6, 424.4", \ + " 65.6, 82.5, 119.6, 182.6, 270.7, 450.1", \ + " 67.0, 86.5, 129.7, 204.4, 305.5, 486.9", \ + " 63.7, 86.3, 136.9, 225.0, 344.7, 541.0", \ + " 52.7, 78.9, 138.0, 242.1, 384.1, 614.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.9, 86.6, 141.0, 265.8, 488.8, 968.7", \ + " 70.8, 89.1, 141.7, 266.4, 489.3, 968.8", \ + " 77.5, 94.7, 145.1, 267.1, 490.1, 968.9", \ + " 88.0, 104.1, 152.3, 270.8, 491.3, 969.2", \ + " 108.3, 123.1, 168.2, 281.6, 496.5, 970.2", \ + " 131.8, 149.4, 193.8, 302.0, 510.2, 974.1", \ + " 164.7, 183.7, 232.9, 337.5, 537.7, 990.0", \ + " 210.0, 230.6, 283.5, 393.6, 585.8, 1024.2", \ + " 274.1, 297.0, 354.3, 473.3, 665.6, 1088.3", \ + " 365.3, 391.7, 455.5, 583.9, 790.4, 1200.9" ); }} +timing() { /* ring osc delay xor2v2x2, path a to z 97.9 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 81.3 ; */ +/* intrinsic_fall : 82.2 ; */ +/* rise_resistance : 1.74 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 67.4, 75.4, 98.9, 160.0, 278.1, 535.8", \ + " 74.4, 82.8, 106.7, 167.8, 285.5, 542.9", \ + " 80.5, 89.0, 113.2, 174.0, 291.1, 548.0", \ + " 89.2, 97.9, 122.1, 182.5, 298.7, 554.7", \ + " 104.2, 113.0, 137.0, 196.7, 311.6, 566.1", \ + " 124.2, 133.4, 156.8, 215.2, 328.7, 581.4", \ + " 145.7, 156.5, 183.4, 241.4, 352.3, 602.2", \ + " 168.0, 180.3, 210.8, 276.6, 386.2, 631.6", \ + " 192.8, 206.3, 239.7, 312.9, 435.6, 676.2", \ + " 221.6, 236.3, 272.2, 350.4, 487.5, 746.7" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 162.9, 197.8, 298.5, 540.1, 985.3, 1941.6", \ + " 163.1, 197.5, 296.9, 539.1, 984.5, 1941.4", \ + " 166.1, 200.3, 299.0, 538.9, 983.8, 1941.2", \ + " 171.4, 205.7, 303.7, 540.9, 982.9, 1940.7", \ + " 181.5, 216.0, 313.4, 547.2, 983.6, 1939.4", \ + " 195.1, 230.3, 327.2, 557.7, 988.6, 1937.9", \ + " 208.0, 246.4, 347.3, 575.5, 1000.3, 1942.4", \ + " 222.3, 260.9, 366.8, 603.6, 1023.7, 1956.9", \ + " 238.1, 277.7, 385.1, 634.7, 1066.0, 1989.1", \ + " 257.9, 298.8, 408.3, 661.3, 1121.5, 2051.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 62.4, 71.9, 95.3, 146.4, 236.7, 428.4", \ + " 70.4, 79.9, 103.5, 154.6, 244.9, 436.5", \ + " 76.3, 85.9, 109.5, 160.7, 251.1, 442.7", \ + " 83.3, 93.0, 116.8, 168.1, 258.4, 450.1", \ + " 93.1, 103.1, 127.1, 178.5, 268.9, 460.6", \ + " 104.0, 114.2, 138.5, 190.2, 280.6, 472.3", \ + " 116.6, 127.2, 152.0, 203.8, 294.3, 486.0", \ + " 130.7, 141.9, 167.5, 219.6, 310.1, 501.8", \ + " 146.6, 158.6, 185.4, 238.3, 328.9, 520.6", \ + " 163.8, 177.0, 205.7, 260.2, 351.3, 542.9" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 48.8, 68.7, 122.4, 245.1, 464.7, 931.9", \ + " 48.8, 68.7, 122.4, 245.1, 464.7, 931.9", \ + " 49.1, 68.9, 122.5, 245.1, 464.7, 931.9", \ + " 50.1, 69.4, 122.7, 245.2, 464.7, 931.9", \ + " 52.5, 71.4, 123.8, 245.5, 464.7, 931.9", \ + " 55.7, 74.0, 125.5, 246.2, 465.0, 931.9", \ + " 60.7, 78.3, 127.9, 247.4, 465.3, 932.0", \ + " 67.7, 84.7, 132.5, 249.3, 466.0, 932.1", \ + " 77.6, 94.2, 140.1, 253.4, 467.5, 932.5", \ + " 91.1, 107.6, 152.1, 261.2, 471.4, 933.7" ); }} +timing() { /* ring osc delay xor2v2x2, path b to z 68.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 67.7 ; */ +/* intrinsic_fall : 46.5 ; */ +/* rise_resistance : 1.94 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.7, 61.1, 93.7, 163.6, 286.5, 547.0", \ + " 55.1, 68.4, 101.1, 171.2, 294.2, 554.9", \ + " 61.2, 74.3, 106.9, 177.0, 300.0, 560.7", \ + " 69.2, 82.4, 114.8, 184.7, 307.8, 568.5", \ + " 81.3, 95.9, 128.9, 198.6, 321.5, 582.1", \ + " 95.5, 111.8, 148.6, 218.7, 341.3, 601.7", \ + " 113.9, 132.1, 173.4, 248.8, 371.3, 631.4", \ + " 137.8, 158.1, 204.5, 289.4, 415.4, 675.2", \ + " 169.8, 192.8, 245.0, 340.8, 480.1, 741.3", \ + " 213.6, 240.0, 299.6, 407.7, 565.0, 840.8" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.2, 159.2, 265.0, 506.5, 938.2, 1857.6", \ + " 123.2, 161.0, 265.7, 506.7, 938.3, 1857.6", \ + " 128.5, 165.4, 267.8, 507.1, 938.4, 1857.6", \ + " 136.7, 172.7, 273.1, 508.8, 938.6, 1857.6", \ + " 152.2, 187.1, 285.0, 516.0, 940.1, 1857.6", \ + " 175.3, 209.0, 304.4, 530.6, 948.0, 1858.0", \ + " 208.5, 243.3, 335.5, 556.8, 966.7, 1864.3", \ + " 249.6, 288.0, 383.2, 599.1, 1001.3, 1885.0", \ + " 307.3, 348.3, 451.7, 666.4, 1060.4, 1929.0", \ + " 391.1, 434.8, 544.7, 772.4, 1157.5, 2009.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.4, 38.7, 61.2, 109.5, 194.6, 373.1", \ + " 36.0, 45.1, 67.7, 116.0, 201.2, 379.7", \ + " 41.1, 50.2, 72.7, 121.0, 206.2, 384.9", \ + " 45.9, 56.5, 79.4, 127.7, 212.9, 391.8", \ + " 51.2, 63.9, 90.7, 139.4, 224.6, 403.9", \ + " 55.5, 70.3, 102.1, 155.9, 241.2, 421.1", \ + " 58.2, 75.5, 113.0, 176.8, 265.7, 446.5", \ + " 58.2, 78.3, 122.2, 197.7, 300.0, 482.9", \ + " 53.3, 76.7, 128.3, 217.4, 338.3, 536.2", \ + " 40.3, 67.5, 128.1, 233.6, 376.8, 608.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.5, 80.2, 136.2, 262.8, 488.1, 969.0", \ + " 64.3, 83.2, 137.0, 263.2, 488.5, 969.1", \ + " 71.3, 89.1, 140.7, 263.9, 489.0, 969.3", \ + " 82.1, 98.8, 148.0, 267.7, 489.9, 969.5", \ + " 101.1, 117.7, 163.8, 278.5, 494.9, 970.3", \ + " 123.7, 142.5, 189.1, 298.6, 508.2, 974.1", \ + " 155.5, 175.6, 226.8, 333.6, 535.2, 989.6", \ + " 199.7, 221.4, 276.3, 389.1, 582.7, 1023.4", \ + " 262.3, 286.5, 345.9, 467.3, 661.8, 1086.7", \ + " 351.6, 379.6, 445.8, 576.6, 785.4, 1198.3" ); }} +timing() { /* ring osc delay xor2v2x2, path b to z 93.4 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.1 ; */ +/* intrinsic_fall : 79.4 ; */ +/* rise_resistance : 1.76 ; */ +/* fall_resistance : 1.42 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 63.2, 72.1, 97.1, 159.6, 278.4, 536.5", \ + " 70.0, 79.2, 104.4, 166.8, 285.3, 543.0", \ + " 75.9, 85.1, 110.4, 172.5, 290.4, 547.6", \ + " 84.2, 93.5, 118.7, 180.2, 297.3, 553.7", \ + " 99.2, 108.1, 132.7, 193.5, 309.4, 564.4", \ + " 118.4, 128.1, 152.0, 211.1, 325.5, 579.0", \ + " 138.6, 149.9, 177.6, 236.5, 348.3, 599.1", \ + " 159.6, 172.3, 203.7, 270.7, 381.3, 627.7", \ + " 183.0, 197.0, 231.2, 305.5, 429.9, 671.5", \ + " 210.3, 225.6, 262.2, 341.4, 480.1, 741.3" ); } +rise_transition(x2_260_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 161.0, 196.3, 298.3, 540.8, 985.7, 1943.2", \ + " 160.6, 195.2, 295.5, 538.9, 984.5, 1943.0", \ + " 162.9, 197.3, 296.7, 537.7, 983.4, 1942.7", \ + " 167.2, 201.6, 300.2, 538.5, 981.7, 1942.0", \ + " 175.6, 210.2, 308.0, 543.0, 981.2, 1939.8", \ + " 187.1, 222.6, 320.2, 552.0, 985.0, 1937.4", \ + " 197.5, 235.9, 338.2, 568.2, 995.8, 1941.0", \ + " 210.0, 248.5, 355.1, 594.9, 1018.3, 1954.9", \ + " 224.8, 264.4, 372.1, 623.9, 1059.8, 1986.5", \ + " 244.5, 285.4, 395.1, 649.6, 1113.3, 2047.8" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.2, 68.9, 92.5, 143.6, 233.8, 425.4", \ + " 67.2, 76.9, 100.6, 151.7, 242.0, 433.6", \ + " 73.1, 82.8, 106.6, 157.8, 248.1, 439.7", \ + " 80.2, 90.1, 114.0, 165.2, 255.5, 447.1", \ + " 90.2, 100.4, 124.7, 176.0, 266.3, 457.9", \ + " 101.3, 111.9, 136.6, 188.2, 278.5, 470.0", \ + " 114.1, 125.3, 150.7, 202.6, 292.9, 484.4", \ + " 128.4, 140.5, 167.0, 219.3, 309.7, 501.2", \ + " 144.4, 157.6, 185.9, 239.5, 330.0, 521.6", \ + " 161.9, 176.5, 207.5, 263.5, 355.1, 546.6" ); } +fall_transition(x2_260_6x10) { /* 15%-85%, scaled to 0%-100% */ +values( " 50.1, 70.0, 123.3, 245.4, 464.7, 931.9", \ + " 50.2, 70.0, 123.3, 245.4, 464.7, 931.9", \ + " 50.6, 70.2, 123.4, 245.4, 464.7, 931.9", \ + " 52.2, 71.3, 123.8, 245.5, 464.7, 931.9", \ + " 55.4, 74.1, 125.6, 246.1, 464.8, 931.9", \ + " 59.3, 77.6, 128.3, 247.4, 465.2, 931.9", \ + " 65.1, 83.1, 132.0, 249.5, 466.1, 932.1", \ + " 73.1, 91.0, 138.4, 252.7, 467.5, 932.7", \ + " 83.9, 102.0, 148.5, 259.2, 470.4, 933.7", \ + " 98.7, 117.4, 163.7, 271.0, 477.3, 936.5" ); }} +} +} +cell(xor2v3x1) { /* 2008-01-06:08h07 characteristic delay 24.2 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1294 ; /* xor2v3x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v3x1 FO4 effort 2.21 logical effort 2.77 */ +direction : input ; +capacitance : 6.17 ; +rise_capacitance : 6.20 ; +fall_capacitance : 6.14 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v3x1 */ +} +pin(b) { /* xor2v3x1 FO4 effort 2.20 logical effort 2.86 */ +direction : input ; +capacitance : 6.15 ; +rise_capacitance : 6.30 ; +fall_capacitance : 6.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v3x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 100 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v3x1 21.74 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 11.04, 11.06, 11.08, 11.09, 11.07", \ + " 10.76, 10.80, 10.84, 10.87, 10.88", \ + " 10.74, 10.77, 10.82, 10.86, 10.87", \ + " 10.82, 10.83, 10.87, 10.91, 10.92", \ + " 11.12, 11.11, 11.11, 11.12, 11.13", \ + " 11.79, 11.73, 11.65, 11.59, 11.56", \ + " 13.17, 13.02, 12.78, 12.55, 12.41", \ + " 15.70, 15.41, 14.91, 14.37, 13.97", \ + " 20.09, 19.63, 18.76, 17.69, 16.83", \ + " 27.28, 26.65, 25.36, 23.55, 21.92" ); }} +internal_power(a_z_p) { /* xor2v3x1 21.36 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.73, 10.78, 10.84, 10.87, 10.87", \ + " 10.52, 10.58, 10.65, 10.70, 10.71", \ + " 10.50, 10.56, 10.64, 10.69, 10.71", \ + " 10.57, 10.61, 10.68, 10.74, 10.77", \ + " 10.81, 10.83, 10.88, 10.93, 10.96", \ + " 11.35, 11.33, 11.32, 11.34, 11.34", \ + " 12.40, 12.30, 12.19, 12.12, 12.07", \ + " 14.25, 14.06, 13.79, 13.53, 13.35", \ + " 17.42, 17.11, 16.60, 16.04, 15.61", \ + " 22.59, 22.13, 21.34, 20.35, 19.51" ); }} +internal_power(b_z_n) { /* xor2v3x1 18.96 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.60, 9.67, 9.73, 9.76, 9.76", \ + " 9.29, 9.36, 9.46, 9.54, 9.57", \ + " 9.29, 9.34, 9.43, 9.51, 9.55", \ + " 9.40, 9.42, 9.48, 9.56, 9.61", \ + " 9.77, 9.74, 9.74, 9.78, 9.81", \ + " 10.53, 10.44, 10.33, 10.27, 10.25", \ + " 12.00, 11.81, 11.52, 11.27, 11.13", \ + " 14.59, 14.26, 13.71, 13.13, 12.72", \ + " 18.98, 18.48, 17.56, 16.47, 15.61", \ + " 26.10, 25.43, 24.08, 22.27, 20.67" ); }} +internal_power(b_z_p) { /* xor2v3x1 19.56 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.95, 9.98, 9.96, 9.90, 9.83", \ + " 9.71, 9.74, 9.76, 9.73, 9.68", \ + " 9.68, 9.70, 9.73, 9.72, 9.69", \ + " 9.74, 9.75, 9.78, 9.78, 9.75", \ + " 9.99, 9.98, 9.99, 9.98, 9.96", \ + " 10.55, 10.50, 10.45, 10.41, 10.37", \ + " 11.63, 11.51, 11.35, 11.22, 11.12", \ + " 13.50, 13.29, 12.97, 12.66, 12.44", \ + " 16.67, 16.32, 15.78, 15.19, 14.73", \ + " 21.80, 21.32, 20.48, 19.48, 18.63" ); }} +timing() { /* ring osc delay xor2v3x1, path a to z 84.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 70.2 ; */ +/* intrinsic_fall : 47.5 ; */ +/* rise_resistance : 4.31 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 55.2, 68.0, 102.3, 179.9, 317.5, 609.6", \ + " 62.6, 75.5, 109.9, 187.7, 325.4, 617.5", \ + " 68.0, 80.9, 115.2, 193.0, 330.8, 623.0", \ + " 74.6, 87.5, 121.8, 199.6, 337.4, 629.6", \ + " 83.8, 98.0, 132.7, 210.4, 348.2, 640.4", \ + " 93.8, 109.4, 147.4, 225.5, 363.3, 655.5", \ + " 106.5, 123.8, 165.7, 248.5, 386.1, 678.2", \ + " 123.6, 143.1, 189.1, 280.3, 420.6, 712.0", \ + " 148.2, 170.3, 221.4, 321.5, 473.3, 764.3", \ + " 183.8, 209.3, 267.1, 377.5, 544.1, 845.8" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 105.1, 141.7, 239.9, 462.4, 859.5, 1702.3", \ + " 105.6, 142.2, 240.2, 462.5, 859.5, 1702.3", \ + " 107.7, 143.6, 240.6, 462.6, 859.4, 1702.3", \ + " 111.3, 146.9, 243.1, 463.3, 859.4, 1702.3", \ + " 119.6, 154.6, 249.6, 467.6, 860.7, 1702.2", \ + " 136.2, 169.3, 262.2, 477.3, 866.7, 1703.0", \ + " 162.9, 198.6, 287.1, 497.1, 881.0, 1710.2", \ + " 203.6, 241.0, 333.0, 534.1, 909.6, 1728.7", \ + " 270.4, 308.3, 403.7, 601.0, 963.7, 1767.4", \ + " 373.2, 412.0, 509.4, 713.3, 1060.9, 1842.3" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 37.4, 47.2, 73.0, 129.6, 228.4, 436.8", \ + " 42.0, 51.7, 77.4, 134.1, 233.0, 441.4", \ + " 45.7, 55.1, 80.6, 137.3, 236.3, 444.7", \ + " 49.6, 59.6, 85.0, 141.7, 240.7, 449.1", \ + " 54.7, 65.6, 92.7, 149.5, 248.5, 457.0", \ + " 59.7, 71.9, 101.4, 160.9, 259.8, 468.3", \ + " 64.2, 78.1, 111.4, 176.4, 277.2, 485.5", \ + " 66.9, 83.2, 121.5, 194.1, 302.5, 511.0", \ + " 66.6, 85.7, 130.4, 213.6, 333.5, 550.0", \ + " 60.8, 83.2, 135.9, 233.3, 369.1, 605.7" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 80.4, 105.4, 173.2, 326.9, 601.2, 1182.9", \ + " 82.6, 107.0, 173.7, 326.3, 600.5, 1182.5", \ + " 86.4, 110.4, 176.1, 327.4, 600.0, 1182.2", \ + " 93.1, 116.6, 180.9, 330.3, 601.0, 1181.7", \ + " 107.8, 130.2, 192.2, 338.1, 605.4, 1182.5", \ + " 128.3, 152.6, 212.4, 353.5, 615.6, 1187.3", \ + " 158.2, 184.3, 246.8, 382.1, 636.8, 1200.1", \ + " 201.4, 229.3, 295.5, 430.9, 675.7, 1226.7", \ + " 264.0, 294.7, 365.3, 507.6, 744.9, 1278.3", \ + " 354.8, 389.3, 466.7, 617.7, 861.1, 1372.9" ); }} +timing() { /* ring osc delay xor2v3x1, path a to z 118.7 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.0 ; */ +/* intrinsic_fall : 104.5 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.8, 88.7, 124.4, 202.8, 340.7, 632.9", \ + " 81.8, 95.6, 131.3, 209.8, 347.7, 640.0", \ + " 87.0, 100.7, 136.4, 214.8, 352.8, 645.1", \ + " 93.2, 106.8, 142.3, 220.8, 358.8, 651.1", \ + " 101.8, 115.2, 150.4, 228.7, 366.7, 659.1", \ + " 110.5, 123.8, 158.6, 236.5, 374.4, 666.7", \ + " 119.2, 132.5, 166.9, 244.3, 381.9, 674.1", \ + " 126.9, 140.4, 174.6, 251.4, 388.5, 680.4", \ + " 132.6, 146.4, 180.6, 256.9, 393.4, 684.7", \ + " 134.0, 148.3, 182.9, 258.7, 394.8, 685.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 102.9, 136.7, 228.5, 437.6, 810.2, 1601.8", \ + " 103.0, 136.8, 228.5, 437.6, 810.2, 1601.8", \ + " 103.2, 136.9, 228.6, 437.6, 810.2, 1601.8", \ + " 104.1, 137.5, 228.7, 437.6, 810.2, 1601.8", \ + " 106.4, 139.2, 229.4, 437.6, 810.2, 1601.8", \ + " 109.7, 141.9, 230.9, 437.8, 810.1, 1601.8", \ + " 114.9, 145.9, 233.5, 438.7, 810.0, 1601.6", \ + " 122.6, 152.9, 237.8, 440.5, 810.4, 1601.5", \ + " 132.8, 162.5, 245.7, 444.1, 811.8, 1601.8", \ + " 146.6, 175.7, 257.0, 452.4, 815.0, 1603.2" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.6, 93.1, 120.1, 176.3, 274.4, 482.0", \ + " 89.3, 100.8, 127.9, 184.2, 282.3, 490.0", \ + " 95.6, 107.1, 134.3, 190.7, 288.8, 496.5", \ + " 104.2, 115.6, 142.8, 199.3, 297.5, 505.2", \ + " 118.2, 129.7, 157.0, 213.6, 311.9, 519.6", \ + " 135.1, 146.8, 174.4, 231.2, 329.5, 537.3", \ + " 156.3, 168.3, 196.3, 253.4, 351.7, 559.5", \ + " 183.0, 195.3, 223.8, 281.3, 379.8, 587.5", \ + " 217.9, 230.8, 260.0, 318.0, 416.5, 624.3", \ + " 264.4, 278.0, 308.4, 367.4, 466.1, 673.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 85.0, 146.5, 287.1, 539.7, 1080.0", \ + " 62.0, 85.0, 146.6, 287.1, 539.9, 1080.2", \ + " 62.0, 85.0, 146.6, 287.2, 539.9, 1080.2", \ + " 62.4, 85.3, 146.7, 287.2, 539.9, 1080.2", \ + " 64.3, 86.7, 147.4, 287.5, 540.0, 1080.3", \ + " 68.6, 90.2, 149.8, 288.6, 540.4, 1080.3", \ + " 74.0, 95.2, 153.5, 290.7, 541.5, 1080.6", \ + " 81.2, 101.8, 158.6, 293.9, 543.1, 1081.2", \ + " 91.3, 111.3, 166.3, 298.6, 545.7, 1082.1", \ + " 105.9, 125.1, 178.4, 306.8, 550.5, 1084.1" ); }} +timing() { /* ring osc delay xor2v3x1, path b to z 81.8 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.4 ; */ +/* intrinsic_fall : 47.9 ; */ +/* rise_resistance : 4.34 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.9, 61.0, 95.4, 173.5, 311.5, 603.8", \ + " 55.0, 68.1, 102.7, 181.2, 319.4, 611.8", \ + " 60.3, 73.3, 107.9, 186.4, 324.7, 617.2", \ + " 66.8, 79.8, 114.2, 192.8, 331.2, 623.8", \ + " 74.9, 89.7, 124.8, 203.3, 341.8, 634.5", \ + " 83.6, 100.3, 139.0, 218.2, 356.7, 649.4", \ + " 94.3, 113.6, 156.1, 241.0, 379.3, 671.9", \ + " 108.1, 130.4, 178.0, 271.5, 413.5, 705.6", \ + " 127.2, 153.2, 207.9, 310.7, 465.3, 757.5", \ + " 154.8, 185.0, 248.8, 363.3, 534.0, 838.4" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 99.5, 136.7, 235.8, 459.5, 857.9, 1702.2", \ + " 100.0, 137.0, 235.9, 459.5, 857.9, 1702.2", \ + " 102.4, 138.5, 236.2, 459.4, 857.8, 1702.2", \ + " 106.2, 141.8, 238.6, 460.0, 857.6, 1702.1", \ + " 114.5, 149.1, 244.7, 464.2, 858.9, 1701.9", \ + " 132.0, 163.7, 256.8, 473.5, 864.9, 1702.9", \ + " 157.6, 193.1, 281.1, 492.5, 879.0, 1710.7", \ + " 197.5, 234.3, 327.3, 528.4, 907.0, 1729.6", \ + " 263.9, 300.7, 396.1, 594.5, 959.6, 1768.3", \ + " 367.0, 404.2, 499.9, 705.8, 1054.9, 1842.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 32.6, 42.5, 68.5, 125.2, 224.1, 432.5", \ + " 38.6, 48.3, 74.2, 131.0, 230.0, 438.4", \ + " 43.9, 53.2, 79.0, 135.7, 234.7, 443.1", \ + " 49.2, 59.9, 85.5, 142.2, 241.1, 449.6", \ + " 55.9, 68.4, 97.5, 153.9, 252.7, 461.0", \ + " 62.3, 76.8, 110.9, 171.0, 269.4, 477.6", \ + " 68.5, 85.3, 125.1, 195.5, 295.2, 502.8", \ + " 73.9, 93.3, 139.5, 222.0, 333.5, 540.3", \ + " 77.5, 100.3, 153.9, 249.8, 381.4, 597.6", \ + " 78.0, 104.8, 167.7, 278.9, 432.8, 683.1" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 77.1, 101.9, 168.7, 319.7, 589.2, 1157.8", \ + " 81.3, 104.8, 169.6, 319.2, 588.8, 1157.7", \ + " 87.6, 110.4, 173.6, 320.8, 588.6, 1157.6", \ + " 97.2, 119.6, 181.1, 325.4, 590.0, 1157.5", \ + " 116.0, 137.5, 197.2, 337.3, 596.9, 1158.0", \ + " 138.7, 164.4, 223.2, 358.8, 612.3, 1165.0", \ + " 170.0, 198.5, 264.2, 396.2, 641.9, 1184.3", \ + " 213.8, 245.4, 317.9, 455.1, 693.3, 1222.8", \ + " 276.6, 312.1, 392.0, 542.8, 778.5, 1293.1", \ + " 367.0, 407.7, 497.2, 662.6, 912.5, 1414.8" ); }} +timing() { /* ring osc delay xor2v3x1, path b to z 115.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 87.3 ; */ +/* intrinsic_fall : 100.3 ; */ +/* rise_resistance : 4.28 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 74.4, 87.3, 121.2, 197.7, 334.4, 625.8", \ + " 81.1, 94.1, 128.2, 204.8, 341.5, 632.9", \ + " 85.8, 98.8, 133.1, 209.8, 346.6, 638.1", \ + " 91.2, 104.3, 138.7, 215.7, 352.6, 644.0", \ + " 98.7, 111.8, 146.2, 223.3, 360.3, 651.8", \ + " 107.4, 120.3, 153.6, 230.8, 367.8, 659.3", \ + " 115.4, 128.7, 162.6, 238.0, 375.0, 666.4", \ + " 122.1, 135.7, 170.0, 246.0, 381.2, 672.5", \ + " 126.5, 140.7, 175.2, 251.5, 386.9, 676.5", \ + " 126.3, 141.2, 176.4, 252.5, 388.6, 677.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 100.7, 135.1, 227.6, 436.9, 809.8, 1601.5", \ + " 101.0, 135.3, 227.6, 436.9, 809.8, 1601.5", \ + " 101.5, 135.6, 227.6, 436.9, 809.8, 1601.5", \ + " 102.4, 136.5, 227.9, 436.9, 809.8, 1601.5", \ + " 102.6, 136.1, 227.4, 436.6, 809.7, 1601.5", \ + " 103.7, 136.9, 227.2, 435.2, 808.6, 1601.5", \ + " 106.5, 138.5, 228.0, 434.6, 807.0, 1600.3", \ + " 112.4, 143.6, 230.2, 434.9, 806.1, 1598.5", \ + " 121.0, 151.7, 236.4, 436.6, 806.2, 1597.5", \ + " 133.4, 163.4, 246.2, 443.3, 807.9, 1597.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.8, 89.2, 115.7, 171.2, 268.9, 476.3", \ + " 85.4, 96.8, 123.3, 179.0, 276.7, 484.1", \ + " 91.7, 103.1, 129.7, 185.4, 283.1, 490.6", \ + " 100.2, 111.6, 138.3, 194.1, 291.9, 499.3", \ + " 114.3, 125.8, 152.6, 208.5, 306.3, 513.7", \ + " 131.3, 143.2, 170.5, 226.5, 324.3, 531.7", \ + " 152.7, 165.1, 193.1, 249.5, 347.2, 554.5", \ + " 179.6, 192.6, 221.4, 278.2, 376.1, 583.2", \ + " 214.6, 228.4, 258.6, 316.2, 414.0, 621.1", \ + " 261.1, 275.9, 308.1, 367.6, 465.8, 672.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.6, 86.8, 147.9, 287.6, 539.9, 1080.2", \ + " 63.8, 86.8, 147.9, 287.6, 539.9, 1080.2", \ + " 63.9, 86.9, 147.9, 287.6, 539.9, 1080.2", \ + " 64.6, 87.4, 148.2, 287.6, 539.9, 1080.2", \ + " 67.6, 89.8, 149.5, 288.1, 540.0, 1080.3", \ + " 72.4, 94.3, 152.8, 289.9, 540.6, 1080.3", \ + " 78.3, 100.0, 157.8, 292.9, 542.1, 1080.7", \ + " 86.3, 108.0, 164.3, 297.3, 544.4, 1081.5", \ + " 97.2, 118.9, 174.4, 304.1, 548.2, 1082.9", \ + " 112.7, 134.3, 189.4, 316.2, 555.4, 1086.2" ); }} +} +} +cell(xor2v4x1) { /* 2008-01-06:08h08 characteristic delay 23.8 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1294 ; /* xor2v4x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v4x1 FO4 effort 2.13 logical effort 2.79 */ +direction : input ; +capacitance : 6.01 ; +rise_capacitance : 6.14 ; +fall_capacitance : 5.89 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v4x1 */ +} +pin(b) { /* xor2v4x1 FO4 effort 2.06 logical effort 2.78 */ +direction : input ; +capacitance : 5.84 ; +rise_capacitance : 5.50 ; +fall_capacitance : 6.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v4x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 97 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v4x1 22.05 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 11.22, 11.27, 11.32, 11.35, 11.35", \ + " 10.90, 10.97, 11.06, 11.13, 11.16", \ + " 10.86, 10.91, 11.00, 11.09, 11.13", \ + " 10.93, 10.96, 11.02, 11.10, 11.15", \ + " 11.27, 11.24, 11.23, 11.27, 11.30", \ + " 12.02, 11.91, 11.79, 11.71, 11.67", \ + " 13.50, 13.28, 12.95, 12.66, 12.47", \ + " 16.09, 15.73, 15.12, 14.47, 14.00", \ + " 20.45, 19.93, 18.95, 17.77, 16.82", \ + " 27.50, 26.82, 25.44, 23.55, 21.86" ); }} +internal_power(a_z_p) { /* xor2v4x1 25.39 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 12.96, 12.98, 12.95, 12.89, 12.83", \ + " 12.71, 12.73, 12.74, 12.71, 12.66", \ + " 12.65, 12.67, 12.69, 12.68, 12.64", \ + " 12.66, 12.68, 12.69, 12.69, 12.66", \ + " 12.85, 12.84, 12.84, 12.83, 12.80", \ + " 13.34, 13.30, 13.24, 13.19, 13.14", \ + " 14.34, 14.24, 14.08, 13.93, 13.81", \ + " 16.13, 15.94, 15.63, 15.30, 15.05", \ + " 19.15, 18.87, 18.36, 17.76, 17.27", \ + " 24.11, 23.72, 22.97, 21.98, 21.10" ); }} +internal_power(b_z_n) { /* xor2v4x1 17.09 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 8.56, 8.65, 8.75, 8.80, 8.81", \ + " 8.23, 8.34, 8.51, 8.63, 8.69", \ + " 8.23, 8.32, 8.48, 8.61, 8.68", \ + " 8.38, 8.43, 8.54, 8.67, 8.74", \ + " 8.87, 8.85, 8.86, 8.91, 8.96", \ + " 9.85, 9.71, 9.56, 9.48, 9.44", \ + " 11.62, 11.34, 10.95, 10.60, 10.39", \ + " 14.56, 14.13, 13.40, 12.65, 12.12", \ + " 19.36, 18.74, 17.60, 16.26, 15.21", \ + " 26.98, 26.19, 24.59, 22.46, 20.60" ); }} +internal_power(b_z_p) { /* xor2v4x1 19.43 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.91, 9.91, 9.85, 9.74, 9.64", \ + " 9.66, 9.69, 9.68, 9.61, 9.54", \ + " 9.64, 9.66, 9.66, 9.61, 9.55", \ + " 9.72, 9.72, 9.72, 9.68, 9.62", \ + " 10.03, 9.99, 9.95, 9.90, 9.83", \ + " 10.68, 10.59, 10.47, 10.36, 10.26", \ + " 11.89, 11.72, 11.48, 11.24, 11.06", \ + " 13.92, 13.65, 13.23, 12.80, 12.46", \ + " 17.25, 16.87, 16.22, 15.47, 14.87", \ + " 22.60, 22.08, 21.14, 19.97, 18.95" ); }} +timing() { /* ring osc delay xor2v4x1, path a to z 76.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 61.2 ; */ +/* intrinsic_fall : 44.4 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.09 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 48.1, 61.6, 96.5, 174.1, 311.5, 603.2", \ + " 54.4, 68.0, 103.2, 181.2, 318.8, 610.7", \ + " 58.7, 72.4, 107.6, 185.7, 323.4, 615.4", \ + " 64.2, 78.1, 113.4, 191.5, 329.4, 621.4", \ + " 71.3, 87.2, 123.7, 201.8, 339.8, 631.9", \ + " 80.1, 97.8, 138.2, 217.0, 354.8, 647.0", \ + " 92.1, 111.9, 156.5, 240.5, 378.0, 669.9", \ + " 108.4, 130.8, 180.4, 272.7, 413.1, 704.2", \ + " 130.8, 156.6, 212.7, 314.4, 466.3, 757.1", \ + " 161.0, 191.3, 256.3, 370.3, 537.3, 839.2" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 90.3, 126.9, 225.1, 447.0, 842.5, 1683.6", \ + " 91.1, 127.4, 225.2, 447.0, 842.5, 1683.6", \ + " 94.4, 129.9, 226.3, 447.1, 842.5, 1683.6", \ + " 99.6, 134.6, 229.9, 448.4, 842.6, 1683.6", \ + " 111.2, 145.0, 238.5, 454.1, 844.4, 1683.7", \ + " 133.0, 164.0, 254.2, 465.8, 851.4, 1684.6", \ + " 162.1, 197.1, 283.1, 488.3, 867.3, 1692.0", \ + " 206.5, 241.9, 332.9, 528.3, 897.9, 1710.9", \ + " 272.5, 308.4, 402.1, 597.3, 954.3, 1750.4", \ + " 367.2, 405.1, 502.4, 708.0, 1053.0, 1826.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 28.8, 37.7, 62.7, 118.6, 216.8, 424.7", \ + " 35.6, 44.4, 69.4, 125.3, 223.6, 431.5", \ + " 40.9, 49.8, 74.6, 130.5, 228.8, 436.7", \ + " 46.1, 56.4, 81.6, 137.4, 235.6, 443.5", \ + " 52.3, 64.6, 93.7, 149.4, 247.5, 455.3", \ + " 57.9, 72.5, 106.7, 166.7, 264.5, 472.0", \ + " 63.1, 80.0, 120.1, 190.7, 290.1, 497.0", \ + " 67.0, 86.7, 133.1, 216.0, 328.1, 534.2", \ + " 68.5, 91.6, 145.5, 242.0, 374.4, 590.9", \ + " 65.8, 92.9, 156.1, 268.3, 423.3, 675.0" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 64.6, 89.8, 156.8, 307.6, 575.2, 1139.1", \ + " 70.2, 94.0, 158.7, 307.6, 575.2, 1139.1", \ + " 77.2, 100.3, 163.3, 309.5, 575.1, 1139.1", \ + " 87.8, 110.3, 171.3, 314.5, 576.3, 1139.1", \ + " 107.0, 129.2, 188.3, 326.9, 583.1, 1139.2", \ + " 129.0, 155.4, 215.1, 349.0, 598.5, 1144.4", \ + " 160.6, 189.4, 256.4, 387.3, 628.6, 1162.0", \ + " 204.9, 236.6, 309.8, 447.3, 681.5, 1199.6", \ + " 268.3, 304.0, 384.5, 535.8, 769.2, 1270.9", \ + " 359.8, 401.1, 491.2, 657.1, 907.0, 1397.3" ); }} +timing() { /* ring osc delay xor2v4x1, path a to z 141.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 117.4 ; */ +/* intrinsic_fall : 120.1 ; */ +/* rise_resistance : 4.28 ; */ +/* fall_resistance : 3.08 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 102.4, 115.5, 149.5, 225.7, 362.0, 652.9", \ + " 109.7, 122.9, 157.3, 233.8, 370.4, 661.4", \ + " 114.8, 128.1, 162.6, 239.4, 376.0, 667.1", \ + " 120.9, 134.3, 169.0, 246.0, 382.8, 674.0", \ + " 129.3, 142.8, 177.8, 255.1, 392.1, 683.4", \ + " 138.0, 151.3, 186.6, 264.2, 401.3, 692.7", \ + " 147.7, 161.3, 196.0, 273.3, 410.7, 702.2", \ + " 156.4, 170.3, 205.6, 282.5, 419.3, 711.0", \ + " 163.3, 177.7, 213.5, 291.1, 427.4, 718.4", \ + " 166.5, 181.3, 217.8, 296.0, 433.1, 722.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.0, 152.9, 244.6, 452.8, 824.8, 1616.5", \ + " 119.1, 153.2, 244.9, 453.2, 825.3, 1616.9", \ + " 119.4, 153.4, 245.1, 453.4, 825.5, 1617.1", \ + " 119.9, 153.8, 245.3, 453.5, 825.6, 1617.3", \ + " 121.1, 154.8, 246.2, 453.9, 825.8, 1617.4", \ + " 121.3, 154.8, 245.6, 453.5, 826.1, 1617.5", \ + " 122.4, 155.5, 245.8, 452.8, 824.6, 1617.5", \ + " 127.0, 158.8, 246.5, 452.9, 823.8, 1615.9", \ + " 134.3, 165.5, 251.7, 453.9, 823.9, 1614.9", \ + " 145.3, 175.8, 260.5, 459.6, 825.4, 1615.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.7, 109.3, 135.4, 191.1, 288.2, 493.5", \ + " 106.2, 116.8, 143.0, 198.6, 295.7, 501.0", \ + " 112.4, 123.0, 149.2, 204.9, 302.0, 507.3", \ + " 120.7, 131.4, 157.7, 213.4, 310.5, 515.8", \ + " 134.4, 145.2, 171.6, 227.4, 324.5, 529.8", \ + " 151.1, 162.1, 188.7, 244.6, 341.8, 547.1", \ + " 172.4, 183.4, 210.3, 266.5, 363.7, 569.0", \ + " 199.0, 210.3, 237.6, 294.0, 391.2, 596.5", \ + " 233.9, 245.6, 273.4, 330.3, 427.5, 632.7", \ + " 280.4, 292.6, 321.3, 379.0, 476.4, 681.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 80.0, 103.0, 164.3, 302.9, 551.2, 1081.8", \ + " 80.0, 103.0, 164.3, 302.9, 551.2, 1081.8", \ + " 80.0, 103.0, 164.3, 302.9, 551.2, 1081.8", \ + " 80.3, 103.2, 164.4, 302.9, 551.2, 1081.8", \ + " 81.6, 104.3, 165.0, 303.2, 551.3, 1081.8", \ + " 84.3, 106.6, 166.6, 304.2, 551.7, 1081.8", \ + " 88.0, 109.9, 169.3, 305.7, 552.5, 1082.1", \ + " 94.2, 115.4, 173.0, 308.1, 553.7, 1082.4", \ + " 103.2, 123.9, 179.9, 311.7, 555.9, 1083.0", \ + " 116.6, 136.7, 191.0, 319.4, 559.6, 1084.7" ); }} +timing() { /* ring osc delay xor2v4x1, path b to z 69.7 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 54.6 ; */ +/* intrinsic_fall : 41.5 ; */ +/* rise_resistance : 4.30 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.3, 53.6, 87.5, 165.5, 303.5, 595.7", \ + " 47.3, 59.5, 93.3, 171.5, 309.6, 602.0", \ + " 52.9, 64.8, 98.3, 176.4, 314.5, 606.9", \ + " 60.7, 72.6, 105.4, 183.2, 321.2, 613.6", \ + " 71.5, 85.4, 118.8, 195.7, 333.3, 625.4", \ + " 84.8, 100.4, 138.2, 214.5, 351.2, 642.8", \ + " 102.5, 119.9, 162.4, 244.0, 379.2, 669.5", \ + " 125.9, 145.4, 192.8, 285.7, 421.9, 709.8", \ + " 157.6, 179.9, 233.1, 337.7, 488.3, 772.6", \ + " 201.2, 227.2, 288.0, 405.2, 576.9, 871.3" ); } +rise_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 102.0, 139.6, 238.5, 459.9, 852.0, 1679.1", \ + " 105.1, 141.4, 238.4, 459.3, 851.6, 1679.0", \ + " 110.8, 146.2, 241.4, 459.2, 851.3, 1679.0", \ + " 119.7, 154.2, 247.2, 461.8, 850.7, 1678.8", \ + " 137.3, 170.4, 260.3, 470.1, 853.5, 1678.6", \ + " 165.7, 196.0, 282.6, 486.4, 863.3, 1679.2", \ + " 197.5, 236.8, 319.7, 516.4, 884.4, 1689.3", \ + " 241.0, 283.2, 377.8, 567.3, 923.8, 1714.8", \ + " 304.8, 350.3, 455.0, 650.1, 994.2, 1765.8", \ + " 398.5, 448.1, 561.6, 777.8, 1114.2, 1860.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.6, 40.7, 65.0, 120.8, 219.2, 427.2", \ + " 37.2, 46.5, 71.1, 127.3, 225.9, 434.0", \ + " 40.3, 50.0, 74.6, 131.0, 229.7, 437.9", \ + " 42.7, 53.5, 79.1, 135.4, 234.3, 442.6", \ + " 45.2, 57.4, 86.0, 142.9, 241.9, 450.3", \ + " 46.6, 60.9, 92.9, 153.9, 252.9, 461.3", \ + " 46.1, 63.1, 100.4, 167.8, 269.7, 478.1", \ + " 42.2, 62.6, 106.8, 183.2, 294.0, 503.2", \ + " 33.2, 57.5, 110.4, 199.3, 322.8, 541.5", \ + " 16.2, 44.9, 108.0, 213.2, 355.0, 595.3" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 57.8, 84.0, 153.0, 307.9, 583.2, 1164.3", \ + " 59.6, 85.0, 153.1, 307.7, 583.0, 1164.3", \ + " 63.4, 88.1, 155.1, 308.1, 582.5, 1164.1", \ + " 70.3, 93.8, 159.1, 310.4, 583.1, 1163.8", \ + " 85.7, 107.3, 169.3, 317.2, 587.0, 1164.5", \ + " 104.2, 129.0, 188.5, 331.1, 596.4, 1169.5", \ + " 133.4, 159.0, 222.6, 358.2, 616.4, 1182.2", \ + " 177.8, 204.0, 269.5, 406.3, 653.7, 1208.8", \ + " 244.9, 271.8, 339.1, 482.0, 720.8, 1259.9", \ + " 344.8, 372.1, 442.9, 590.8, 836.1, 1353.2" ); }} +timing() { /* ring osc delay xor2v4x1, path b to z 116.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 84.4 ; */ +/* intrinsic_fall : 107.4 ; */ +/* rise_resistance : 4.29 ; */ +/* fall_resistance : 3.02 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.2, 83.7, 118.0, 194.5, 331.2, 622.6", \ + " 77.0, 90.5, 125.0, 201.8, 338.5, 629.9", \ + " 82.0, 95.4, 130.1, 207.1, 343.9, 635.3", \ + " 87.8, 101.3, 136.0, 213.2, 350.1, 641.5", \ + " 95.6, 109.1, 143.8, 221.1, 358.2, 649.6", \ + " 103.9, 117.3, 151.5, 228.8, 365.9, 657.3", \ + " 112.0, 125.6, 159.9, 236.4, 373.4, 664.9", \ + " 119.2, 133.1, 167.6, 243.8, 380.2, 671.5", \ + " 124.1, 138.7, 173.7, 250.1, 386.1, 676.7", \ + " 124.6, 140.0, 176.1, 253.0, 389.4, 679.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 86.9, 120.6, 212.2, 420.6, 792.8, 1584.1", \ + " 87.1, 120.7, 212.2, 420.6, 792.8, 1584.1", \ + " 87.6, 121.1, 212.3, 420.7, 792.8, 1584.1", \ + " 89.1, 122.2, 212.7, 420.7, 792.8, 1584.1", \ + " 91.1, 123.5, 213.4, 421.0, 792.8, 1584.1", \ + " 93.0, 125.9, 214.5, 420.9, 792.7, 1584.1", \ + " 99.3, 129.7, 216.7, 421.5, 792.4, 1583.9", \ + " 107.5, 137.3, 221.1, 423.3, 792.7, 1583.5", \ + " 118.6, 148.0, 230.4, 427.1, 794.5, 1583.8", \ + " 134.1, 163.2, 244.2, 438.2, 798.9, 1586.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.8, 96.7, 121.5, 175.6, 271.6, 476.2", \ + " 94.4, 104.3, 129.2, 183.3, 279.3, 483.9", \ + " 100.6, 110.6, 135.5, 189.7, 285.7, 490.3", \ + " 108.9, 119.0, 144.0, 198.3, 294.3, 498.8", \ + " 122.6, 132.9, 158.2, 212.6, 308.6, 513.1", \ + " 139.6, 150.2, 176.1, 230.6, 326.6, 531.0", \ + " 161.4, 172.7, 199.1, 253.7, 349.6, 553.9", \ + " 188.2, 200.2, 227.7, 283.2, 378.7, 582.7", \ + " 223.2, 236.0, 264.9, 321.4, 417.5, 620.7", \ + " 269.5, 283.5, 314.3, 372.5, 469.4, 672.9" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 84.9, 108.0, 168.2, 304.6, 551.6, 1081.7", \ + " 85.0, 108.0, 168.1, 304.6, 551.5, 1081.7", \ + " 85.4, 108.2, 168.2, 304.6, 551.5, 1081.7", \ + " 86.6, 109.0, 168.5, 304.7, 551.6, 1081.7", \ + " 87.6, 110.1, 169.9, 305.4, 551.8, 1081.8", \ + " 89.0, 111.2, 170.1, 305.6, 552.5, 1081.8", \ + " 91.0, 113.4, 172.5, 306.5, 551.8, 1081.8", \ + " 95.9, 118.1, 175.8, 309.1, 552.4, 1080.5", \ + " 103.9, 126.2, 182.9, 313.2, 554.8, 1080.4", \ + " 116.3, 138.9, 195.1, 322.5, 559.9, 1082.4" ); }} +} +} +cell(xor2v5x1) { /* 2008-01-06:08h08 characteristic delay 24.3 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1294 ; /* xor2v5x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v5x1 FO4 effort 2.16 logical effort 2.80 */ +direction : input ; +capacitance : 6.18 ; +rise_capacitance : 6.16 ; +fall_capacitance : 6.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v5x1 */ +} +pin(b) { /* xor2v5x1 FO4 effort 2.14 logical effort 2.86 */ +direction : input ; +capacitance : 6.11 ; +rise_capacitance : 6.22 ; +fall_capacitance : 6.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v5x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 100 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v5x1 20.97 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.64, 10.66, 10.69, 10.70, 10.69", \ + " 10.36, 10.41, 10.46, 10.50, 10.51", \ + " 10.34, 10.38, 10.43, 10.48, 10.49", \ + " 10.43, 10.44, 10.48, 10.53, 10.54", \ + " 10.76, 10.74, 10.72, 10.73, 10.73", \ + " 11.52, 11.42, 11.30, 11.21, 11.15", \ + " 13.04, 12.83, 12.51, 12.20, 12.00", \ + " 15.73, 15.37, 14.75, 14.08, 13.59", \ + " 20.23, 19.70, 18.71, 17.49, 16.50", \ + " 27.44, 26.76, 25.37, 23.44, 21.66" ); }} +internal_power(a_z_p) { /* xor2v5x1 21.11 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.59, 10.66, 10.75, 10.81, 10.83", \ + " 10.36, 10.44, 10.55, 10.64, 10.67", \ + " 10.34, 10.41, 10.52, 10.62, 10.65", \ + " 10.40, 10.45, 10.56, 10.65, 10.70", \ + " 10.65, 10.67, 10.74, 10.82, 10.86", \ + " 11.22, 11.19, 11.18, 11.20, 11.22", \ + " 12.32, 12.21, 12.08, 11.98, 11.93", \ + " 14.25, 14.03, 13.71, 13.41, 13.20", \ + " 17.45, 17.11, 16.56, 15.94, 15.47", \ + " 22.61, 22.15, 21.32, 20.29, 19.39" ); }} +internal_power(b_z_n) { /* xor2v5x1 18.11 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.16, 9.23, 9.30, 9.34, 9.34", \ + " 8.86, 8.93, 9.04, 9.12, 9.15", \ + " 8.85, 8.90, 9.00, 9.09, 9.13", \ + " 8.97, 8.99, 9.05, 9.13, 9.18", \ + " 9.37, 9.33, 9.31, 9.34, 9.37", \ + " 10.20, 10.08, 9.93, 9.84, 9.80", \ + " 11.78, 11.54, 11.18, 10.86, 10.67", \ + " 14.49, 14.10, 13.45, 12.76, 12.28", \ + " 18.97, 18.41, 17.39, 16.17, 15.20", \ + " 26.10, 25.38, 23.96, 22.04, 20.32" ); }} +internal_power(b_z_p) { /* xor2v5x1 19.34 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 9.82, 9.86, 9.88, 9.85, 9.81", \ + " 9.57, 9.62, 9.68, 9.69, 9.67", \ + " 9.54, 9.58, 9.64, 9.67, 9.66", \ + " 9.59, 9.62, 9.67, 9.71, 9.70", \ + " 9.85, 9.84, 9.86, 9.88, 9.88", \ + " 10.43, 10.37, 10.32, 10.29, 10.26", \ + " 11.55, 11.41, 11.23, 11.09, 10.99", \ + " 13.47, 13.23, 12.88, 12.54, 12.29", \ + " 16.66, 16.29, 15.71, 15.08, 14.58", \ + " 21.78, 21.28, 20.43, 19.39, 18.50" ); }} +timing() { /* ring osc delay xor2v5x1, path a to z 78.9 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 62.2 ; */ +/* intrinsic_fall : 46.0 ; */ +/* rise_resistance : 4.31 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.0, 61.9, 96.4, 174.1, 311.7, 603.7", \ + " 55.8, 68.8, 103.4, 181.2, 318.9, 611.0", \ + " 60.5, 73.4, 108.0, 185.8, 323.6, 615.7", \ + " 66.4, 79.4, 113.9, 191.8, 329.6, 621.8", \ + " 74.4, 89.1, 124.5, 202.3, 340.1, 632.3", \ + " 84.3, 100.5, 139.3, 217.7, 355.4, 647.4", \ + " 98.0, 115.9, 158.4, 241.6, 378.8, 670.5", \ + " 117.1, 137.0, 183.5, 274.4, 414.3, 705.1", \ + " 143.8, 166.4, 218.1, 317.4, 468.1, 758.3", \ + " 180.8, 207.0, 265.8, 375.7, 540.5, 841.1" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 97.8, 135.0, 233.7, 456.6, 853.6, 1696.0", \ + " 98.7, 135.3, 233.8, 456.6, 853.6, 1696.0", \ + " 101.5, 137.9, 235.1, 456.7, 853.5, 1696.0", \ + " 106.2, 142.2, 238.6, 458.2, 853.4, 1696.0", \ + " 117.0, 152.1, 247.0, 463.9, 855.6, 1695.9", \ + " 138.2, 170.7, 262.6, 475.6, 862.8, 1697.1", \ + " 167.7, 203.7, 291.4, 498.1, 878.7, 1705.0", \ + " 212.5, 249.3, 340.8, 538.2, 909.4, 1724.4", \ + " 278.4, 316.4, 411.3, 607.1, 965.8, 1764.2", \ + " 372.1, 412.6, 512.3, 718.0, 1064.4, 1840.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 35.8, 46.1, 72.0, 128.5, 227.3, 435.6", \ + " 40.1, 50.4, 76.5, 133.1, 231.9, 440.3", \ + " 43.4, 53.6, 79.7, 136.3, 235.2, 443.6", \ + " 46.7, 57.6, 84.1, 140.7, 239.6, 448.0", \ + " 51.0, 63.0, 91.8, 148.5, 247.4, 455.8", \ + " 55.2, 68.8, 100.5, 159.9, 258.7, 467.1", \ + " 58.6, 74.4, 110.3, 175.3, 276.0, 484.2", \ + " 60.4, 78.9, 120.4, 192.9, 301.2, 509.6", \ + " 59.2, 81.0, 129.6, 212.4, 332.0, 548.5", \ + " 52.7, 78.5, 135.6, 231.9, 367.4, 603.9" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 77.4, 102.1, 169.9, 323.6, 598.0, 1180.1", \ + " 80.4, 104.2, 170.7, 323.1, 597.3, 1179.8", \ + " 84.8, 108.0, 173.3, 324.2, 596.8, 1179.4", \ + " 92.4, 114.6, 178.2, 327.2, 597.7, 1178.9", \ + " 108.2, 129.1, 189.8, 335.1, 602.0, 1179.6", \ + " 129.7, 152.3, 210.4, 350.5, 612.2, 1184.6", \ + " 161.1, 184.9, 245.5, 379.4, 633.2, 1197.3", \ + " 206.1, 231.2, 294.8, 428.6, 671.9, 1223.9", \ + " 271.3, 298.2, 365.5, 505.7, 741.0, 1275.3", \ + " 365.3, 394.9, 468.0, 616.3, 857.6, 1369.3" ); }} +timing() { /* ring osc delay xor2v5x1, path a to z 119.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 91.9 ; */ +/* intrinsic_fall : 104.8 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.14 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.9, 89.7, 125.1, 202.9, 340.3, 632.0", \ + " 83.3, 97.2, 132.9, 211.0, 348.6, 640.5", \ + " 88.6, 102.5, 138.1, 216.4, 354.1, 646.1", \ + " 94.9, 108.7, 144.2, 222.5, 360.4, 652.4", \ + " 103.4, 117.1, 152.4, 230.6, 368.5, 660.6", \ + " 111.7, 125.3, 160.6, 238.5, 376.3, 668.5", \ + " 120.1, 133.7, 168.6, 246.4, 384.1, 676.1", \ + " 127.7, 141.5, 176.3, 253.6, 391.1, 683.0", \ + " 133.5, 147.7, 182.7, 259.6, 396.7, 688.4", \ + " 135.1, 149.9, 185.6, 262.8, 399.8, 691.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 99.4, 132.8, 223.9, 432.0, 804.0, 1595.3", \ + " 99.6, 133.0, 224.3, 432.5, 804.5, 1595.7", \ + " 99.8, 133.3, 224.4, 432.7, 804.7, 1595.9", \ + " 100.9, 134.0, 224.7, 432.8, 804.8, 1596.1", \ + " 103.7, 136.1, 225.9, 433.2, 805.0, 1596.3", \ + " 107.6, 139.3, 227.8, 434.0, 805.4, 1596.4", \ + " 112.6, 143.7, 230.9, 435.3, 805.9, 1596.7", \ + " 120.0, 150.3, 235.7, 437.8, 806.8, 1597.2", \ + " 130.7, 160.3, 243.8, 442.7, 809.2, 1598.1", \ + " 145.6, 174.9, 256.8, 452.3, 815.0, 1600.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.8, 93.6, 121.1, 178.0, 276.4, 484.2", \ + " 89.6, 101.3, 128.9, 185.7, 284.2, 492.1", \ + " 95.8, 107.6, 135.1, 192.0, 290.5, 498.5", \ + " 104.3, 115.9, 143.5, 200.4, 299.0, 507.0", \ + " 118.1, 129.8, 157.3, 214.3, 313.0, 521.0", \ + " 134.8, 146.6, 174.4, 231.5, 330.2, 538.3", \ + " 155.8, 167.9, 196.0, 253.4, 352.1, 560.2", \ + " 182.3, 194.7, 223.3, 280.9, 379.8, 587.9", \ + " 217.0, 229.9, 259.2, 317.3, 416.1, 624.3", \ + " 263.2, 276.9, 307.3, 366.4, 465.3, 673.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.1, 84.0, 145.7, 286.4, 539.3, 1079.8", \ + " 61.2, 84.1, 145.7, 286.5, 539.5, 1079.9", \ + " 61.2, 84.2, 145.8, 286.5, 539.5, 1080.0", \ + " 61.6, 84.5, 145.9, 286.6, 539.5, 1080.0", \ + " 63.5, 85.9, 146.7, 286.9, 539.6, 1080.0", \ + " 67.7, 89.4, 149.0, 288.1, 540.0, 1080.1", \ + " 73.1, 94.3, 152.7, 290.1, 541.1, 1080.3", \ + " 80.4, 100.9, 157.7, 293.2, 542.6, 1080.9", \ + " 90.5, 110.4, 165.3, 297.9, 545.2, 1081.8", \ + " 105.2, 124.3, 177.5, 306.0, 549.9, 1083.7" ); }} +timing() { /* ring osc delay xor2v5x1, path b to z 76.5 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 55.4 ; */ +/* intrinsic_fall : 46.6 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 42.5, 55.7, 89.9, 168.0, 306.0, 598.2", \ + " 49.0, 62.2, 96.7, 175.2, 313.3, 605.7", \ + " 53.6, 66.9, 101.2, 179.8, 318.1, 610.5", \ + " 58.9, 73.0, 107.1, 185.6, 324.0, 616.6", \ + " 65.7, 81.9, 117.5, 195.9, 334.3, 627.0", \ + " 74.1, 92.1, 131.7, 210.9, 349.4, 642.0", \ + " 85.5, 105.7, 149.5, 234.5, 372.5, 664.9", \ + " 100.8, 123.9, 172.8, 265.9, 407.5, 699.2", \ + " 122.0, 148.6, 204.5, 306.6, 460.4, 752.0", \ + " 150.9, 182.1, 247.3, 361.2, 530.4, 833.9" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 90.2, 127.8, 227.7, 452.5, 851.8, 1696.5", \ + " 91.3, 128.1, 227.7, 452.4, 851.7, 1696.5", \ + " 94.3, 130.5, 228.6, 452.2, 851.5, 1696.4", \ + " 99.1, 134.8, 231.8, 453.4, 851.1, 1696.3", \ + " 110.1, 144.6, 239.8, 458.5, 853.1, 1696.0", \ + " 131.5, 163.1, 255.0, 469.6, 860.0, 1697.4", \ + " 159.7, 195.8, 283.5, 491.5, 875.5, 1705.7", \ + " 203.8, 240.5, 333.0, 531.0, 905.4, 1725.4", \ + " 270.6, 307.4, 402.1, 599.5, 960.3, 1765.2", \ + " 365.9, 404.5, 502.3, 709.6, 1057.5, 1840.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 31.4, 41.4, 67.0, 123.5, 222.3, 430.7", \ + " 37.3, 47.2, 72.8, 129.4, 228.3, 436.7", \ + " 42.3, 52.1, 77.6, 134.1, 233.0, 441.4", \ + " 47.1, 58.6, 84.2, 140.6, 239.5, 447.9", \ + " 53.2, 66.7, 96.1, 152.3, 251.0, 459.2", \ + " 59.1, 74.8, 109.2, 169.4, 267.7, 475.7", \ + " 64.9, 83.2, 123.1, 193.7, 293.4, 500.8", \ + " 70.0, 91.2, 137.2, 219.8, 331.7, 538.2", \ + " 73.8, 98.4, 151.5, 247.2, 379.1, 595.4", \ + " 74.9, 103.5, 165.0, 275.7, 429.9, 680.6" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 73.3, 98.0, 164.9, 316.0, 585.7, 1155.0", \ + " 78.2, 101.4, 166.1, 315.6, 585.3, 1154.9", \ + " 84.9, 107.3, 170.3, 317.2, 585.1, 1154.8", \ + " 95.0, 116.9, 177.9, 321.8, 586.4, 1154.7", \ + " 114.8, 135.3, 194.3, 333.6, 593.4, 1155.2", \ + " 137.4, 162.5, 220.6, 355.1, 608.7, 1162.4", \ + " 169.3, 197.0, 262.1, 392.6, 638.1, 1181.8", \ + " 214.1, 244.6, 315.7, 451.5, 689.3, 1220.6", \ + " 278.0, 311.9, 390.2, 539.3, 774.0, 1291.2", \ + " 369.8, 408.5, 495.7, 659.1, 907.4, 1413.0" ); }} +timing() { /* ring osc delay xor2v5x1, path b to z 116.7 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 90.1 ; */ +/* intrinsic_fall : 101.6 ; */ +/* rise_resistance : 4.28 ; */ +/* fall_resistance : 3.12 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.2, 89.2, 122.9, 198.9, 335.2, 626.1", \ + " 83.4, 96.5, 130.6, 207.1, 343.6, 634.7", \ + " 88.2, 101.4, 135.8, 212.4, 349.1, 640.3", \ + " 93.8, 107.1, 141.6, 218.5, 355.3, 646.6", \ + " 101.2, 114.7, 149.4, 226.5, 363.4, 654.7", \ + " 109.7, 122.9, 156.9, 234.2, 371.1, 662.5", \ + " 117.6, 131.3, 165.7, 241.7, 378.7, 670.1", \ + " 124.3, 138.4, 173.3, 249.8, 385.5, 676.8", \ + " 129.0, 143.6, 179.1, 256.2, 392.1, 682.2", \ + " 129.2, 144.8, 181.2, 258.9, 395.9, 685.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.9, 130.9, 222.6, 430.8, 802.9, 1594.3", \ + " 97.2, 131.3, 223.0, 431.4, 803.6, 1594.9", \ + " 97.8, 131.6, 223.2, 431.6, 803.8, 1595.1", \ + " 99.3, 132.8, 223.6, 431.8, 803.9, 1595.3", \ + " 99.9, 133.0, 223.7, 432.1, 804.1, 1595.5", \ + " 101.7, 134.3, 223.9, 431.1, 803.6, 1595.6", \ + " 104.2, 136.3, 225.3, 431.0, 802.5, 1595.0", \ + " 109.9, 141.1, 227.9, 431.9, 802.1, 1593.7", \ + " 119.1, 149.7, 234.5, 435.0, 803.3, 1593.4", \ + " 132.7, 163.0, 246.2, 443.2, 807.7, 1595.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.9, 90.6, 117.6, 173.8, 271.9, 479.6", \ + " 86.5, 98.2, 125.2, 181.5, 279.5, 487.3", \ + " 92.8, 104.4, 131.4, 187.7, 285.8, 493.6", \ + " 101.2, 112.7, 139.8, 196.2, 294.3, 502.1", \ + " 115.1, 126.8, 153.9, 210.3, 308.4, 516.2", \ + " 132.1, 144.0, 171.6, 228.0, 326.2, 533.9", \ + " 153.4, 165.9, 194.0, 250.8, 348.8, 556.5", \ + " 180.1, 193.2, 222.2, 279.3, 377.5, 585.0", \ + " 215.1, 229.0, 259.3, 317.2, 415.3, 622.7", \ + " 261.5, 276.4, 308.8, 368.4, 466.9, 674.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.9, 85.8, 147.0, 287.0, 539.6, 1080.0", \ + " 63.0, 85.9, 147.1, 287.0, 539.5, 1080.0", \ + " 63.1, 86.1, 147.1, 287.0, 539.5, 1080.0", \ + " 63.8, 86.6, 147.4, 287.1, 539.6, 1080.0", \ + " 66.7, 89.0, 148.7, 287.6, 539.7, 1080.0", \ + " 71.6, 93.4, 152.0, 289.3, 540.2, 1080.1", \ + " 77.7, 99.2, 157.0, 292.3, 541.7, 1080.5", \ + " 85.8, 107.3, 163.6, 296.7, 544.0, 1081.2", \ + " 96.9, 118.4, 173.7, 303.5, 547.8, 1082.6", \ + " 112.6, 134.0, 188.9, 315.6, 555.0, 1085.8" ); }} +} +} +cell(xor2v6x1) { /* 2008-01-06:08h08 characteristic delay 24.2 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1294 ; /* xor2v6x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v6x1 FO4 effort 2.30 logical effort 2.87 */ +direction : input ; +capacitance : 6.38 ; +rise_capacitance : 6.40 ; +fall_capacitance : 6.36 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v6x1 */ +} +pin(b) { /* xor2v6x1 FO4 effort 2.14 logical effort 2.76 */ +direction : input ; +capacitance : 5.97 ; +rise_capacitance : 6.13 ; +fall_capacitance : 5.80 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v6x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 98 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v6x1 21.89 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 11.15, 11.17, 11.19, 11.20, 11.18", \ + " 10.84, 10.87, 10.92, 10.95, 10.95", \ + " 10.81, 10.84, 10.89, 10.93, 10.94", \ + " 10.89, 10.91, 10.94, 10.99, 11.00", \ + " 11.18, 11.17, 11.18, 11.20, 11.21", \ + " 11.84, 11.79, 11.73, 11.69, 11.66", \ + " 13.18, 13.05, 12.84, 12.65, 12.52", \ + " 15.67, 15.41, 14.95, 14.46, 14.10", \ + " 20.03, 19.60, 18.78, 17.78, 16.97", \ + " 27.22, 26.61, 25.37, 23.63, 22.07" ); }} +internal_power(a_z_p) { /* xor2v6x1 20.32 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 10.26, 10.31, 10.35, 10.37, 10.35", \ + " 10.01, 10.06, 10.13, 10.17, 10.17", \ + " 9.99, 10.04, 10.11, 10.16, 10.17", \ + " 10.06, 10.09, 10.16, 10.22, 10.23", \ + " 10.32, 10.32, 10.37, 10.42, 10.44", \ + " 10.86, 10.83, 10.82, 10.83, 10.84", \ + " 11.90, 11.80, 11.70, 11.62, 11.58", \ + " 13.75, 13.56, 13.29, 13.05, 12.88", \ + " 16.91, 16.60, 16.10, 15.56, 15.15", \ + " 22.10, 21.65, 20.86, 19.89, 19.07" ); }} +internal_power(b_z_n) { /* xor2v6x1 19.84 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 10.06, 10.12, 10.17, 10.20, 10.20", \ + " 9.76, 9.82, 9.91, 9.98, 10.00", \ + " 9.75, 9.79, 9.87, 9.95, 9.99", \ + " 9.84, 9.86, 9.92, 9.99, 10.04", \ + " 10.19, 10.17, 10.17, 10.20, 10.23", \ + " 10.93, 10.85, 10.74, 10.68, 10.66", \ + " 12.38, 12.20, 11.92, 11.67, 11.52", \ + " 14.96, 14.64, 14.10, 13.52, 13.10", \ + " 19.38, 18.88, 17.97, 16.87, 16.00", \ + " 26.56, 25.89, 24.54, 22.72, 21.09" ); }} +internal_power(b_z_p) { /* xor2v6x1 21.44 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 10.90, 10.93, 10.92, 10.87, 10.81", \ + " 10.66, 10.70, 10.72, 10.70, 10.65", \ + " 10.62, 10.65, 10.69, 10.68, 10.65", \ + " 10.66, 10.69, 10.72, 10.73, 10.70", \ + " 10.89, 10.90, 10.91, 10.92, 10.89", \ + " 11.43, 11.39, 11.36, 11.33, 11.29", \ + " 12.47, 12.37, 12.24, 12.12, 12.03", \ + " 14.31, 14.13, 13.85, 13.55, 13.33", \ + " 17.45, 17.15, 16.65, 16.08, 15.62", \ + " 22.57, 22.14, 21.36, 20.38, 19.53" ); }} +timing() { /* ring osc delay xor2v6x1, path a to z 87.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 72.3 ; */ +/* intrinsic_fall : 51.4 ; */ +/* rise_resistance : 4.32 ; */ +/* fall_resistance : 3.13 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 57.2, 69.9, 104.3, 182.3, 320.2, 612.5", \ + " 64.7, 77.5, 112.0, 190.2, 328.2, 620.4", \ + " 70.2, 82.9, 117.4, 195.6, 333.6, 625.9", \ + " 76.9, 89.7, 124.0, 202.2, 340.3, 632.6", \ + " 86.8, 100.5, 135.0, 213.1, 351.2, 643.6", \ + " 97.4, 112.5, 150.1, 228.3, 366.3, 658.7", \ + " 110.9, 127.5, 168.6, 251.4, 389.3, 681.5", \ + " 129.0, 147.5, 192.4, 283.5, 423.8, 715.5", \ + " 154.5, 175.5, 225.2, 325.0, 476.7, 767.9", \ + " 191.3, 215.3, 271.4, 381.5, 548.0, 849.5" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 120.5, 157.5, 256.3, 480.1, 879.0, 1724.0", \ + " 121.0, 157.9, 256.6, 480.3, 879.1, 1724.0", \ + " 123.2, 159.3, 257.0, 480.2, 879.0, 1724.0", \ + " 126.7, 162.5, 259.4, 480.9, 878.8, 1723.9", \ + " 134.3, 169.7, 265.6, 485.1, 880.2, 1723.7", \ + " 149.6, 183.6, 277.6, 494.5, 886.2, 1724.8", \ + " 177.5, 212.0, 301.5, 513.8, 900.3, 1732.5", \ + " 218.3, 255.2, 346.0, 549.8, 928.3, 1751.3", \ + " 284.3, 322.2, 417.2, 615.3, 981.4, 1789.9", \ + " 386.4, 425.4, 522.7, 727.1, 1077.1, 1864.1" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 39.1, 49.1, 75.0, 131.7, 230.5, 438.8", \ + " 45.0, 55.0, 81.0, 137.7, 236.6, 445.0", \ + " 48.8, 58.7, 84.7, 141.4, 240.4, 448.8", \ + " 52.8, 63.3, 89.2, 146.0, 245.0, 453.5", \ + " 57.8, 69.0, 96.8, 153.7, 252.8, 461.3", \ + " 62.4, 75.0, 105.3, 164.9, 263.9, 472.5", \ + " 66.3, 80.7, 114.9, 180.3, 281.0, 489.4", \ + " 68.3, 84.9, 124.5, 197.7, 306.1, 514.8", \ + " 67.0, 86.3, 132.7, 216.9, 336.9, 553.5", \ + " 60.3, 82.9, 137.3, 236.0, 372.3, 609.0" ); } +fall_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 83.9, 108.8, 176.6, 330.8, 605.5, 1186.3", \ + " 85.6, 110.0, 177.0, 330.5, 605.2, 1186.2", \ + " 88.9, 112.9, 179.1, 331.2, 604.8, 1186.1", \ + " 94.8, 118.2, 183.2, 333.7, 605.6, 1185.8", \ + " 108.0, 130.4, 193.2, 340.7, 609.6, 1186.6", \ + " 128.3, 151.8, 211.9, 354.9, 619.4, 1191.7", \ + " 157.4, 182.6, 245.2, 382.2, 639.8, 1204.5", \ + " 200.1, 227.0, 293.1, 429.7, 677.7, 1231.2", \ + " 264.0, 292.9, 362.9, 505.9, 745.5, 1282.5", \ + " 359.1, 390.5, 465.5, 616.0, 860.9, 1376.4" ); }} +timing() { /* ring osc delay xor2v6x1, path a to z 112.1 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 83.2 ; */ +/* intrinsic_fall : 101.0 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.11 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.6, 82.3, 117.6, 195.4, 333.0, 625.0", \ + " 75.4, 89.0, 124.4, 202.4, 340.1, 632.1", \ + " 80.5, 94.1, 129.4, 207.5, 345.2, 637.3", \ + " 86.7, 100.1, 135.3, 213.4, 351.2, 643.4", \ + " 95.0, 108.4, 143.2, 221.2, 359.0, 651.2", \ + " 103.3, 116.6, 151.0, 228.8, 366.5, 658.7", \ + " 111.3, 124.7, 159.0, 236.2, 373.7, 665.8", \ + " 118.3, 132.0, 166.2, 242.9, 379.9, 671.8", \ + " 123.0, 137.2, 171.6, 247.8, 384.4, 675.7", \ + " 123.1, 138.0, 173.1, 248.9, 385.1, 675.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 87.9, 121.9, 214.0, 423.2, 795.8, 1587.2", \ + " 88.0, 121.9, 214.0, 423.2, 795.8, 1587.2", \ + " 88.3, 122.1, 214.0, 423.2, 795.8, 1587.2", \ + " 89.3, 122.7, 214.2, 423.2, 795.7, 1587.2", \ + " 91.8, 124.6, 215.0, 423.2, 795.7, 1587.2", \ + " 94.7, 127.4, 216.6, 423.5, 795.7, 1587.1", \ + " 100.4, 131.5, 219.1, 424.4, 795.7, 1587.1", \ + " 107.9, 138.3, 223.5, 426.3, 796.2, 1587.1", \ + " 117.7, 147.6, 231.2, 429.8, 797.6, 1587.4", \ + " 130.8, 160.4, 242.0, 437.8, 800.6, 1588.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.9, 90.2, 116.8, 172.7, 270.5, 478.1", \ + " 86.3, 97.6, 124.3, 180.2, 278.1, 485.7", \ + " 92.5, 103.9, 130.5, 186.5, 284.5, 492.1", \ + " 101.0, 112.3, 139.1, 195.1, 293.1, 500.7", \ + " 115.2, 126.5, 153.3, 209.4, 307.4, 515.0", \ + " 132.2, 144.0, 171.2, 227.3, 325.2, 532.9", \ + " 153.3, 165.6, 193.5, 250.1, 347.9, 555.5", \ + " 179.9, 192.7, 221.4, 278.4, 376.5, 583.8", \ + " 214.5, 228.1, 258.1, 315.7, 413.8, 621.2", \ + " 260.4, 274.9, 306.8, 366.0, 464.3, 671.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.6, 88.7, 149.9, 289.9, 542.4, 1082.9", \ + " 65.7, 88.8, 149.9, 289.9, 542.4, 1082.9", \ + " 65.9, 88.9, 150.0, 289.9, 542.4, 1082.9", \ + " 66.4, 89.3, 150.2, 289.9, 542.4, 1082.9", \ + " 69.2, 91.6, 151.4, 290.4, 542.5, 1082.9", \ + " 74.3, 96.2, 154.9, 292.2, 543.1, 1083.0", \ + " 80.2, 102.0, 160.1, 295.4, 544.7, 1083.4", \ + " 87.9, 109.8, 166.6, 299.9, 547.2, 1084.4", \ + " 98.4, 120.3, 176.3, 306.7, 551.1, 1085.9", \ + " 112.8, 134.7, 190.4, 318.0, 558.0, 1089.0" ); }} +timing() { /* ring osc delay xor2v6x1, path b to z 79.6 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 64.3 ; */ +/* intrinsic_fall : 45.0 ; */ +/* rise_resistance : 4.33 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 49.6, 62.8, 97.2, 175.0, 312.6, 604.7", \ + " 56.7, 69.9, 104.6, 182.7, 320.6, 612.8", \ + " 61.9, 75.1, 109.8, 188.0, 326.0, 618.3", \ + " 68.3, 81.5, 116.1, 194.3, 332.4, 624.8", \ + " 76.4, 91.2, 126.7, 204.9, 343.0, 635.5", \ + " 85.2, 101.7, 140.9, 219.7, 357.9, 650.5", \ + " 96.3, 114.8, 158.2, 242.6, 380.6, 672.9", \ + " 110.6, 131.9, 180.1, 273.4, 414.8, 706.6", \ + " 130.4, 155.2, 209.9, 313.1, 466.8, 758.6", \ + " 158.6, 187.8, 250.9, 366.3, 536.0, 839.7" ); } +rise_transition(x1_130_6x10) { /* 7%-93%, scaled to 0%-100% */ +values( " 91.8, 128.6, 226.8, 449.2, 845.9, 1688.2", \ + " 92.6, 129.1, 227.0, 449.2, 845.9, 1688.2", \ + " 94.9, 130.6, 227.5, 449.3, 845.9, 1688.2", \ + " 99.1, 134.3, 230.0, 449.9, 845.9, 1688.2", \ + " 108.0, 142.4, 236.8, 454.4, 847.0, 1688.1", \ + " 126.1, 157.7, 249.8, 464.2, 853.2, 1688.8", \ + " 150.4, 186.8, 274.9, 484.3, 867.7, 1696.0", \ + " 190.0, 227.7, 321.6, 521.4, 896.4, 1714.7", \ + " 256.2, 293.7, 390.1, 588.3, 950.6, 1753.6", \ + " 359.0, 397.2, 494.1, 699.8, 1047.9, 1828.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 29.0, 38.3, 63.5, 119.7, 218.4, 426.7", \ + " 35.9, 44.9, 70.0, 126.3, 225.1, 433.4", \ + " 41.6, 50.3, 75.2, 131.4, 230.2, 438.5", \ + " 47.1, 57.3, 82.1, 138.3, 237.0, 445.3", \ + " 53.9, 65.9, 94.3, 150.3, 248.8, 457.0", \ + " 60.2, 74.2, 107.5, 167.5, 265.8, 473.7", \ + " 66.0, 82.3, 121.3, 191.8, 291.5, 498.9", \ + " 70.7, 89.6, 134.9, 217.7, 329.7, 536.2", \ + " 73.1, 95.2, 148.2, 244.6, 376.7, 593.2", \ + " 71.5, 97.6, 160.0, 272.2, 426.8, 677.9" ); } +fall_transition(x1_130_6x10) { /* 8%-92%, scaled to 0%-100% */ +values( " 75.5, 100.5, 167.4, 318.9, 588.8, 1159.2", \ + " 80.7, 104.4, 169.1, 318.7, 588.6, 1159.2", \ + " 87.4, 110.6, 173.6, 320.8, 588.5, 1159.1", \ + " 97.4, 120.2, 181.7, 326.1, 590.4, 1159.0", \ + " 116.6, 138.6, 198.5, 338.7, 597.9, 1159.7", \ + " 138.9, 165.5, 225.0, 361.0, 614.0, 1167.2", \ + " 170.6, 199.9, 266.6, 399.4, 644.6, 1187.1", \ + " 215.2, 247.6, 320.9, 459.5, 697.5, 1226.5", \ + " 279.4, 315.7, 396.6, 548.7, 785.1, 1298.2", \ + " 372.3, 413.9, 504.4, 671.2, 922.8, 1423.4" ); }} +timing() { /* ring osc delay xor2v6x1, path b to z 122.6 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 96.0 ; */ +/* intrinsic_fall : 106.5 ; */ +/* rise_resistance : 4.29 ; */ +/* fall_resistance : 3.10 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 83.3, 96.4, 130.7, 207.6, 344.5, 636.1", \ + " 89.8, 103.0, 137.5, 214.5, 351.5, 643.1", \ + " 94.4, 107.6, 142.3, 219.4, 356.5, 648.1", \ + " 99.8, 113.0, 147.7, 225.0, 362.2, 653.7", \ + " 107.1, 120.2, 155.0, 232.4, 369.6, 661.1", \ + " 115.5, 128.2, 162.1, 239.5, 376.7, 668.3", \ + " 123.9, 136.8, 170.6, 246.4, 383.6, 675.1", \ + " 131.1, 144.2, 178.1, 253.9, 389.4, 680.8", \ + " 136.2, 149.5, 183.5, 259.5, 394.6, 684.4", \ + " 137.1, 150.7, 184.9, 260.7, 396.2, 684.5" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 122.0, 156.4, 249.0, 458.6, 831.6, 1623.6", \ + " 122.2, 156.5, 249.0, 458.6, 831.6, 1623.6", \ + " 122.6, 156.8, 249.0, 458.6, 831.6, 1623.6", \ + " 123.5, 157.6, 249.3, 458.5, 831.6, 1623.6", \ + " 123.4, 157.1, 248.7, 458.2, 831.6, 1623.6", \ + " 124.4, 157.7, 248.3, 456.7, 830.5, 1623.6", \ + " 127.1, 158.7, 248.9, 456.1, 828.8, 1622.4", \ + " 134.0, 165.0, 250.8, 456.3, 827.9, 1620.7", \ + " 143.2, 173.7, 258.3, 457.3, 828.0, 1619.7", \ + " 155.5, 185.6, 268.8, 465.5, 829.1, 1620.0" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 84.4, 95.4, 121.8, 177.6, 275.3, 482.8", \ + " 91.9, 103.0, 129.5, 185.3, 283.1, 490.6", \ + " 98.2, 109.3, 135.9, 191.8, 289.6, 497.1", \ + " 106.6, 117.8, 144.4, 200.4, 298.3, 505.8", \ + " 120.2, 131.4, 158.3, 214.5, 312.4, 519.9", \ + " 136.5, 147.9, 175.2, 231.6, 329.6, 537.2", \ + " 157.2, 169.0, 196.5, 253.2, 351.4, 558.9", \ + " 183.3, 195.5, 223.6, 280.6, 378.8, 586.3", \ + " 217.5, 230.2, 259.1, 316.8, 415.0, 622.5", \ + " 263.0, 276.5, 306.7, 365.4, 463.9, 671.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.4, 86.4, 148.4, 289.4, 542.5, 1083.0", \ + " 63.4, 86.4, 148.4, 289.4, 542.5, 1083.0", \ + " 63.5, 86.5, 148.4, 289.4, 542.4, 1083.0", \ + " 63.9, 86.8, 148.5, 289.4, 542.5, 1083.0", \ + " 65.8, 88.2, 149.2, 289.7, 542.5, 1083.0", \ + " 69.1, 90.9, 151.0, 290.6, 542.9, 1083.0", \ + " 73.7, 94.7, 153.8, 292.0, 543.5, 1083.2", \ + " 80.6, 101.0, 158.0, 294.4, 544.6, 1083.3", \ + " 90.5, 110.2, 165.4, 298.3, 546.6, 1083.9", \ + " 105.0, 124.0, 177.2, 306.4, 550.4, 1085.4" ); }} +} +} +cell(xor2v7x1) { /* 2008-01-06:08h08 characteristic delay 25.8 ps */ +area : 10 ; /* tracks */ +cell_leakage_power : 1178 ; /* xor2v7x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v7x1 FO4 effort 3.51 logical effort 3.30 */ +direction : input ; +capacitance : 6.15 ; +rise_capacitance : 6.04 ; +fall_capacitance : 6.25 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v7x1 */ +} +pin(b) { /* xor2v7x1 FO4 effort 3.31 logical effort 2.71 */ +direction : input ; +capacitance : 5.87 ; +rise_capacitance : 6.00 ; +fall_capacitance : 5.75 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v7x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 98 ; +max_fanout : 3 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v7x1 26.42 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 13.38, 13.44, 13.52, 13.57, 13.58", \ + " 13.13, 13.19, 13.27, 13.32, 13.33", \ + " 13.07, 13.12, 13.20, 13.25, 13.27", \ + " 13.08, 13.13, 13.21, 13.26, 13.27", \ + " 13.25, 13.30, 13.38, 13.43, 13.44", \ + " 13.66, 13.71, 13.78, 13.84, 13.85", \ + " 14.45, 14.49, 14.57, 14.63, 14.64", \ + " 15.81, 15.85, 15.92, 15.97, 15.99", \ + " 18.07, 18.09, 18.15, 18.21, 18.23", \ + " 21.72, 21.72, 21.77, 21.83, 21.85" ); }} +internal_power(a_z_p) { /* xor2v7x1 22.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 11.31, 11.37, 11.45, 11.49, 11.50", \ + " 11.07, 11.13, 11.21, 11.25, 11.27", \ + " 11.01, 11.06, 11.14, 11.19, 11.21", \ + " 11.02, 11.06, 11.14, 11.19, 11.21", \ + " 11.18, 11.22, 11.28, 11.34, 11.35", \ + " 11.59, 11.61, 11.67, 11.72, 11.74", \ + " 12.39, 12.40, 12.44, 12.49, 12.51", \ + " 13.81, 13.79, 13.81, 13.85, 13.87", \ + " 16.18, 16.13, 16.12, 16.14, 16.16", \ + " 20.04, 19.94, 19.89, 19.88, 19.89" ); }} +internal_power(b_z_n) { /* xor2v7x1 30.59 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 15.51, 15.54, 15.60, 15.64, 15.67", \ + " 15.33, 15.35, 15.41, 15.46, 15.48", \ + " 15.24, 15.27, 15.32, 15.37, 15.39", \ + " 15.21, 15.24, 15.29, 15.34, 15.36", \ + " 15.33, 15.35, 15.42, 15.47, 15.48", \ + " 15.69, 15.72, 15.79, 15.84, 15.86", \ + " 16.44, 16.47, 16.54, 16.59, 16.61", \ + " 17.79, 17.81, 17.87, 17.93, 17.95", \ + " 20.07, 20.08, 20.14, 20.20, 20.23", \ + " 23.85, 23.84, 23.89, 23.95, 23.98" ); }} +internal_power(b_z_p) { /* xor2v7x1 22.30 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 11.27, 11.33, 11.40, 11.44, 11.46", \ + " 11.06, 11.12, 11.19, 11.24, 11.25", \ + " 11.01, 11.06, 11.13, 11.18, 11.20", \ + " 11.04, 11.08, 11.15, 11.21, 11.22", \ + " 11.25, 11.28, 11.34, 11.40, 11.42", \ + " 11.72, 11.74, 11.80, 11.85, 11.87", \ + " 12.63, 12.62, 12.67, 12.72, 12.74", \ + " 14.16, 14.14, 14.16, 14.20, 14.22", \ + " 16.71, 16.65, 16.64, 16.66, 16.68", \ + " 20.82, 20.71, 20.66, 20.66, 20.67" ); }} +timing() { /* ring osc delay xor2v7x1, path a to z 153.5 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 129.2 ; */ +/* intrinsic_fall : 133.2 ; */ +/* rise_resistance : 5.40 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 109.6, 128.5, 173.1, 270.3, 442.4, 807.8", \ + " 117.0, 135.9, 180.5, 277.7, 449.8, 815.2", \ + " 123.2, 142.0, 186.6, 283.8, 456.0, 821.4", \ + " 131.2, 150.1, 194.7, 291.9, 464.0, 829.4", \ + " 143.8, 162.6, 207.3, 304.5, 476.6, 842.0", \ + " 159.0, 177.9, 222.6, 319.8, 491.9, 857.4", \ + " 179.0, 197.9, 242.5, 339.7, 511.9, 877.3", \ + " 204.7, 223.8, 268.5, 365.5, 537.7, 903.2", \ + " 239.0, 258.3, 303.1, 400.2, 572.2, 937.7", \ + " 285.3, 304.8, 349.8, 447.0, 619.0, 984.3" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.3, 98.6, 212.4, 475.3, 946.9, 1951.2", \ + " 57.3, 98.6, 212.4, 475.3, 946.9, 1951.2", \ + " 57.4, 98.6, 212.4, 475.3, 946.9, 1951.2", \ + " 57.4, 98.6, 212.4, 475.3, 946.9, 1951.2", \ + " 57.7, 98.8, 212.5, 475.3, 946.9, 1951.2", \ + " 58.1, 99.2, 212.7, 475.4, 947.0, 1951.2", \ + " 58.7, 99.5, 212.8, 475.3, 946.9, 1951.2", \ + " 59.7, 100.5, 213.2, 475.3, 946.7, 1951.1", \ + " 61.3, 101.9, 214.1, 475.5, 946.7, 1950.9", \ + " 63.6, 104.0, 215.5, 476.1, 946.7, 1950.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.5, 132.2, 166.6, 236.2, 357.8, 615.6", \ + " 121.6, 138.3, 172.8, 242.3, 364.0, 621.8", \ + " 125.6, 142.3, 176.8, 246.4, 368.0, 625.9", \ + " 129.9, 146.6, 181.1, 250.7, 372.4, 630.2", \ + " 135.2, 152.0, 186.5, 256.1, 377.7, 635.6", \ + " 140.3, 156.9, 191.4, 261.1, 382.7, 640.6", \ + " 145.3, 161.9, 196.2, 265.7, 387.5, 645.4", \ + " 149.2, 166.0, 200.4, 269.9, 391.4, 649.3", \ + " 150.5, 167.5, 202.2, 271.8, 393.3, 651.0", \ + " 146.3, 163.6, 198.7, 268.5, 390.1, 647.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.2, 74.3, 142.5, 302.8, 595.5, 1223.5", \ + " 48.2, 74.3, 142.5, 302.9, 595.7, 1223.6", \ + " 48.3, 74.4, 142.5, 302.9, 595.7, 1223.7", \ + " 48.4, 74.5, 142.6, 302.9, 595.7, 1223.7", \ + " 48.7, 74.6, 142.7, 303.0, 595.7, 1223.8", \ + " 47.9, 74.2, 142.5, 303.1, 595.8, 1223.8", \ + " 48.0, 74.1, 142.2, 302.8, 595.7, 1223.9", \ + " 48.7, 74.8, 142.7, 302.7, 595.3, 1223.5", \ + " 50.1, 76.1, 143.7, 303.2, 595.3, 1223.2", \ + " 52.1, 78.0, 145.1, 304.1, 595.8, 1223.2" ); }} +timing() { /* ring osc delay xor2v7x1, path a to z 116.2 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 92.4 ; */ +/* intrinsic_fall : 93.9 ; */ +/* rise_resistance : 5.43 ; */ +/* fall_resistance : 3.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.9, 96.3, 141.6, 239.4, 411.8, 777.5", \ + " 82.6, 102.0, 147.3, 245.1, 417.6, 783.3", \ + " 87.4, 106.7, 152.0, 249.8, 422.3, 788.0", \ + " 93.8, 113.1, 158.3, 256.1, 428.6, 794.3", \ + " 103.5, 122.8, 167.9, 265.7, 438.2, 804.0", \ + " 113.5, 133.0, 178.5, 276.3, 448.8, 814.6", \ + " 124.8, 144.5, 190.0, 288.1, 460.6, 826.4", \ + " 137.2, 157.2, 202.8, 300.9, 473.7, 839.5", \ + " 150.5, 171.3, 217.5, 315.5, 488.6, 854.6", \ + " 164.2, 186.2, 233.6, 332.3, 505.5, 872.1" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 60.5, 101.9, 215.2, 477.4, 948.5, 1952.4", \ + " 60.6, 101.9, 215.3, 477.4, 948.5, 1952.4", \ + " 60.6, 102.0, 215.3, 477.4, 948.5, 1952.4", \ + " 60.8, 102.2, 215.4, 477.5, 948.5, 1952.4", \ + " 62.7, 103.7, 216.2, 477.7, 948.6, 1952.4", \ + " 65.0, 106.1, 218.0, 478.7, 948.9, 1952.5", \ + " 68.5, 108.9, 220.3, 480.2, 950.0, 1952.7", \ + " 73.5, 113.2, 223.2, 482.0, 951.1, 1953.8", \ + " 80.7, 119.9, 228.1, 485.2, 953.1, 1955.0", \ + " 90.7, 129.9, 236.8, 491.1, 957.2, 1957.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 73.9, 89.9, 124.0, 193.4, 314.8, 572.4", \ + " 80.8, 96.8, 130.9, 200.3, 321.7, 579.3", \ + " 85.5, 101.5, 135.6, 205.1, 326.5, 584.1", \ + " 91.4, 107.4, 141.6, 211.1, 332.5, 590.1", \ + " 100.0, 116.6, 151.1, 220.7, 342.1, 599.7", \ + " 109.9, 127.2, 162.9, 232.8, 354.2, 611.9", \ + " 122.7, 140.9, 177.7, 248.6, 370.1, 627.7", \ + " 139.2, 158.7, 197.1, 268.8, 391.0, 648.6", \ + " 160.8, 182.0, 223.0, 296.5, 419.0, 677.1", \ + " 188.9, 212.1, 256.6, 333.7, 457.7, 716.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.1, 69.6, 140.1, 301.8, 594.8, 1222.8", \ + " 42.1, 69.6, 140.2, 301.8, 594.8, 1222.8", \ + " 42.3, 69.7, 140.2, 301.8, 594.8, 1222.8", \ + " 43.1, 70.3, 140.5, 301.9, 594.8, 1222.8", \ + " 45.9, 72.7, 141.9, 302.5, 595.0, 1222.8", \ + " 49.2, 76.5, 145.2, 304.4, 595.7, 1222.9", \ + " 53.7, 81.2, 149.7, 307.4, 597.4, 1223.3", \ + " 60.1, 88.1, 155.7, 311.8, 599.7, 1224.4", \ + " 68.8, 97.9, 165.5, 318.8, 604.1, 1226.1", \ + " 80.2, 110.8, 179.8, 331.4, 612.9, 1230.6" ); }} +timing() { /* ring osc delay xor2v7x1, path b to z 160.1 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 145.0 ; */ +/* intrinsic_fall : 130.8 ; */ +/* rise_resistance : 5.43 ; */ +/* fall_resistance : 3.87 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 123.4, 142.8, 187.9, 285.6, 458.1, 823.9", \ + " 131.3, 150.6, 195.7, 293.4, 466.0, 831.7", \ + " 137.7, 157.0, 202.2, 299.9, 472.4, 838.2", \ + " 146.3, 165.6, 210.8, 308.5, 481.0, 846.8", \ + " 160.3, 179.6, 224.8, 322.5, 495.0, 860.8", \ + " 177.6, 197.0, 242.2, 339.9, 512.4, 878.1", \ + " 200.0, 219.3, 264.6, 362.5, 535.0, 900.7", \ + " 229.1, 248.5, 293.7, 391.5, 564.1, 929.9", \ + " 268.3, 287.7, 333.0, 430.6, 603.2, 969.1", \ + " 321.9, 341.5, 386.9, 484.6, 656.9, 1022.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.2, 102.5, 215.6, 477.5, 948.5, 1952.4", \ + " 61.2, 102.5, 215.6, 477.5, 948.5, 1952.4", \ + " 61.2, 102.4, 215.6, 477.5, 948.5, 1952.4", \ + " 61.2, 102.5, 215.6, 477.5, 948.5, 1952.4", \ + " 61.3, 102.5, 215.6, 477.5, 948.5, 1952.4", \ + " 61.4, 102.7, 215.6, 477.5, 948.5, 1952.4", \ + " 60.8, 102.3, 215.6, 477.7, 948.7, 1952.4", \ + " 61.3, 102.4, 215.5, 477.6, 948.8, 1952.8", \ + " 62.1, 103.2, 215.8, 477.6, 948.7, 1952.7", \ + " 63.7, 104.6, 216.7, 477.7, 948.6, 1952.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 119.2, 136.0, 170.4, 240.0, 361.7, 619.6", \ + " 123.0, 139.7, 174.1, 243.8, 365.4, 623.3", \ + " 125.0, 141.7, 176.2, 245.8, 367.5, 625.3", \ + " 127.5, 144.2, 178.7, 248.3, 370.0, 627.8", \ + " 131.0, 147.8, 182.2, 251.9, 373.5, 631.4", \ + " 135.2, 151.6, 185.8, 255.5, 377.2, 635.0", \ + " 139.1, 155.6, 189.6, 258.7, 380.4, 638.3", \ + " 141.0, 157.6, 192.0, 261.1, 382.0, 639.9", \ + " 138.7, 155.7, 190.4, 260.1, 381.2, 638.3", \ + " 128.8, 146.2, 181.5, 252.4, 374.6, 631.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.3, 74.4, 142.6, 302.9, 595.7, 1223.8", \ + " 48.3, 74.4, 142.6, 302.9, 595.7, 1223.8", \ + " 48.4, 74.5, 142.6, 303.0, 595.7, 1223.8", \ + " 48.5, 74.5, 142.6, 303.0, 595.7, 1223.8", \ + " 48.5, 74.5, 142.7, 303.0, 595.7, 1223.8", \ + " 47.1, 73.2, 141.8, 302.8, 595.8, 1223.8", \ + " 47.6, 73.5, 141.2, 301.7, 594.9, 1223.7", \ + " 48.7, 74.6, 142.2, 301.4, 594.1, 1222.6", \ + " 50.3, 76.2, 143.8, 302.9, 594.3, 1222.0", \ + " 52.3, 78.4, 146.4, 306.3, 597.3, 1223.1" ); }} +timing() { /* ring osc delay xor2v7x1, path b to z 104.1 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 85.7 ; */ +/* rise_resistance : 5.41 ; */ +/* fall_resistance : 3.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.1, 83.0, 127.6, 224.8, 396.8, 762.2", \ + " 70.0, 88.8, 133.4, 230.6, 402.7, 768.1", \ + " 74.6, 93.3, 137.9, 235.1, 407.3, 772.7", \ + " 80.1, 98.7, 143.2, 240.5, 412.7, 778.1", \ + " 86.6, 105.8, 150.5, 247.7, 419.9, 785.4", \ + " 93.4, 112.6, 157.9, 255.2, 427.4, 792.9", \ + " 100.8, 120.4, 165.6, 263.7, 435.8, 801.3", \ + " 108.2, 128.5, 174.3, 272.3, 445.0, 810.4", \ + " 114.7, 136.2, 183.4, 282.0, 455.0, 820.7", \ + " 118.6, 141.7, 191.0, 291.9, 465.8, 832.2" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 56.3, 97.7, 212.0, 475.2, 946.9, 1951.2", \ + " 56.3, 97.8, 212.0, 475.2, 946.9, 1951.2", \ + " 56.5, 98.0, 212.1, 475.2, 946.9, 1951.2", \ + " 58.0, 98.9, 212.4, 475.2, 946.9, 1951.2", \ + " 60.8, 101.5, 214.1, 475.7, 947.0, 1951.2", \ + " 63.3, 104.3, 216.2, 477.2, 947.5, 1951.2", \ + " 67.5, 107.6, 219.1, 478.8, 948.7, 1951.5", \ + " 73.9, 113.6, 223.2, 481.6, 950.2, 1952.7", \ + " 82.9, 122.8, 231.1, 487.2, 953.6, 1954.3", \ + " 95.2, 135.9, 244.2, 497.5, 961.4, 1958.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.4, 81.4, 115.5, 184.9, 306.3, 563.8", \ + " 71.1, 87.0, 121.1, 190.6, 312.0, 569.6", \ + " 76.3, 92.2, 126.4, 195.8, 317.3, 574.9", \ + " 83.1, 99.1, 133.4, 202.9, 324.4, 582.0", \ + " 92.9, 109.7, 144.6, 214.2, 335.6, 593.3", \ + " 104.5, 121.7, 157.8, 228.0, 349.5, 607.1", \ + " 119.9, 137.7, 174.1, 245.8, 367.4, 625.0", \ + " 139.6, 158.4, 195.8, 267.6, 390.6, 648.2", \ + " 164.9, 185.5, 224.9, 297.6, 420.7, 679.4", \ + " 197.9, 220.6, 263.3, 338.6, 462.5, 722.1" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 42.0, 69.5, 140.1, 301.8, 594.8, 1222.8", \ + " 42.1, 69.6, 140.1, 301.8, 594.8, 1222.8", \ + " 42.4, 69.9, 140.3, 301.8, 594.8, 1222.8", \ + " 44.0, 70.9, 140.7, 302.0, 594.9, 1222.8", \ + " 47.4, 74.3, 143.2, 303.0, 595.1, 1222.8", \ + " 50.3, 77.7, 146.6, 305.6, 596.3, 1222.9", \ + " 55.0, 81.6, 150.3, 308.6, 598.6, 1223.9", \ + " 61.7, 88.2, 154.9, 312.3, 601.1, 1225.9", \ + " 71.4, 98.2, 163.6, 317.7, 605.0, 1228.0", \ + " 84.5, 112.5, 177.8, 328.5, 612.3, 1232.3" ); }} +} +} +cell(xor2v8x05) { /* 2008-01-06:08h08 characteristic delay 13.5 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 832 ; /* xor2v8x05 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v8x05 FO4 effort 2.65 logical effort 1.22 */ +direction : input ; +capacitance : 2.31 ; +rise_capacitance : 2.32 ; +fall_capacitance : 2.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v8x05 */ +} +pin(b) { /* xor2v8x05 FO4 effort 2.50 logical effort 1.94 */ +direction : input ; +capacitance : 4.25 ; +rise_capacitance : 4.30 ; +fall_capacitance : 4.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v8x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 107 ; +max_fanout : 3 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v8x05 23.52 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 11.73, 11.73, 11.78, 11.83, 11.85", \ + " 11.63, 11.63, 11.68, 11.73, 11.75", \ + " 11.64, 11.64, 11.69, 11.74, 11.76", \ + " 11.71, 11.71, 11.76, 11.81, 11.83", \ + " 11.92, 11.92, 11.97, 12.02, 12.04", \ + " 12.33, 12.32, 12.36, 12.41, 12.43", \ + " 13.04, 13.02, 13.06, 13.11, 13.13", \ + " 14.22, 14.19, 14.22, 14.27, 14.29", \ + " 16.13, 16.09, 16.11, 16.15, 16.18", \ + " 19.20, 19.14, 19.14, 19.18, 19.20" ); }} +internal_power(a_z_p) { /* xor2v8x05 23.35 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_113_5x10) { +values( " 11.68, 11.66, 11.70, 11.74, 11.76", \ + " 11.60, 11.58, 11.61, 11.65, 11.67", \ + " 11.61, 11.58, 11.62, 11.66, 11.67", \ + " 11.68, 11.65, 11.68, 11.72, 11.74", \ + " 11.91, 11.86, 11.88, 11.92, 11.94", \ + " 12.35, 12.29, 12.28, 12.31, 12.33", \ + " 13.12, 13.03, 12.99, 13.00, 13.01", \ + " 14.38, 14.25, 14.17, 14.15, 14.15", \ + " 16.40, 16.23, 16.10, 16.04, 16.02", \ + " 19.66, 19.42, 19.22, 19.10, 19.05" ); }} +internal_power(b_z_n) { /* xor2v8x05 20.45 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 9.89, 9.87, 9.91, 9.95, 9.98", \ + " 9.89, 9.88, 9.91, 9.96, 9.98", \ + " 10.00, 9.99, 10.03, 10.08, 10.10", \ + " 10.20, 10.19, 10.23, 10.27, 10.30", \ + " 10.61, 10.60, 10.63, 10.68, 10.71", \ + " 11.29, 11.27, 11.30, 11.35, 11.37", \ + " 12.39, 12.36, 12.39, 12.43, 12.46", \ + " 14.09, 14.06, 14.08, 14.11, 14.14", \ + " 16.78, 16.74, 16.74, 16.78, 16.81", \ + " 21.15, 21.07, 21.05, 21.09, 21.14" ); }} +internal_power(b_z_p) { /* xor2v8x05 17.90 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_113_5x10) { +values( " 8.72, 8.74, 8.80, 8.84, 8.86", \ + " 8.64, 8.66, 8.72, 8.76, 8.78", \ + " 8.72, 8.73, 8.79, 8.84, 8.86", \ + " 8.90, 8.90, 8.95, 9.00, 9.02", \ + " 9.31, 9.30, 9.34, 9.39, 9.41", \ + " 10.02, 10.00, 10.02, 10.06, 10.09", \ + " 11.23, 11.17, 11.17, 11.21, 11.23", \ + " 13.14, 13.06, 13.03, 13.04, 13.07", \ + " 16.19, 16.07, 16.00, 15.99, 16.00", \ + " 21.07, 20.89, 20.76, 20.72, 20.72" ); }} +timing() { /* ring osc delay xor2v8x05, path a to z 153.6 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 143.2 ; */ +/* intrinsic_fall : 143.3 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 4.02 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 120.4, 137.0, 174.3, 252.8, 390.9, 684.1", \ + " 128.2, 144.8, 182.2, 260.6, 398.8, 692.0", \ + " 134.5, 151.1, 188.4, 266.9, 405.1, 698.3", \ + " 142.7, 159.3, 196.6, 275.1, 413.3, 706.4", \ + " 155.6, 172.1, 209.5, 287.9, 426.1, 719.3", \ + " 170.9, 187.5, 224.8, 303.3, 441.5, 734.6", \ + " 190.3, 206.9, 244.2, 322.7, 460.9, 754.1", \ + " 214.9, 231.6, 269.0, 347.4, 485.6, 778.8", \ + " 247.3, 264.0, 301.5, 380.0, 518.1, 811.3", \ + " 290.1, 307.1, 344.7, 423.2, 561.3, 854.5" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.2, 91.4, 180.6, 388.5, 764.9, 1569.6", \ + " 58.2, 91.4, 180.6, 388.5, 764.9, 1569.6", \ + " 58.3, 91.4, 180.6, 388.5, 764.9, 1569.6", \ + " 58.3, 91.4, 180.6, 388.5, 764.9, 1569.6", \ + " 58.4, 91.5, 180.7, 388.5, 764.9, 1569.6", \ + " 58.7, 91.9, 180.9, 388.6, 764.9, 1569.6", \ + " 59.3, 92.3, 181.2, 388.8, 765.0, 1569.6", \ + " 60.0, 93.0, 181.7, 389.0, 765.0, 1569.6", \ + " 61.2, 94.2, 182.5, 389.4, 765.1, 1569.6", \ + " 63.1, 96.0, 183.9, 390.1, 765.4, 1569.6" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.9, 135.4, 170.2, 234.5, 341.6, 567.2", \ + " 124.9, 142.4, 177.2, 241.5, 348.6, 574.2", \ + " 130.0, 147.5, 182.3, 246.6, 353.7, 579.3", \ + " 135.8, 153.3, 188.1, 252.3, 359.5, 585.1", \ + " 143.2, 160.8, 195.6, 259.8, 367.0, 592.6", \ + " 150.5, 168.1, 202.9, 267.2, 374.4, 599.9", \ + " 158.0, 175.6, 210.4, 274.7, 381.9, 607.5", \ + " 165.0, 182.6, 217.6, 282.0, 389.1, 614.7", \ + " 170.5, 188.3, 223.5, 288.1, 395.2, 620.7", \ + " 172.3, 190.5, 226.1, 290.9, 398.2, 623.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.9, 80.0, 141.8, 279.3, 530.9, 1076.3", \ + " 53.9, 80.0, 141.8, 279.3, 530.9, 1076.3", \ + " 53.9, 80.0, 141.8, 279.3, 530.9, 1076.3", \ + " 54.0, 80.1, 141.8, 279.4, 530.9, 1076.3", \ + " 54.2, 80.2, 142.0, 279.4, 531.0, 1076.3", \ + " 54.5, 80.5, 142.1, 279.5, 531.0, 1076.3", \ + " 54.9, 80.9, 142.4, 279.6, 531.0, 1076.3", \ + " 55.8, 81.8, 143.1, 279.9, 531.1, 1076.3", \ + " 57.2, 83.1, 144.2, 280.7, 531.4, 1076.3", \ + " 59.4, 85.3, 146.1, 281.9, 532.1, 1076.5" ); }} +timing() { /* ring osc delay xor2v8x05, path a to z 133.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 112.6 ; */ +/* intrinsic_fall : 132.7 ; */ +/* rise_resistance : 5.00 ; */ +/* fall_resistance : 4.07 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.4, 108.8, 147.0, 225.7, 363.8, 656.9", \ + " 98.5, 115.9, 154.1, 232.8, 370.8, 664.0", \ + " 103.9, 121.3, 159.5, 238.1, 376.2, 669.4", \ + " 111.0, 128.3, 166.5, 245.2, 383.3, 676.4", \ + " 122.4, 139.7, 177.8, 256.4, 394.5, 687.7", \ + " 135.2, 153.0, 191.4, 269.9, 407.9, 701.0", \ + " 148.9, 167.4, 206.6, 285.3, 423.2, 716.3", \ + " 162.8, 182.3, 222.6, 301.6, 439.5, 732.4", \ + " 176.3, 197.1, 239.1, 318.7, 456.4, 749.2", \ + " 187.7, 210.3, 254.9, 336.0, 473.7, 766.4" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.9, 95.3, 183.9, 390.3, 765.6, 1569.7", \ + " 61.9, 95.4, 184.0, 390.4, 765.6, 1569.7", \ + " 62.0, 95.6, 184.1, 390.4, 765.6, 1569.7", \ + " 62.4, 95.9, 184.3, 390.5, 765.6, 1569.7", \ + " 64.1, 97.2, 185.1, 390.8, 765.7, 1569.7", \ + " 68.4, 101.1, 187.8, 392.0, 766.0, 1569.7", \ + " 74.5, 107.2, 192.6, 394.7, 767.1, 1569.9", \ + " 82.7, 115.5, 199.4, 398.8, 768.9, 1570.5", \ + " 94.1, 127.4, 209.6, 405.4, 772.1, 1571.4", \ + " 110.0, 144.3, 225.5, 416.7, 778.4, 1573.8" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 100.5, 119.8, 156.3, 221.7, 329.1, 554.6", \ + " 108.3, 127.5, 164.1, 229.4, 336.8, 562.4", \ + " 114.4, 133.6, 170.2, 235.6, 343.0, 568.6", \ + " 122.7, 141.9, 178.6, 243.9, 351.4, 577.0", \ + " 137.3, 156.5, 193.2, 258.6, 366.1, 591.7", \ + " 156.2, 175.9, 213.0, 278.6, 386.1, 611.7", \ + " 179.6, 200.6, 239.4, 305.8, 413.3, 638.8", \ + " 208.2, 230.7, 271.7, 339.9, 447.9, 673.3", \ + " 244.8, 269.1, 312.9, 383.3, 492.3, 717.9", \ + " 292.6, 319.1, 366.7, 440.5, 550.7, 776.7" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.4, 88.7, 149.3, 284.1, 533.5, 1077.2", \ + " 62.4, 88.7, 149.3, 284.1, 533.5, 1077.2", \ + " 62.5, 88.9, 149.4, 284.2, 533.5, 1077.2", \ + " 62.8, 89.2, 149.7, 284.3, 533.5, 1077.2", \ + " 64.4, 90.6, 150.6, 284.7, 533.7, 1077.2", \ + " 69.8, 95.3, 153.8, 286.2, 534.3, 1077.4", \ + " 77.8, 103.7, 161.3, 290.7, 536.2, 1077.8", \ + " 87.5, 114.0, 171.6, 298.4, 540.5, 1079.4", \ + " 100.2, 127.4, 185.1, 309.1, 547.1, 1082.3", \ + " 117.3, 145.7, 204.1, 324.7, 557.2, 1086.9" ); }} +timing() { /* ring osc delay xor2v8x05, path b to z 118.0 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 108.7 ; */ +/* intrinsic_fall : 107.4 ; */ +/* rise_resistance : 5.00 ; */ +/* fall_resistance : 4.01 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 85.1, 101.8, 139.4, 218.1, 356.3, 649.5", \ + " 93.0, 109.8, 147.4, 226.1, 364.2, 657.4", \ + " 99.5, 116.2, 153.9, 232.5, 370.7, 663.9", \ + " 107.8, 124.6, 162.2, 240.9, 379.0, 672.2", \ + " 121.1, 137.8, 175.5, 254.1, 392.3, 685.5", \ + " 136.7, 153.2, 190.7, 269.2, 407.4, 700.7", \ + " 155.7, 172.2, 209.5, 287.8, 425.9, 719.2", \ + " 178.9, 195.6, 233.1, 311.1, 449.0, 742.2", \ + " 208.9, 225.7, 263.4, 341.8, 479.3, 772.3", \ + " 248.3, 265.2, 303.2, 382.4, 520.5, 812.9" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.0, 92.7, 182.1, 389.5, 765.3, 1569.7", \ + " 58.9, 92.6, 182.0, 389.5, 765.3, 1569.7", \ + " 59.0, 92.6, 182.0, 389.5, 765.3, 1569.7", \ + " 58.9, 92.6, 182.0, 389.5, 765.3, 1569.7", \ + " 58.5, 92.4, 182.0, 389.5, 765.3, 1569.7", \ + " 57.9, 91.8, 181.5, 389.3, 765.3, 1569.7", \ + " 58.7, 92.2, 181.2, 388.8, 764.8, 1569.6", \ + " 59.6, 93.2, 181.8, 388.7, 764.4, 1569.0", \ + " 61.1, 94.5, 183.3, 389.2, 764.5, 1568.6", \ + " 63.2, 96.6, 185.6, 391.8, 766.0, 1569.1" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 81.2, 98.5, 133.2, 197.4, 304.5, 530.1", \ + " 88.4, 105.7, 140.3, 204.5, 311.6, 537.2", \ + " 93.6, 110.9, 145.5, 209.7, 316.8, 542.4", \ + " 100.3, 117.5, 152.0, 216.2, 323.3, 548.9", \ + " 110.9, 127.8, 162.2, 226.3, 333.5, 559.1", \ + " 121.7, 138.2, 171.9, 235.9, 343.0, 568.6", \ + " 130.4, 146.9, 180.7, 244.1, 351.0, 576.6", \ + " 137.2, 153.8, 187.9, 251.5, 357.7, 583.2", \ + " 141.4, 158.3, 192.5, 256.7, 363.5, 588.2", \ + " 141.3, 158.4, 193.0, 258.0, 366.5, 592.1" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.3, 79.6, 141.5, 279.2, 530.9, 1076.3", \ + " 53.0, 79.3, 141.4, 279.1, 530.9, 1076.3", \ + " 52.6, 79.0, 141.2, 279.0, 530.8, 1076.3", \ + " 52.0, 78.5, 140.9, 278.9, 530.8, 1076.3", \ + " 51.2, 77.7, 140.3, 278.6, 530.6, 1076.2", \ + " 51.9, 77.4, 139.4, 277.8, 530.3, 1076.2", \ + " 52.4, 77.9, 139.2, 277.1, 529.3, 1075.6", \ + " 53.1, 78.8, 140.3, 277.1, 528.8, 1074.7", \ + " 54.4, 80.0, 141.6, 278.8, 529.4, 1074.6", \ + " 56.3, 81.8, 143.6, 282.3, 533.8, 1077.4" ); }} +timing() { /* ring osc delay xor2v8x05, path b to z 97.8 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 77.8 ; */ +/* intrinsic_fall : 97.3 ; */ +/* rise_resistance : 4.99 ; */ +/* fall_resistance : 4.02 ; */ +cell_rise(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 60.3, 77.0, 114.3, 192.7, 330.8, 623.9", \ + " 66.9, 83.5, 120.8, 199.3, 337.4, 630.5", \ + " 71.9, 88.3, 125.6, 204.1, 342.2, 635.4", \ + " 77.5, 93.9, 131.2, 209.6, 347.8, 641.0", \ + " 84.1, 100.9, 138.5, 216.9, 355.1, 648.3", \ + " 90.3, 107.4, 145.4, 224.1, 362.2, 655.4", \ + " 96.3, 113.7, 152.0, 231.3, 369.4, 662.5", \ + " 101.0, 119.2, 158.3, 237.5, 376.2, 669.2", \ + " 103.1, 122.4, 163.0, 243.1, 381.7, 675.2", \ + " 99.9, 120.6, 163.5, 245.6, 385.5, 679.3" ); } +rise_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.9, 88.7, 179.2, 388.0, 764.8, 1569.6", \ + " 54.8, 88.8, 179.2, 388.0, 764.8, 1569.6", \ + " 55.0, 89.0, 179.3, 388.0, 764.8, 1569.6", \ + " 56.6, 90.1, 179.8, 388.2, 764.8, 1569.6", \ + " 59.7, 92.9, 181.8, 389.0, 765.0, 1569.6", \ + " 62.9, 96.2, 184.5, 390.8, 765.9, 1569.7", \ + " 67.8, 100.6, 188.3, 393.1, 767.3, 1570.4", \ + " 74.8, 107.5, 193.6, 396.9, 769.3, 1571.5", \ + " 84.5, 117.7, 202.8, 403.4, 773.5, 1573.5", \ + " 97.6, 131.7, 216.9, 415.4, 782.4, 1578.7" ); } +cell_fall(x05_113_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.1, 87.9, 122.3, 186.7, 293.9, 519.5", \ + " 77.5, 94.2, 128.5, 192.9, 300.2, 525.8", \ + " 83.2, 99.8, 134.1, 198.5, 305.8, 531.5", \ + " 91.0, 107.5, 141.7, 206.2, 313.5, 539.1", \ + " 103.3, 120.0, 154.5, 219.0, 326.4, 552.0", \ + " 117.6, 135.0, 170.4, 235.4, 342.8, 568.5", \ + " 136.5, 154.5, 190.6, 256.9, 364.6, 590.2", \ + " 161.1, 180.0, 217.2, 284.0, 393.0, 618.7", \ + " 194.0, 214.2, 253.4, 321.4, 430.7, 657.4", \ + " 238.6, 260.4, 302.8, 373.6, 483.7, 711.2" ); } +fall_transition(x05_113_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 48.3, 75.3, 139.4, 278.9, 531.3, 1076.6", \ + " 48.1, 75.1, 139.1, 278.8, 531.2, 1076.6", \ + " 48.1, 75.1, 139.0, 278.7, 531.2, 1076.6", \ + " 48.6, 75.4, 139.2, 278.8, 531.2, 1076.6", \ + " 51.9, 78.0, 140.7, 279.4, 531.5, 1076.7", \ + " 55.5, 82.1, 144.4, 281.9, 532.5, 1076.9", \ + " 60.4, 86.7, 149.2, 285.7, 535.3, 1078.0", \ + " 67.0, 93.4, 154.7, 290.5, 538.7, 1080.4", \ + " 76.6, 103.4, 164.1, 297.2, 543.6, 1083.2", \ + " 89.9, 117.8, 179.1, 309.4, 552.0, 1088.0" ); }} +} +} +cell(xor2v8x1) { /* 2008-01-06:08h08 characteristic delay 9.1 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 936 ; /* xor2v8x1 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v8x1 FO4 effort 2.57 logical effort 0.81 */ +direction : input ; +capacitance : 2.30 ; +rise_capacitance : 2.32 ; +fall_capacitance : 2.29 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v8x1 */ +} +pin(b) { /* xor2v8x1 FO4 effort 2.29 logical effort 1.30 */ +direction : input ; +capacitance : 4.24 ; +rise_capacitance : 4.30 ; +fall_capacitance : 4.19 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v8x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 161 ; +max_fanout : 4 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v8x1 26.36 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 13.19, 13.14, 13.19, 13.26, 13.28", \ + " 13.10, 13.04, 13.10, 13.16, 13.18", \ + " 13.11, 13.06, 13.11, 13.17, 13.19", \ + " 13.18, 13.13, 13.18, 13.24, 13.26", \ + " 13.40, 13.34, 13.39, 13.45, 13.47", \ + " 13.80, 13.74, 13.78, 13.84, 13.87", \ + " 14.52, 14.44, 14.48, 14.54, 14.56", \ + " 15.70, 15.61, 15.63, 15.69, 15.71", \ + " 17.63, 17.51, 17.52, 17.57, 17.60", \ + " 20.72, 20.57, 20.54, 20.58, 20.61" ); }} +internal_power(a_z_p) { /* xor2v8x1 26.22 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_195_5x10) { +values( " 13.19, 13.11, 13.13, 13.18, 13.19", \ + " 13.11, 13.02, 13.05, 13.10, 13.11", \ + " 13.12, 13.03, 13.05, 13.10, 13.11", \ + " 13.19, 13.09, 13.11, 13.16, 13.17", \ + " 13.43, 13.31, 13.31, 13.35, 13.37", \ + " 13.90, 13.75, 13.72, 13.74, 13.76", \ + " 14.72, 14.52, 14.44, 14.44, 14.45", \ + " 16.04, 15.78, 15.63, 15.59, 15.58", \ + " 18.16, 17.82, 17.58, 17.48, 17.45", \ + " 21.55, 21.10, 20.75, 20.56, 20.48" ); }} +internal_power(b_z_n) { /* xor2v8x1 23.14 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 11.29, 11.22, 11.25, 11.31, 11.33", \ + " 11.29, 11.23, 11.26, 11.32, 11.34", \ + " 11.41, 11.34, 11.37, 11.43, 11.46", \ + " 11.60, 11.54, 11.57, 11.63, 11.66", \ + " 12.00, 11.94, 11.97, 12.03, 12.06", \ + " 12.70, 12.62, 12.64, 12.70, 12.73", \ + " 13.80, 13.72, 13.72, 13.77, 13.81", \ + " 15.52, 15.42, 15.41, 15.45, 15.48", \ + " 18.21, 18.08, 18.06, 18.10, 18.13", \ + " 22.54, 22.36, 22.30, 22.35, 22.41" ); }} +internal_power(b_z_p) { /* xor2v8x1 20.66 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_195_5x10) { +values( " 10.15, 10.13, 10.19, 10.24, 10.26", \ + " 10.07, 10.04, 10.11, 10.17, 10.18", \ + " 10.14, 10.11, 10.17, 10.24, 10.26", \ + " 10.32, 10.28, 10.33, 10.39, 10.42", \ + " 10.74, 10.67, 10.71, 10.77, 10.80", \ + " 11.47, 11.37, 11.38, 11.43, 11.46", \ + " 12.70, 12.56, 12.53, 12.56, 12.59", \ + " 14.66, 14.46, 14.37, 14.38, 14.40", \ + " 17.76, 17.49, 17.34, 17.30, 17.31", \ + " 22.72, 22.34, 22.08, 22.00, 21.98" ); }} +timing() { /* ring osc delay xor2v8x1, path a to z 149.1 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 149.8 ; */ +/* intrinsic_fall : 152.2 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 126.2, 145.7, 188.6, 278.2, 436.1, 771.1", \ + " 134.1, 153.6, 196.5, 286.1, 444.0, 779.0", \ + " 140.4, 159.8, 202.8, 292.4, 450.3, 785.3", \ + " 148.6, 168.0, 210.9, 300.6, 458.5, 793.5", \ + " 161.5, 180.9, 223.8, 313.5, 471.3, 806.4", \ + " 176.9, 196.3, 239.2, 328.9, 486.7, 821.8", \ + " 196.4, 215.8, 258.7, 348.4, 506.2, 841.2", \ + " 221.1, 240.6, 283.5, 373.2, 531.0, 866.0", \ + " 253.7, 273.3, 316.3, 405.9, 563.7, 898.7", \ + " 296.9, 316.6, 359.8, 449.4, 607.2, 942.2" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.7, 100.0, 201.9, 439.3, 869.4, 1789.0", \ + " 61.7, 100.0, 201.9, 439.3, 869.4, 1789.0", \ + " 61.7, 100.0, 201.9, 439.3, 869.4, 1789.0", \ + " 61.7, 100.0, 201.9, 439.3, 869.4, 1789.0", \ + " 61.8, 100.1, 202.0, 439.4, 869.4, 1789.0", \ + " 62.2, 100.4, 202.2, 439.4, 869.4, 1789.0", \ + " 62.6, 100.8, 202.4, 439.5, 869.4, 1789.0", \ + " 63.3, 101.4, 202.8, 439.7, 869.4, 1789.0", \ + " 64.4, 102.5, 203.6, 440.0, 869.5, 1789.0", \ + " 66.2, 104.2, 204.9, 440.7, 869.7, 1789.0" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 124.9, 145.6, 185.7, 259.2, 381.6, 639.4", \ + " 131.9, 152.6, 192.7, 266.2, 388.7, 646.5", \ + " 137.0, 157.7, 197.8, 271.3, 393.8, 651.6", \ + " 142.8, 163.5, 203.6, 277.1, 399.5, 657.4", \ + " 150.3, 170.9, 211.1, 284.6, 407.0, 664.8", \ + " 157.6, 178.2, 218.4, 291.9, 414.4, 672.2", \ + " 165.1, 185.8, 225.9, 299.5, 421.9, 679.7", \ + " 172.1, 192.9, 233.2, 306.7, 429.1, 687.0", \ + " 177.8, 198.7, 239.2, 312.9, 435.3, 693.0", \ + " 179.9, 201.1, 241.9, 315.9, 438.4, 696.1" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 58.3, 88.8, 159.6, 316.8, 604.4, 1227.7", \ + " 58.4, 88.8, 159.7, 316.8, 604.4, 1227.7", \ + " 58.4, 88.8, 159.7, 316.8, 604.4, 1227.7", \ + " 58.4, 88.8, 159.7, 316.8, 604.4, 1227.7", \ + " 58.5, 89.0, 159.8, 316.9, 604.4, 1227.7", \ + " 58.8, 89.2, 160.0, 316.9, 604.4, 1227.7", \ + " 59.2, 89.5, 160.1, 317.0, 604.4, 1227.8", \ + " 60.0, 90.2, 160.7, 317.2, 604.4, 1227.7", \ + " 61.3, 91.5, 161.7, 317.8, 604.7, 1227.7", \ + " 63.4, 93.6, 163.5, 319.0, 605.3, 1227.8" ); }} +timing() { /* ring osc delay xor2v8x1, path a to z 128.3 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 119.4 ; */ +/* intrinsic_fall : 141.9 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.71 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.5, 117.7, 161.4, 251.2, 409.0, 744.0", \ + " 104.6, 124.8, 168.5, 258.3, 416.1, 751.1", \ + " 110.0, 130.2, 173.9, 263.7, 421.5, 756.5", \ + " 117.2, 137.3, 180.9, 270.8, 428.6, 763.6", \ + " 128.8, 148.9, 192.5, 282.2, 440.1, 775.1", \ + " 142.4, 162.9, 206.5, 296.2, 454.0, 788.9", \ + " 157.1, 178.4, 222.9, 312.6, 470.2, 805.1", \ + " 172.3, 194.5, 240.1, 330.2, 487.6, 822.3", \ + " 187.5, 211.0, 258.2, 348.6, 506.0, 840.5", \ + " 200.8, 226.3, 276.0, 367.8, 524.9, 859.3" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.2, 103.7, 204.9, 441.0, 870.0, 1789.1", \ + " 65.3, 103.8, 205.0, 441.0, 870.0, 1789.1", \ + " 65.4, 103.9, 205.1, 441.0, 870.0, 1789.1", \ + " 65.6, 104.2, 205.3, 441.1, 870.0, 1789.1", \ + " 66.9, 105.2, 205.9, 441.4, 870.1, 1789.1", \ + " 71.1, 108.8, 208.2, 442.2, 870.3, 1789.1", \ + " 77.3, 115.0, 212.9, 444.7, 871.2, 1789.2", \ + " 85.7, 123.4, 219.8, 448.7, 872.9, 1789.7", \ + " 97.5, 135.5, 229.8, 455.0, 875.8, 1790.6", \ + " 114.0, 152.8, 245.7, 465.7, 881.5, 1792.5" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 108.1, 130.3, 172.0, 246.5, 369.2, 627.0", \ + " 115.8, 138.0, 179.7, 254.2, 376.9, 634.7", \ + " 121.9, 144.1, 185.9, 260.3, 383.1, 640.9", \ + " 130.3, 152.4, 194.2, 268.7, 391.4, 649.2", \ + " 144.9, 167.0, 208.8, 283.4, 406.1, 663.9", \ + " 164.4, 186.9, 228.9, 303.6, 426.4, 684.2", \ + " 188.9, 212.7, 256.2, 331.4, 454.2, 711.9", \ + " 218.8, 244.1, 289.9, 366.8, 489.7, 747.4", \ + " 256.8, 284.0, 332.7, 411.7, 535.6, 793.2", \ + " 306.5, 336.0, 388.5, 470.6, 595.6, 853.6" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 66.8, 97.0, 166.4, 321.1, 606.6, 1228.5", \ + " 66.8, 97.1, 166.5, 321.1, 606.6, 1228.5", \ + " 67.0, 97.3, 166.6, 321.1, 606.7, 1228.5", \ + " 67.2, 97.5, 166.8, 321.2, 606.7, 1228.5", \ + " 68.5, 98.6, 167.5, 321.5, 606.8, 1228.6", \ + " 73.3, 102.7, 170.1, 322.7, 607.3, 1228.7", \ + " 81.7, 111.1, 177.0, 326.5, 608.7, 1229.0", \ + " 92.0, 121.9, 187.5, 333.7, 612.6, 1230.2", \ + " 105.2, 135.8, 201.2, 344.4, 618.9, 1232.8", \ + " 123.1, 154.6, 220.4, 359.5, 628.4, 1237.0" ); }} +timing() { /* ring osc delay xor2v8x1, path b to z 112.4 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 114.5 ; */ +/* intrinsic_fall : 115.3 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.68 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 90.1, 109.7, 153.0, 242.8, 400.7, 735.7", \ + " 98.2, 117.8, 161.0, 250.9, 408.7, 743.8", \ + " 104.6, 124.2, 167.5, 257.3, 415.2, 750.2", \ + " 113.0, 132.6, 175.9, 265.7, 423.6, 758.6", \ + " 126.5, 146.1, 189.3, 279.2, 437.0, 772.1", \ + " 142.4, 161.7, 204.8, 294.6, 452.5, 787.6", \ + " 161.7, 181.0, 223.9, 313.4, 471.3, 806.4", \ + " 185.3, 204.8, 247.8, 336.9, 494.6, 829.7", \ + " 215.8, 235.3, 278.5, 367.9, 525.1, 860.1", \ + " 255.8, 275.5, 318.9, 409.0, 566.6, 901.1" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.5, 101.3, 203.4, 440.3, 869.8, 1789.1", \ + " 62.4, 101.3, 203.4, 440.3, 869.8, 1789.1", \ + " 62.4, 101.3, 203.4, 440.3, 869.8, 1789.1", \ + " 62.4, 101.2, 203.4, 440.3, 869.8, 1789.1", \ + " 62.1, 101.1, 203.3, 440.3, 869.8, 1789.1", \ + " 61.4, 100.5, 202.9, 440.2, 869.8, 1789.1", \ + " 62.0, 100.7, 202.5, 439.6, 869.4, 1789.1", \ + " 63.0, 101.6, 202.9, 439.4, 868.9, 1788.6", \ + " 64.4, 103.0, 204.3, 439.8, 868.8, 1788.0", \ + " 66.6, 105.0, 206.5, 442.0, 869.9, 1788.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 87.0, 107.5, 147.5, 221.0, 343.4, 601.2", \ + " 94.2, 114.7, 154.7, 228.2, 350.6, 608.4", \ + " 99.5, 120.0, 160.0, 233.4, 355.9, 613.7", \ + " 106.1, 126.6, 166.5, 239.9, 362.4, 620.2", \ + " 116.9, 137.1, 176.9, 250.3, 372.7, 630.6", \ + " 128.7, 148.4, 187.6, 260.8, 383.3, 641.1", \ + " 138.1, 157.8, 196.9, 269.5, 391.9, 649.7", \ + " 145.4, 165.1, 204.4, 277.2, 398.8, 656.6", \ + " 150.1, 170.0, 209.5, 282.7, 404.6, 661.7", \ + " 150.5, 170.6, 210.4, 284.5, 407.9, 665.2" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.7, 88.4, 159.4, 316.7, 604.3, 1227.7", \ + " 57.4, 88.2, 159.3, 316.6, 604.3, 1227.7", \ + " 57.1, 88.0, 159.2, 316.6, 604.3, 1227.7", \ + " 56.6, 87.5, 158.9, 316.5, 604.2, 1227.7", \ + " 55.7, 86.7, 158.5, 316.2, 604.1, 1227.7", \ + " 56.9, 86.7, 157.7, 315.7, 603.9, 1227.6", \ + " 57.6, 87.2, 157.3, 314.8, 603.0, 1227.4", \ + " 58.4, 88.0, 158.2, 314.6, 602.3, 1226.3", \ + " 59.6, 89.2, 159.5, 315.9, 602.6, 1225.9", \ + " 61.6, 91.1, 161.5, 319.0, 605.9, 1227.9" ); }} +timing() { /* ring osc delay xor2v8x1, path b to z 94.3 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 85.6 ; */ +/* intrinsic_fall : 107.1 ; */ +/* rise_resistance : 3.33 ; */ +/* fall_resistance : 2.69 ; */ +cell_rise(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.7, 86.3, 129.3, 218.9, 376.7, 711.6", \ + " 73.3, 92.9, 135.8, 225.5, 383.3, 718.3", \ + " 78.4, 97.8, 140.7, 230.4, 388.3, 723.3", \ + " 84.6, 103.9, 146.7, 236.4, 394.2, 729.3", \ + " 92.6, 112.2, 155.1, 244.8, 402.6, 737.6", \ + " 100.3, 120.2, 163.8, 253.4, 411.2, 746.2", \ + " 108.0, 128.4, 172.2, 262.4, 420.0, 754.9", \ + " 114.8, 136.0, 180.6, 270.7, 428.8, 763.5", \ + " 119.5, 141.9, 188.0, 278.8, 436.8, 771.7", \ + " 119.5, 143.5, 192.1, 284.7, 443.3, 778.7" ); } +rise_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.1, 98.0, 200.8, 439.0, 869.3, 1789.0", \ + " 59.1, 98.0, 200.8, 439.0, 869.3, 1789.0", \ + " 59.1, 98.1, 200.9, 439.0, 869.3, 1789.0", \ + " 60.1, 98.7, 201.2, 439.1, 869.3, 1789.0", \ + " 63.5, 101.6, 202.9, 439.6, 869.4, 1789.0", \ + " 67.5, 105.7, 205.9, 441.4, 870.0, 1789.0", \ + " 73.1, 110.6, 210.1, 443.8, 871.4, 1789.4", \ + " 81.0, 118.3, 215.9, 447.6, 873.3, 1790.5", \ + " 91.9, 129.5, 225.7, 454.2, 877.1, 1792.2", \ + " 106.6, 145.1, 241.0, 466.0, 885.2, 1796.3" ); } +cell_fall(x1_195_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 78.4, 98.7, 138.7, 212.5, 335.1, 592.9", \ + " 85.0, 105.2, 145.1, 218.9, 341.5, 599.4", \ + " 90.7, 110.8, 150.7, 224.5, 347.2, 605.0", \ + " 98.6, 118.5, 158.4, 232.2, 354.9, 612.8", \ + " 111.6, 131.5, 171.5, 245.3, 368.0, 625.9", \ + " 126.9, 147.7, 188.4, 262.5, 385.2, 643.1", \ + " 146.8, 168.2, 210.0, 285.3, 408.2, 666.0", \ + " 172.5, 194.9, 237.9, 314.3, 438.1, 695.8", \ + " 207.0, 230.8, 275.9, 353.3, 477.9, 736.2", \ + " 253.7, 279.2, 327.8, 407.9, 532.9, 792.5" ); } +fall_transition(x1_195_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.5, 85.1, 158.1, 316.9, 605.0, 1228.1", \ + " 53.3, 84.9, 157.9, 316.8, 604.9, 1228.1", \ + " 53.2, 84.8, 157.8, 316.8, 604.9, 1228.1", \ + " 53.4, 84.9, 157.8, 316.7, 604.9, 1228.1", \ + " 56.0, 86.8, 158.8, 317.1, 605.0, 1228.1", \ + " 60.3, 91.1, 162.3, 319.0, 605.7, 1228.3", \ + " 65.3, 96.3, 167.6, 323.0, 608.2, 1228.9", \ + " 72.1, 103.2, 173.8, 328.3, 611.8, 1231.1", \ + " 81.7, 113.5, 183.4, 335.5, 616.7, 1233.9", \ + " 95.4, 128.3, 198.7, 347.4, 625.0, 1238.3" ); }} +} +} +cell(xor2v8x2) { /* 2008-01-06:08h08 characteristic delay 6.0 ps */ +area : 9 ; /* tracks */ +cell_leakage_power : 1109 ; /* xor2v8x2 */ +cell_footprint : xor2 ; +pin(a) { /* xor2v8x2 FO4 effort 2.59 logical effort 0.54 */ +direction : input ; +capacitance : 2.29 ; +rise_capacitance : 2.30 ; +fall_capacitance : 2.28 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor2v8x2 */ +} +pin(b) { /* xor2v8x2 FO4 effort 2.21 logical effort 0.87 */ +direction : input ; +capacitance : 4.24 ; +rise_capacitance : 4.29 ; +fall_capacitance : 4.18 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor2v8x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 251 ; +max_fanout : 6 ; +function : "(a^b)" ; +internal_power(a_z_n) { /* xor2v8x2 31.10 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 15.86, 15.59, 15.56, 15.62, 15.65", \ + " 15.77, 15.50, 15.46, 15.53, 15.56", \ + " 15.78, 15.51, 15.48, 15.54, 15.57", \ + " 15.86, 15.59, 15.55, 15.61, 15.64", \ + " 16.08, 15.80, 15.76, 15.82, 15.85", \ + " 16.49, 16.20, 16.15, 16.21, 16.24", \ + " 17.21, 16.91, 16.85, 16.90, 16.94", \ + " 18.41, 18.09, 18.00, 18.05, 18.08", \ + " 20.35, 20.01, 19.89, 19.92, 19.96", \ + " 23.48, 23.09, 22.92, 22.93, 22.97" ); }} +internal_power(a_z_p) { /* xor2v8x2 31.02 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 15.94, 15.62, 15.54, 15.57, 15.59", \ + " 15.87, 15.54, 15.45, 15.49, 15.51", \ + " 15.88, 15.55, 15.46, 15.49, 15.51", \ + " 15.95, 15.61, 15.51, 15.55, 15.57", \ + " 16.20, 15.84, 15.71, 15.74, 15.76", \ + " 16.72, 16.32, 16.14, 16.13, 16.15", \ + " 17.64, 17.16, 16.90, 16.84, 16.84", \ + " 19.10, 18.53, 18.15, 18.02, 17.98", \ + " 21.41, 20.71, 20.20, 19.95, 19.86", \ + " 25.07, 24.21, 23.49, 23.10, 22.92" ); }} +internal_power(b_z_n) { /* xor2v8x2 27.68 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 13.88, 13.59, 13.52, 13.58, 13.61", \ + " 13.88, 13.60, 13.53, 13.58, 13.62", \ + " 13.99, 13.71, 13.65, 13.70, 13.73", \ + " 14.18, 13.90, 13.84, 13.89, 13.93", \ + " 14.57, 14.30, 14.24, 14.29, 14.33", \ + " 15.29, 14.99, 14.91, 14.95, 14.99", \ + " 16.43, 16.11, 16.00, 16.02, 16.06", \ + " 18.17, 17.82, 17.69, 17.70, 17.73", \ + " 20.88, 20.50, 20.33, 20.32, 20.35", \ + " 25.19, 24.74, 24.51, 24.49, 24.54" ); }} +internal_power(b_z_p) { /* xor2v8x2 25.29 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 12.76, 12.53, 12.52, 12.58, 12.60", \ + " 12.68, 12.45, 12.44, 12.50, 12.53", \ + " 12.75, 12.51, 12.50, 12.56, 12.60", \ + " 12.92, 12.67, 12.64, 12.71, 12.75", \ + " 13.35, 13.07, 13.01, 13.07, 13.11", \ + " 14.15, 13.81, 13.69, 13.72, 13.76", \ + " 15.46, 15.04, 14.85, 14.84, 14.86", \ + " 17.52, 17.01, 16.72, 16.65, 16.65", \ + " 20.78, 20.14, 19.72, 19.57, 19.53", \ + " 25.94, 25.14, 24.53, 24.25, 24.16" ); }} +timing() { /* ring osc delay xor2v8x2, path a to z 152.0 */ +related_pin : "a" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 160.2 ; */ +/* intrinsic_fall : 164.0 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.80 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 134.4, 152.6, 191.5, 269.5, 405.0, 692.2", \ + " 142.3, 160.4, 199.4, 277.4, 412.9, 700.1", \ + " 148.6, 166.7, 205.7, 283.7, 419.2, 706.4", \ + " 156.8, 174.9, 213.9, 291.9, 427.4, 714.6", \ + " 169.7, 187.9, 226.8, 304.8, 440.3, 727.5", \ + " 185.2, 203.3, 242.3, 320.3, 455.7, 743.0", \ + " 204.8, 222.9, 261.8, 339.8, 475.3, 762.5", \ + " 229.7, 247.9, 286.8, 364.8, 500.2, 787.5", \ + " 262.5, 280.7, 319.7, 397.7, 533.1, 820.3", \ + " 306.2, 324.5, 363.6, 441.6, 577.0, 864.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 64.7, 98.6, 185.5, 386.4, 752.5, 1539.1", \ + " 64.7, 98.6, 185.5, 386.4, 752.5, 1539.1", \ + " 64.8, 98.6, 185.5, 386.4, 752.5, 1539.1", \ + " 64.8, 98.7, 185.5, 386.4, 752.5, 1539.1", \ + " 64.9, 98.7, 185.5, 386.4, 752.5, 1539.1", \ + " 65.2, 99.0, 185.7, 386.5, 752.5, 1539.1", \ + " 65.5, 99.3, 186.0, 386.6, 752.6, 1539.1", \ + " 66.1, 99.9, 186.4, 386.8, 752.6, 1539.1", \ + " 67.1, 100.8, 187.1, 387.1, 752.7, 1539.1", \ + " 68.8, 102.5, 188.5, 387.9, 753.0, 1539.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 134.7, 154.5, 192.8, 259.5, 366.2, 587.6", \ + " 141.7, 161.5, 199.8, 266.5, 373.2, 594.6", \ + " 146.9, 166.6, 204.9, 271.7, 378.3, 599.7", \ + " 152.6, 172.4, 210.7, 277.4, 384.1, 605.5", \ + " 160.1, 179.9, 218.2, 284.9, 391.6, 613.0", \ + " 167.4, 187.2, 225.5, 292.3, 399.0, 620.4", \ + " 175.0, 194.7, 233.0, 299.8, 406.5, 627.9", \ + " 182.1, 201.8, 240.2, 307.0, 413.7, 635.1", \ + " 187.9, 207.7, 246.3, 313.2, 419.9, 641.2", \ + " 190.4, 210.4, 249.2, 316.4, 423.2, 644.5" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.5, 91.4, 154.3, 288.2, 531.4, 1061.8", \ + " 63.5, 91.4, 154.3, 288.2, 531.4, 1061.8", \ + " 63.5, 91.4, 154.3, 288.2, 531.5, 1061.8", \ + " 63.6, 91.4, 154.3, 288.2, 531.5, 1061.8", \ + " 63.7, 91.5, 154.4, 288.2, 531.5, 1061.8", \ + " 63.9, 91.7, 154.6, 288.3, 531.5, 1061.8", \ + " 64.1, 92.0, 154.7, 288.4, 531.5, 1061.8", \ + " 64.8, 92.6, 155.2, 288.6, 531.6, 1061.8", \ + " 66.0, 93.7, 156.2, 289.3, 531.8, 1061.8", \ + " 68.0, 95.6, 157.9, 290.5, 532.6, 1062.0" ); }} +timing() { /* ring osc delay xor2v8x2, path a to z 131.0 */ +related_pin : "a" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 129.6 ; */ +/* intrinsic_fall : 153.3 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.82 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.8, 124.4, 164.0, 242.4, 377.8, 665.0", \ + " 112.9, 131.5, 171.2, 249.5, 385.0, 672.1", \ + " 118.4, 137.0, 176.6, 254.9, 390.4, 677.6", \ + " 125.5, 144.1, 183.7, 262.0, 397.5, 684.7", \ + " 137.4, 156.0, 195.5, 273.7, 409.2, 696.4", \ + " 152.1, 170.8, 210.3, 288.4, 423.8, 711.0", \ + " 168.4, 187.7, 228.0, 306.2, 441.4, 728.5", \ + " 185.5, 205.6, 246.9, 325.7, 460.8, 747.6", \ + " 203.0, 224.2, 267.0, 346.5, 481.5, 768.0", \ + " 219.4, 242.1, 287.2, 368.2, 503.1, 789.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 68.0, 102.1, 188.5, 388.3, 753.4, 1539.3", \ + " 68.1, 102.1, 188.5, 388.3, 753.4, 1539.4", \ + " 68.1, 102.2, 188.6, 388.3, 753.4, 1539.4", \ + " 68.3, 102.4, 188.8, 388.4, 753.5, 1539.4", \ + " 69.1, 103.2, 189.4, 388.7, 753.6, 1539.4", \ + " 72.9, 106.3, 191.4, 389.6, 753.9, 1539.4", \ + " 79.2, 112.7, 196.5, 392.5, 755.0, 1539.6", \ + " 88.0, 121.4, 204.1, 397.5, 757.5, 1540.3", \ + " 100.3, 133.7, 215.1, 405.0, 761.5, 1541.7", \ + " 117.8, 151.5, 231.9, 417.2, 768.7, 1544.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 118.5, 139.1, 178.7, 246.4, 353.5, 575.0", \ + " 126.2, 146.9, 186.4, 254.2, 361.3, 582.8", \ + " 132.3, 153.0, 192.5, 260.3, 367.4, 588.9", \ + " 140.6, 161.3, 200.8, 268.6, 375.7, 597.2", \ + " 155.2, 175.9, 215.4, 283.3, 390.4, 611.9", \ + " 175.4, 196.1, 235.8, 303.7, 410.9, 632.4", \ + " 201.6, 223.2, 263.9, 332.2, 439.5, 660.9", \ + " 233.3, 256.2, 299.0, 369.0, 476.5, 697.9", \ + " 273.4, 297.9, 343.2, 415.6, 524.4, 745.8", \ + " 325.7, 352.1, 400.7, 476.4, 586.7, 808.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 71.9, 99.2, 160.7, 292.7, 534.2, 1063.0", \ + " 72.0, 99.3, 160.8, 292.7, 534.2, 1063.0", \ + " 72.1, 99.3, 160.9, 292.7, 534.2, 1063.0", \ + " 72.3, 99.6, 161.0, 292.8, 534.2, 1063.0", \ + " 73.1, 100.4, 161.7, 293.2, 534.4, 1063.1", \ + " 77.0, 103.6, 163.9, 294.3, 534.9, 1063.2", \ + " 85.7, 111.9, 170.5, 298.1, 536.5, 1063.7", \ + " 96.8, 123.2, 181.6, 306.2, 541.1, 1065.2", \ + " 110.9, 137.7, 196.2, 318.3, 548.9, 1068.9", \ + " 129.8, 157.2, 216.1, 335.2, 560.7, 1074.7" ); }} +timing() { /* ring osc delay xor2v8x2, path b to z 113.9 */ +related_pin : "b" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 123.7 ; */ +/* intrinsic_fall : 125.5 ; */ +/* rise_resistance : 2.17 ; */ +/* fall_resistance : 1.80 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 97.1, 115.3, 154.6, 232.9, 368.4, 655.6", \ + " 105.1, 123.4, 162.7, 241.0, 376.5, 663.7", \ + " 111.6, 129.9, 169.2, 247.4, 383.0, 670.2", \ + " 120.0, 138.3, 177.6, 255.9, 391.4, 678.6", \ + " 133.7, 151.9, 191.2, 269.5, 405.0, 692.2", \ + " 150.0, 168.1, 207.2, 285.5, 421.0, 708.3", \ + " 169.8, 187.8, 226.7, 304.7, 440.2, 727.4", \ + " 193.9, 212.0, 251.0, 328.6, 463.9, 751.2", \ + " 225.0, 243.1, 282.2, 360.1, 494.9, 782.0", \ + " 265.9, 284.1, 323.4, 401.6, 536.9, 823.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 65.5, 99.9, 187.0, 387.6, 753.2, 1539.3", \ + " 65.5, 99.8, 187.0, 387.6, 753.2, 1539.3", \ + " 65.4, 99.8, 187.0, 387.6, 753.2, 1539.3", \ + " 65.5, 99.8, 187.0, 387.6, 753.2, 1539.3", \ + " 65.3, 99.7, 187.0, 387.6, 753.2, 1539.3", \ + " 64.4, 99.0, 186.6, 387.5, 753.2, 1539.3", \ + " 64.8, 99.0, 186.2, 386.9, 752.8, 1539.3", \ + " 65.7, 100.0, 186.6, 386.7, 752.3, 1538.7", \ + " 67.2, 101.3, 187.9, 387.1, 752.2, 1538.2", \ + " 69.5, 103.4, 189.9, 389.1, 753.1, 1538.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 95.1, 114.7, 152.9, 219.6, 326.3, 547.7", \ + " 102.4, 122.1, 160.3, 227.0, 333.6, 555.1", \ + " 107.8, 127.4, 165.6, 232.3, 338.9, 560.4", \ + " 114.3, 134.0, 172.1, 238.8, 345.5, 566.9", \ + " 125.0, 144.6, 182.6, 249.2, 355.9, 577.4", \ + " 138.2, 157.2, 194.8, 261.3, 367.9, 589.4", \ + " 149.3, 168.2, 205.3, 271.2, 377.7, 599.1", \ + " 157.3, 176.2, 213.5, 279.4, 385.3, 606.6", \ + " 162.6, 181.6, 219.1, 285.3, 391.4, 612.0", \ + " 163.6, 182.8, 220.5, 287.3, 394.3, 615.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.0, 91.1, 154.1, 288.1, 531.4, 1061.8", \ + " 62.8, 90.9, 154.0, 288.0, 531.4, 1061.8", \ + " 62.5, 90.7, 153.9, 288.0, 531.3, 1061.7", \ + " 62.1, 90.4, 153.7, 287.9, 531.3, 1061.7", \ + " 61.0, 89.7, 153.3, 287.6, 531.2, 1061.7", \ + " 62.8, 90.2, 153.0, 287.4, 531.1, 1061.7", \ + " 64.6, 91.1, 152.7, 286.5, 530.2, 1061.4", \ + " 65.4, 91.9, 153.5, 286.3, 529.5, 1060.4", \ + " 66.7, 93.1, 154.8, 287.5, 529.6, 1059.8", \ + " 68.6, 95.0, 156.6, 289.8, 532.2, 1061.3" ); }} +timing() { /* ring osc delay xor2v8x2, path b to z 97.9 */ +related_pin : "b" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 97.1 ; */ +/* intrinsic_fall : 119.5 ; */ +/* rise_resistance : 2.16 ; */ +/* fall_resistance : 1.80 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.5, 93.8, 132.9, 210.9, 346.4, 633.6", \ + " 82.2, 100.5, 139.6, 217.6, 353.1, 640.3", \ + " 87.3, 105.5, 144.5, 222.6, 358.1, 645.3", \ + " 93.8, 111.9, 150.8, 228.9, 364.4, 651.6", \ + " 103.6, 121.6, 160.5, 238.4, 373.9, 661.1", \ + " 113.3, 131.8, 171.1, 249.0, 384.3, 671.5", \ + " 123.5, 142.3, 182.2, 260.6, 395.8, 682.8", \ + " 133.5, 153.0, 193.6, 272.1, 407.6, 694.4", \ + " 142.2, 162.7, 204.8, 283.9, 419.3, 706.2", \ + " 147.2, 169.1, 213.6, 294.6, 430.3, 717.3" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 63.2, 97.2, 184.6, 386.0, 752.4, 1539.1", \ + " 63.1, 97.2, 184.6, 386.0, 752.4, 1539.1", \ + " 63.1, 97.2, 184.6, 386.0, 752.4, 1539.1", \ + " 63.3, 97.5, 184.8, 386.1, 752.4, 1539.1", \ + " 66.5, 100.0, 186.2, 386.6, 752.6, 1539.1", \ + " 71.5, 104.8, 189.8, 388.6, 753.2, 1539.2", \ + " 78.2, 111.0, 195.2, 391.9, 755.1, 1539.7", \ + " 87.2, 119.8, 202.2, 396.7, 757.7, 1541.0", \ + " 100.0, 132.5, 213.5, 404.6, 762.3, 1542.9", \ + " 117.5, 150.2, 230.9, 418.2, 771.4, 1547.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 88.3, 108.0, 146.4, 213.6, 320.5, 542.0", \ + " 95.0, 114.6, 153.0, 220.1, 327.1, 548.6", \ + " 100.6, 120.2, 158.6, 225.7, 332.7, 554.2", \ + " 108.4, 127.9, 166.2, 233.3, 340.4, 561.9", \ + " 121.8, 141.1, 179.3, 246.5, 353.5, 575.0", \ + " 138.4, 158.2, 196.8, 264.1, 371.2, 592.7", \ + " 159.2, 179.7, 219.7, 288.0, 395.3, 616.8", \ + " 186.2, 207.5, 248.8, 318.6, 426.8, 648.2", \ + " 222.2, 244.6, 288.1, 359.4, 468.6, 690.7", \ + " 271.0, 294.9, 341.6, 416.1, 526.2, 749.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 88.5, 153.1, 288.6, 532.3, 1062.4", \ + " 59.3, 88.3, 153.0, 288.5, 532.2, 1062.4", \ + " 59.2, 88.2, 152.9, 288.4, 532.2, 1062.4", \ + " 59.1, 88.2, 152.9, 288.3, 532.2, 1062.4", \ + " 60.6, 89.1, 153.4, 288.6, 532.3, 1062.4", \ + " 65.3, 93.3, 156.5, 290.1, 532.9, 1062.6", \ + " 70.8, 99.2, 162.4, 294.7, 535.6, 1063.4", \ + " 77.7, 106.5, 169.9, 301.3, 540.2, 1066.0", \ + " 87.5, 116.8, 180.4, 310.1, 546.6, 1069.7", \ + " 101.3, 131.7, 196.6, 324.0, 556.8, 1075.3" ); }} +} +} +cell(xor3v0x05) { /* 2008-01-06:08h09 characteristic delay 40.2 ps */ +area : 21 ; /* tracks */ +cell_leakage_power : 2333 ; /* xor3v0x05 */ +cell_footprint : xor3 ; +pin(a) { /* xor3v0x05 FO4 effort 4.02 logical effort 4.21 */ +direction : input ; +capacitance : 8.40 ; +rise_capacitance : 8.38 ; +fall_capacitance : 8.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor3v0x05 */ +} +pin(b) { /* xor3v0x05 FO4 effort 3.29 logical effort 3.47 */ +direction : input ; +capacitance : 9.30 ; +rise_capacitance : 9.20 ; +fall_capacitance : 9.41 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor3v0x05 */ +} +pin(c) { /* xor3v0x05 FO4 effort 4.25 logical effort 6.42 */ +direction : input ; +capacitance : 11.78 ; +rise_capacitance : 11.35 ; +fall_capacitance : 12.20 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xor3v0x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 60 ; +max_fanout : 2 ; +function : "(a^b^c)" ; +internal_power(a_z_n) { /* xor3v0x05 53.38 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 26.83, 26.83, 26.83, 26.83, 26.82", \ + " 26.61, 26.62, 26.64, 26.66, 26.66", \ + " 26.59, 26.60, 26.63, 26.66, 26.67", \ + " 26.64, 26.66, 26.69, 26.73, 26.75", \ + " 26.89, 26.91, 26.94, 26.98, 27.02", \ + " 27.46, 27.47, 27.49, 27.53, 27.56", \ + " 28.58, 28.58, 28.57, 28.57, 28.58", \ + " 30.60, 30.57, 30.51, 30.43, 30.36", \ + " 34.19, 34.12, 33.97, 33.74, 33.50", \ + " 40.37, 40.25, 39.97, 39.49, 38.96" ); }} +internal_power(a_z_p) { /* xor3v0x05 61.15 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 30.62, 30.60, 30.56, 30.49, 30.41", \ + " 30.46, 30.44, 30.40, 30.34, 30.26", \ + " 30.49, 30.47, 30.44, 30.37, 30.29", \ + " 30.63, 30.61, 30.58, 30.51, 30.43", \ + " 31.02, 31.00, 30.96, 30.88, 30.79", \ + " 31.77, 31.75, 31.70, 31.61, 31.50", \ + " 33.13, 33.10, 33.03, 32.91, 32.76", \ + " 35.45, 35.40, 35.30, 35.11, 34.90", \ + " 39.38, 39.31, 39.15, 38.88, 38.54", \ + " 45.92, 45.82, 45.59, 45.17, 44.64" ); }} +internal_power(b_z_n) { /* xor3v0x05 49.79 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 25.08, 25.08, 25.09, 25.09, 25.07", \ + " 24.80, 24.81, 24.83, 24.86, 24.86", \ + " 24.77, 24.79, 24.82, 24.85, 24.87", \ + " 24.84, 24.86, 24.90, 24.94, 24.97", \ + " 25.14, 25.16, 25.20, 25.25, 25.29", \ + " 25.82, 25.83, 25.85, 25.89, 25.93", \ + " 27.13, 27.12, 27.10, 27.09, 27.10", \ + " 29.46, 29.42, 29.34, 29.22, 29.12", \ + " 33.54, 33.46, 33.27, 32.97, 32.67", \ + " 40.46, 40.31, 39.98, 39.40, 38.75" ); }} +internal_power(b_z_p) { /* xor3v0x05 54.51 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 27.39, 27.38, 27.35, 27.30, 27.24", \ + " 27.16, 27.16, 27.14, 27.10, 27.05", \ + " 27.16, 27.16, 27.15, 27.12, 27.07", \ + " 27.26, 27.26, 27.25, 27.24, 27.20", \ + " 27.60, 27.60, 27.60, 27.59, 27.56", \ + " 28.31, 28.31, 28.31, 28.30, 28.27", \ + " 29.63, 29.62, 29.61, 29.58, 29.54", \ + " 31.92, 31.90, 31.86, 31.79, 31.70", \ + " 35.86, 35.82, 35.72, 35.56, 35.38", \ + " 42.43, 42.36, 42.18, 41.88, 41.53" ); }} +internal_power(c_z_n) { /* xor3v0x05 33.84 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 17.12, 17.21, 17.38, 17.56, 17.67", \ + " 16.54, 16.64, 16.87, 17.18, 17.41", \ + " 16.49, 16.58, 16.81, 17.13, 17.40", \ + " 16.65, 16.71, 16.92, 17.23, 17.52", \ + " 17.27, 17.29, 17.44, 17.69, 17.97", \ + " 18.56, 18.53, 18.58, 18.72, 18.92", \ + " 20.96, 20.85, 20.76, 20.71, 20.75", \ + " 24.98, 24.79, 24.52, 24.20, 23.97", \ + " 31.58, 31.30, 30.80, 30.13, 29.51", \ + " 42.21, 41.83, 41.04, 39.91, 38.74" ); }} +internal_power(c_z_p) { /* xor3v0x05 43.17 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 21.68, 21.68, 21.66, 21.58, 21.46", \ + " 21.34, 21.35, 21.36, 21.34, 21.28", \ + " 21.38, 21.38, 21.39, 21.38, 21.34", \ + " 21.59, 21.58, 21.59, 21.58, 21.54", \ + " 22.22, 22.19, 22.17, 22.14, 22.08", \ + " 23.42, 23.37, 23.30, 23.21, 23.11", \ + " 25.55, 25.46, 25.33, 25.15, 24.95", \ + " 29.05, 28.93, 28.71, 28.39, 28.05", \ + " 34.76, 34.58, 34.25, 33.75, 33.21", \ + " 43.87, 43.65, 43.16, 42.43, 41.60" ); }} +timing() { /* ring osc delay xor3v0x05, path a to z 214.8 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 148.3 ; */ +/* intrinsic_fall : 123.1 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 79.5, 86.0, 103.9, 145.3, 220.3, 379.2", \ + " 86.3, 92.8, 110.5, 151.8, 226.9, 385.7", \ + " 91.4, 97.8, 115.4, 156.6, 231.5, 390.4", \ + " 98.1, 104.5, 121.9, 162.7, 237.5, 396.2", \ + " 109.4, 115.7, 132.7, 173.0, 247.3, 405.9", \ + " 122.6, 129.3, 147.2, 186.9, 260.6, 419.0", \ + " 138.4, 145.5, 164.2, 205.9, 279.4, 437.3", \ + " 156.8, 164.5, 184.7, 228.4, 305.0, 462.9", \ + " 178.4, 187.0, 209.1, 256.3, 336.6, 500.6", \ + " 203.6, 213.3, 238.0, 289.9, 376.0, 549.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 286.5, 312.7, 382.9, 541.9, 826.1, 1431.3", \ + " 286.7, 312.8, 382.9, 541.8, 825.9, 1431.2", \ + " 288.8, 314.6, 384.0, 542.2, 825.9, 1431.0", \ + " 293.7, 319.2, 387.9, 544.6, 826.5, 1430.9", \ + " 304.8, 329.7, 396.9, 551.3, 830.6, 1431.4", \ + " 323.1, 347.2, 412.8, 564.0, 839.5, 1435.5", \ + " 354.4, 377.5, 440.4, 587.0, 857.0, 1446.0", \ + " 406.8, 428.2, 487.3, 627.2, 888.8, 1467.4", \ + " 478.3, 502.0, 564.5, 697.4, 946.8, 1509.0", \ + " 576.7, 601.7, 666.9, 809.1, 1050.1, 1588.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 146.7, 154.6, 175.5, 221.7, 302.9, 474.3", \ + " 153.5, 161.4, 182.4, 228.8, 310.1, 481.6", \ + " 158.7, 166.7, 187.7, 234.2, 315.6, 487.2", \ + " 165.6, 173.7, 194.8, 241.3, 322.9, 494.5", \ + " 177.0, 185.1, 206.2, 252.9, 334.6, 506.4", \ + " 191.3, 199.4, 220.6, 267.4, 349.3, 521.2", \ + " 209.9, 218.3, 239.8, 286.7, 368.7, 540.8", \ + " 231.3, 240.2, 263.1, 312.3, 395.1, 567.3", \ + " 257.7, 267.2, 291.8, 344.3, 431.8, 606.0", \ + " 291.0, 301.6, 328.7, 385.6, 479.2, 663.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 219.0, 238.8, 291.7, 411.7, 626.3, 1084.1", \ + " 219.1, 238.8, 291.7, 411.7, 626.3, 1084.1", \ + " 219.2, 238.9, 291.8, 411.7, 626.3, 1084.1", \ + " 219.3, 239.0, 291.9, 411.8, 626.4, 1084.1", \ + " 220.0, 239.6, 292.3, 412.0, 626.5, 1084.1", \ + " 224.8, 244.0, 295.8, 413.9, 627.0, 1084.2", \ + " 235.5, 254.2, 304.8, 421.1, 631.8, 1085.5", \ + " 256.9, 274.7, 323.1, 436.1, 643.4, 1092.9", \ + " 288.2, 306.7, 356.3, 466.8, 668.1, 1110.7", \ + " 335.3, 354.3, 404.9, 518.6, 717.8, 1148.4" ); }} +timing() { /* ring osc delay xor3v0x05, path a to z 235.5 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 148.3 ; */ +/* intrinsic_fall : 123.1 ; */ +/* rise_resistance : 5.04 ; */ +/* fall_resistance : 3.63 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 184.2, 196.4, 228.6, 300.3, 426.9, 694.1", \ + " 191.4, 203.7, 236.1, 308.2, 435.1, 702.4", \ + " 197.2, 209.5, 242.0, 314.2, 441.2, 708.7", \ + " 205.3, 217.6, 250.2, 322.4, 449.6, 717.2", \ + " 219.9, 232.2, 264.7, 337.0, 464.2, 732.0", \ + " 240.2, 252.5, 284.8, 357.0, 484.3, 752.2", \ + " 268.7, 280.9, 313.1, 385.1, 512.2, 780.2", \ + " 306.4, 319.0, 351.9, 423.7, 550.6, 818.5", \ + " 355.0, 368.5, 403.5, 478.8, 606.2, 873.8", \ + " 421.8, 436.3, 473.8, 553.9, 688.2, 956.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 343.2, 373.6, 455.3, 640.9, 973.0, 1681.4", \ + " 343.3, 373.7, 455.4, 640.9, 973.1, 1681.4", \ + " 343.5, 373.8, 455.4, 640.9, 973.1, 1681.4", \ + " 343.7, 374.0, 455.6, 641.0, 973.1, 1681.4", \ + " 344.1, 374.4, 455.8, 641.1, 973.2, 1681.5", \ + " 345.4, 375.6, 456.7, 641.6, 973.4, 1681.5", \ + " 351.8, 381.5, 461.5, 644.4, 974.2, 1681.7", \ + " 364.2, 393.4, 472.4, 653.4, 980.4, 1683.2", \ + " 387.9, 416.3, 493.5, 671.8, 995.4, 1692.7", \ + " 427.2, 456.5, 534.5, 708.0, 1026.0, 1715.9" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 71.0, 77.1, 93.3, 129.1, 191.9, 324.1", \ + " 76.3, 82.3, 98.5, 134.2, 197.0, 329.3", \ + " 79.8, 85.8, 101.8, 137.6, 200.3, 332.6", \ + " 84.2, 90.2, 106.0, 141.6, 204.4, 336.7", \ + " 91.8, 97.7, 113.1, 148.2, 210.9, 343.1", \ + " 99.6, 105.8, 122.0, 157.4, 219.5, 351.6", \ + " 107.1, 113.9, 131.3, 168.7, 232.3, 363.5", \ + " 112.1, 119.7, 139.2, 179.9, 247.9, 381.0", \ + " 111.2, 120.0, 142.4, 188.4, 263.1, 405.8", \ + " 99.4, 109.6, 135.6, 188.8, 273.4, 431.4" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 178.6, 197.0, 246.1, 356.6, 553.4, 972.0", \ + " 179.2, 197.4, 246.2, 356.6, 553.3, 971.9", \ + " 181.6, 199.6, 247.9, 357.2, 553.3, 971.8", \ + " 186.0, 203.8, 251.4, 359.7, 554.2, 971.6", \ + " 196.2, 213.5, 260.0, 366.2, 558.3, 972.5", \ + " 214.6, 231.3, 276.2, 379.4, 567.7, 977.3", \ + " 246.5, 263.6, 306.0, 405.1, 587.7, 989.5", \ + " 288.6, 306.4, 352.5, 450.3, 625.7, 1016.1", \ + " 348.2, 367.2, 416.2, 520.1, 693.4, 1069.2", \ + " 432.0, 452.5, 505.6, 616.9, 800.1, 1167.2" ); }} +timing() { /* ring osc delay xor3v0x05, path a to z 252.6 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 180.8 ; */ +/* intrinsic_fall : 162.6 ; */ +/* rise_resistance : 4.59 ; */ +/* fall_resistance : 3.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.5, 110.1, 122.7, 153.5, 212.0, 341.6", \ + " 113.3, 117.8, 130.6, 161.4, 219.9, 349.4", \ + " 119.4, 124.0, 136.7, 167.5, 225.9, 355.3", \ + " 127.6, 132.2, 145.0, 175.7, 233.9, 363.0", \ + " 141.4, 146.1, 158.9, 189.5, 247.3, 375.7", \ + " 159.9, 164.7, 177.7, 208.1, 265.3, 392.8", \ + " 185.5, 190.7, 204.6, 235.2, 291.6, 417.4", \ + " 211.8, 218.1, 234.6, 270.5, 329.9, 453.4", \ + " 236.4, 243.8, 263.2, 305.6, 376.2, 508.0", \ + " 257.1, 265.4, 287.2, 335.4, 418.2, 576.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 342.2, 363.2, 421.0, 556.5, 806.7, 1353.4", \ + " 339.8, 361.1, 419.6, 556.2, 806.8, 1353.6", \ + " 341.1, 362.1, 419.9, 556.2, 807.2, 1354.0", \ + " 344.6, 365.3, 422.4, 557.1, 807.6, 1354.5", \ + " 352.4, 372.9, 429.1, 561.7, 808.8, 1354.6", \ + " 365.2, 385.4, 441.0, 571.5, 814.9, 1355.9", \ + " 387.0, 407.3, 462.5, 591.1, 829.7, 1366.1", \ + " 414.7, 436.6, 494.5, 624.2, 858.9, 1391.7", \ + " 434.4, 458.3, 522.4, 664.9, 908.5, 1442.5", \ + " 447.8, 472.2, 538.0, 688.7, 963.5, 1528.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 172.6, 180.6, 201.6, 247.7, 327.8, 495.6", \ + " 180.3, 188.4, 209.4, 255.4, 335.5, 503.3", \ + " 186.5, 194.5, 215.6, 261.6, 341.7, 509.6", \ + " 194.8, 202.8, 223.9, 270.0, 350.1, 518.0", \ + " 208.2, 216.3, 237.4, 283.5, 363.8, 531.7", \ + " 224.4, 232.5, 253.6, 299.9, 380.1, 548.1", \ + " 244.3, 252.5, 273.7, 320.0, 400.3, 568.3", \ + " 268.7, 276.8, 298.0, 344.5, 425.0, 593.1", \ + " 300.1, 308.2, 329.5, 375.9, 456.3, 624.6", \ + " 340.8, 349.0, 370.4, 417.0, 497.4, 665.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 231.6, 251.0, 302.8, 419.7, 628.4, 1072.8", \ + " 231.6, 251.0, 302.8, 419.7, 628.3, 1072.8", \ + " 231.6, 250.9, 302.8, 419.7, 628.3, 1072.8", \ + " 231.6, 251.0, 302.8, 419.7, 628.3, 1072.8", \ + " 231.8, 251.2, 303.0, 419.8, 628.3, 1072.8", \ + " 233.2, 252.5, 304.0, 420.4, 628.6, 1072.8", \ + " 235.4, 254.6, 305.8, 421.9, 629.6, 1073.1", \ + " 238.5, 257.6, 308.5, 423.9, 630.9, 1073.7", \ + " 242.9, 261.6, 311.8, 427.0, 633.1, 1074.8", \ + " 251.5, 269.8, 319.2, 432.1, 636.6, 1076.8" ); }} +timing() { /* ring osc delay xor3v0x05, path a to z 277.2 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 180.8 ; */ +/* intrinsic_fall : 162.6 ; */ +/* rise_resistance : 4.59 ; */ +/* fall_resistance : 3.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 220.7, 232.9, 265.3, 337.1, 463.4, 729.5", \ + " 227.8, 240.0, 272.3, 344.2, 470.5, 736.6", \ + " 233.2, 245.5, 277.8, 349.7, 476.1, 742.2", \ + " 240.5, 252.8, 285.1, 357.1, 483.5, 749.7", \ + " 252.0, 264.3, 296.7, 368.7, 495.2, 761.6", \ + " 264.5, 276.8, 309.2, 381.3, 508.0, 774.4", \ + " 277.8, 290.1, 322.5, 394.7, 521.4, 788.0", \ + " 291.2, 303.5, 335.9, 408.2, 535.0, 801.7", \ + " 304.9, 317.1, 349.6, 421.8, 548.6, 815.4", \ + " 317.5, 329.8, 362.3, 434.6, 561.6, 828.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 362.8, 393.8, 476.9, 664.4, 998.2, 1707.8", \ + " 362.7, 393.8, 476.9, 664.4, 998.2, 1707.8", \ + " 362.7, 393.8, 476.9, 664.3, 998.2, 1707.8", \ + " 362.7, 393.8, 476.9, 664.3, 998.1, 1707.8", \ + " 362.7, 393.8, 476.8, 664.3, 998.1, 1707.7", \ + " 363.0, 394.0, 477.0, 664.3, 998.0, 1707.7", \ + " 364.0, 394.9, 477.7, 664.8, 998.2, 1707.6", \ + " 365.3, 396.1, 478.6, 665.3, 998.5, 1707.8", \ + " 366.1, 397.3, 480.1, 666.4, 999.1, 1708.0", \ + " 373.8, 403.7, 484.1, 667.6, 1000.5, 1708.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 110.6, 115.4, 128.4, 157.5, 209.8, 322.1", \ + " 118.6, 123.4, 136.3, 165.4, 217.6, 329.8", \ + " 125.0, 129.8, 142.7, 171.7, 223.7, 335.8", \ + " 133.7, 138.5, 151.3, 180.2, 232.0, 343.9", \ + " 148.5, 153.2, 166.0, 194.6, 246.1, 357.4", \ + " 168.1, 172.9, 185.5, 213.8, 264.8, 375.4", \ + " 194.2, 199.1, 212.0, 240.4, 290.9, 400.6", \ + " 224.7, 230.0, 243.8, 274.0, 326.0, 435.2", \ + " 261.1, 266.7, 281.3, 313.3, 368.7, 482.7", \ + " 306.0, 311.9, 327.3, 360.7, 418.5, 539.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 232.2, 245.1, 280.9, 365.0, 530.1, 901.9", \ + " 232.1, 245.2, 281.2, 365.6, 530.6, 902.2", \ + " 230.7, 244.4, 281.6, 366.2, 530.8, 902.4", \ + " 231.2, 244.6, 281.1, 366.9, 531.3, 902.6", \ + " 235.2, 248.1, 283.4, 366.8, 532.6, 903.2", \ + " 243.4, 255.8, 289.8, 371.0, 536.5, 904.9", \ + " 256.9, 268.9, 301.7, 382.3, 546.9, 911.7", \ + " 273.2, 285.3, 318.0, 400.9, 565.5, 927.1", \ + " 289.8, 302.0, 335.4, 423.6, 591.5, 953.8", \ + " 307.5, 320.1, 357.1, 449.6, 620.2, 989.1" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 180.8 ; */ +/* intrinsic_fall : 162.6 ; */ +/* rise_resistance : 4.59 ; */ +/* fall_resistance : 3.32 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 105.5, 110.1, 122.7, 153.5, 212.0, 341.6", \ + " 113.3, 117.8, 130.6, 161.4, 219.9, 349.4", \ + " 119.4, 124.0, 136.7, 167.5, 225.9, 355.3", \ + " 127.6, 132.2, 145.0, 175.7, 233.9, 363.0", \ + " 141.4, 146.1, 158.9, 189.5, 247.3, 375.7", \ + " 159.9, 164.7, 177.7, 208.1, 265.3, 392.8", \ + " 185.5, 190.7, 204.6, 235.2, 291.6, 417.4", \ + " 211.8, 218.1, 234.6, 270.5, 329.9, 453.4", \ + " 236.4, 243.8, 263.2, 305.6, 376.2, 508.0", \ + " 257.1, 265.4, 287.2, 335.4, 418.2, 576.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 342.2, 363.2, 421.0, 556.5, 806.7, 1353.4", \ + " 339.8, 361.1, 419.6, 556.2, 806.8, 1353.6", \ + " 341.1, 362.1, 419.9, 556.2, 807.2, 1354.0", \ + " 344.6, 365.3, 422.4, 557.1, 807.6, 1354.5", \ + " 352.4, 372.9, 429.1, 561.7, 808.8, 1354.6", \ + " 365.2, 385.4, 441.0, 571.5, 814.9, 1355.9", \ + " 387.0, 407.3, 462.5, 591.1, 829.7, 1366.1", \ + " 414.7, 436.6, 494.5, 624.2, 858.9, 1391.7", \ + " 434.4, 458.3, 522.4, 664.9, 908.5, 1442.5", \ + " 447.8, 472.2, 538.0, 688.7, 963.5, 1528.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 172.6, 180.6, 201.6, 247.7, 327.8, 495.6", \ + " 180.3, 188.4, 209.4, 255.4, 335.5, 503.3", \ + " 186.5, 194.5, 215.6, 261.6, 341.7, 509.6", \ + " 194.8, 202.8, 223.9, 270.0, 350.1, 518.0", \ + " 208.2, 216.3, 237.4, 283.5, 363.8, 531.7", \ + " 224.4, 232.5, 253.6, 299.9, 380.1, 548.1", \ + " 244.3, 252.5, 273.7, 320.0, 400.3, 568.3", \ + " 268.7, 276.8, 298.0, 344.5, 425.0, 593.1", \ + " 300.1, 308.2, 329.5, 375.9, 456.3, 624.6", \ + " 340.8, 349.0, 370.4, 417.0, 497.4, 665.5" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 231.6, 251.0, 302.8, 419.7, 628.4, 1072.8", \ + " 231.6, 251.0, 302.8, 419.7, 628.3, 1072.8", \ + " 231.6, 250.9, 302.8, 419.7, 628.3, 1072.8", \ + " 231.6, 251.0, 302.8, 419.7, 628.3, 1072.8", \ + " 231.8, 251.2, 303.0, 419.8, 628.3, 1072.8", \ + " 233.2, 252.5, 304.0, 420.4, 628.6, 1072.8", \ + " 235.4, 254.6, 305.8, 421.9, 629.6, 1073.1", \ + " 238.5, 257.6, 308.5, 423.9, 630.9, 1073.7", \ + " 242.9, 261.6, 311.8, 427.0, 633.1, 1074.8", \ + " 251.5, 269.8, 319.2, 432.1, 636.6, 1076.8" ); }} +timing() { /* ring osc delay xor3v0x05, path b to z 182.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 118.5 ; */ +/* intrinsic_fall : 102.4 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 122.8, 129.6, 147.7, 188.6, 262.0, 418.6", \ + " 130.4, 137.2, 155.3, 196.3, 269.7, 426.4", \ + " 136.6, 143.4, 161.5, 202.5, 275.9, 432.7", \ + " 145.2, 151.9, 170.0, 210.9, 284.3, 441.1", \ + " 160.0, 166.7, 184.6, 225.3, 298.6, 455.3", \ + " 180.2, 186.8, 204.5, 244.8, 317.7, 474.1", \ + " 207.3, 214.3, 232.5, 272.4, 344.5, 500.1", \ + " 240.6, 248.0, 267.4, 309.9, 382.4, 536.5", \ + " 285.5, 293.4, 314.0, 358.9, 435.7, 590.6", \ + " 348.4, 357.0, 379.3, 427.2, 508.3, 671.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 301.1, 325.8, 393.1, 548.2, 829.0, 1431.3", \ + " 301.8, 326.4, 393.4, 548.4, 829.0, 1431.3", \ + " 302.2, 326.8, 393.7, 548.5, 829.0, 1431.3", \ + " 303.1, 327.6, 394.3, 548.8, 829.1, 1431.3", \ + " 306.7, 330.6, 396.3, 550.0, 829.7, 1431.4", \ + " 315.9, 339.3, 403.7, 554.9, 832.4, 1432.1", \ + " 331.2, 354.1, 417.0, 565.7, 840.3, 1436.5", \ + " 354.5, 376.9, 438.6, 584.6, 855.5, 1447.0", \ + " 392.9, 415.2, 474.8, 617.5, 884.4, 1469.0", \ + " 445.5, 468.9, 532.1, 676.2, 937.2, 1513.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 68.1, 73.4, 87.4, 118.6, 173.7, 289.3", \ + " 73.6, 78.9, 92.9, 124.0, 179.1, 294.8", \ + " 77.2, 82.5, 96.5, 127.5, 182.5, 298.2", \ + " 81.7, 87.0, 100.9, 131.8, 186.7, 302.4", \ + " 88.8, 94.3, 108.2, 138.9, 193.5, 309.1", \ + " 95.6, 101.3, 116.4, 148.6, 202.7, 318.0", \ + " 101.9, 108.2, 124.6, 159.2, 216.2, 331.0", \ + " 105.1, 112.3, 130.7, 169.3, 231.0, 350.1", \ + " 101.6, 110.0, 131.3, 175.6, 245.0, 374.1", \ + " 86.2, 96.0, 120.9, 172.2, 252.7, 397.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 177.8, 194.4, 238.9, 339.1, 517.4, 896.9", \ + " 179.2, 195.5, 239.4, 339.3, 517.4, 896.7", \ + " 182.3, 198.5, 241.9, 340.5, 517.5, 896.4", \ + " 187.7, 203.5, 246.2, 343.7, 519.1, 896.0", \ + " 199.4, 214.8, 256.1, 351.4, 524.4, 897.8", \ + " 220.1, 234.7, 274.4, 366.6, 535.6, 904.0", \ + " 254.7, 270.1, 307.5, 395.4, 558.7, 919.2", \ + " 296.6, 313.1, 355.7, 444.7, 601.1, 950.5", \ + " 356.0, 373.7, 419.0, 515.4, 674.1, 1010.0", \ + " 439.5, 458.9, 508.2, 611.4, 781.6, 1115.5" ); }} +timing() { /* ring osc delay xor3v0x05, path b to z 182.7 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 118.5 ; */ +/* intrinsic_fall : 102.4 ; */ +/* rise_resistance : 3.39 ; */ +/* fall_resistance : 2.95 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 76.8, 82.7, 98.3, 133.6, 196.5, 329.6", \ + " 83.9, 89.8, 105.4, 140.6, 203.6, 336.6", \ + " 89.4, 95.2, 110.7, 145.8, 208.7, 341.8", \ + " 96.5, 102.3, 117.7, 152.6, 215.4, 348.4", \ + " 108.4, 114.2, 129.5, 164.0, 226.6, 359.6", \ + " 121.5, 128.0, 144.7, 179.4, 241.7, 374.7", \ + " 137.1, 144.0, 162.0, 199.9, 263.0, 396.2", \ + " 155.6, 163.2, 182.7, 223.8, 291.2, 426.1", \ + " 177.6, 186.0, 207.6, 252.8, 325.7, 468.7", \ + " 203.9, 213.4, 237.6, 287.7, 368.3, 522.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 281.6, 305.6, 370.2, 517.6, 781.8, 1345.6", \ + " 282.6, 306.4, 370.9, 518.0, 782.0, 1345.7", \ + " 285.6, 309.1, 372.7, 518.9, 782.3, 1345.8", \ + " 291.6, 314.8, 377.6, 522.2, 783.5, 1345.9", \ + " 304.0, 326.6, 388.3, 530.7, 789.3, 1347.5", \ + " 323.8, 345.8, 405.8, 545.3, 800.5, 1353.8", \ + " 357.3, 378.3, 435.9, 571.0, 821.0, 1367.7", \ + " 412.2, 431.7, 485.9, 614.9, 856.9, 1394.0", \ + " 484.1, 506.6, 565.8, 689.1, 920.5, 1442.3", \ + " 580.9, 604.6, 666.8, 802.7, 1029.3, 1530.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 107.1, 113.2, 129.2, 164.6, 227.1, 359.1", \ + " 113.9, 120.0, 136.0, 171.6, 234.0, 366.1", \ + " 119.2, 125.3, 141.4, 176.9, 239.4, 371.5", \ + " 126.1, 132.2, 148.3, 183.8, 246.3, 378.4", \ + " 137.2, 143.2, 159.2, 194.6, 257.0, 389.1", \ + " 151.0, 157.1, 173.0, 208.2, 270.4, 402.3", \ + " 166.4, 173.0, 190.0, 226.7, 288.7, 420.1", \ + " 184.7, 191.7, 209.7, 248.4, 313.7, 445.1", \ + " 207.3, 215.0, 234.6, 276.1, 345.2, 482.4", \ + " 235.3, 244.0, 266.3, 312.2, 386.7, 532.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 186.1, 204.0, 252.0, 361.0, 556.1, 972.9", \ + " 186.2, 204.1, 252.1, 361.0, 556.1, 972.9", \ + " 186.4, 204.2, 252.2, 361.1, 556.2, 972.9", \ + " 186.9, 204.8, 252.6, 361.3, 556.3, 973.0", \ + " 191.2, 208.6, 255.7, 363.1, 556.9, 973.1", \ + " 200.0, 217.1, 263.2, 369.2, 561.1, 974.6", \ + " 216.2, 232.7, 277.5, 381.3, 570.6, 980.8", \ + " 241.9, 258.9, 303.4, 403.9, 589.4, 994.6", \ + " 277.5, 294.9, 341.3, 444.7, 625.4, 1023.0", \ + " 333.1, 351.1, 398.8, 504.9, 690.2, 1078.3" ); }} +timing() { /* ring osc delay xor3v0x05, path b to z 275.8 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 195.0 ; */ +/* intrinsic_fall : 169.3 ; */ +/* rise_resistance : 6.29 ; */ +/* fall_resistance : 4.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 171.4, 183.4, 215.1, 286.0, 411.4, 676.6", \ + " 178.4, 190.5, 222.3, 293.2, 418.6, 683.8", \ + " 183.7, 195.8, 227.6, 298.6, 424.0, 689.3", \ + " 190.4, 202.5, 234.4, 305.4, 430.9, 696.2", \ + " 199.9, 212.0, 244.0, 315.2, 440.8, 706.1", \ + " 209.7, 221.8, 253.8, 325.1, 450.8, 716.2", \ + " 220.1, 232.2, 264.2, 335.4, 461.1, 726.6", \ + " 231.4, 243.4, 275.1, 345.7, 471.3, 736.9", \ + " 241.7, 253.8, 285.7, 356.7, 481.3, 746.5", \ + " 249.7, 261.9, 294.1, 365.6, 490.9, 754.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 330.3, 361.3, 444.1, 631.2, 964.6, 1673.9", \ + " 330.2, 361.1, 444.0, 631.1, 964.5, 1673.8", \ + " 330.1, 361.1, 443.9, 631.0, 964.5, 1673.8", \ + " 329.9, 360.9, 443.8, 630.9, 964.4, 1673.7", \ + " 329.7, 360.6, 443.5, 630.6, 964.2, 1673.6", \ + " 329.2, 360.2, 443.0, 630.3, 963.8, 1673.3", \ + " 328.9, 359.7, 442.2, 629.1, 962.7, 1672.8", \ + " 329.2, 360.0, 442.3, 628.7, 961.8, 1671.3", \ + " 332.0, 361.5, 441.9, 629.1, 961.7, 1670.4", \ + " 342.0, 371.2, 450.1, 630.6, 962.6, 1670.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 148.8, 156.7, 177.4, 223.1, 302.9, 470.6", \ + " 157.6, 165.5, 186.2, 231.8, 311.6, 479.2", \ + " 164.3, 172.2, 192.9, 238.5, 318.3, 485.8", \ + " 173.0, 180.9, 201.6, 247.2, 326.9, 494.4", \ + " 186.9, 194.8, 215.5, 261.1, 340.8, 508.2", \ + " 203.9, 211.8, 232.5, 278.2, 357.8, 525.1", \ + " 225.6, 233.4, 254.1, 299.6, 379.3, 546.5", \ + " 254.1, 262.0, 282.5, 327.2, 406.1, 573.2", \ + " 289.1, 297.2, 318.3, 364.0, 442.5, 607.9", \ + " 334.0, 342.3, 363.9, 410.5, 490.2, 655.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 245.8, 265.1, 316.5, 432.6, 639.9, 1082.7", \ + " 245.8, 265.1, 316.6, 432.8, 640.2, 1083.0", \ + " 245.8, 265.1, 316.6, 432.8, 640.2, 1083.1", \ + " 245.8, 265.1, 316.6, 432.8, 640.3, 1083.1", \ + " 246.1, 265.4, 316.8, 433.0, 640.4, 1083.3", \ + " 245.1, 264.3, 315.7, 432.0, 640.3, 1083.5", \ + " 245.7, 264.7, 315.7, 431.2, 638.5, 1082.3", \ + " 247.4, 266.3, 316.8, 431.7, 638.0, 1080.3", \ + " 253.2, 271.5, 320.6, 433.6, 638.8, 1079.6", \ + " 264.0, 281.8, 329.7, 440.0, 641.8, 1080.5" ); }} +timing() { /* ring osc delay xor3v0x05, path b to z 279.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 195.0 ; */ +/* intrinsic_fall : 169.3 ; */ +/* rise_resistance : 6.29 ; */ +/* fall_resistance : 4.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 184.0, 195.5, 226.5, 296.6, 421.8, 687.7", \ + " 193.7, 205.4, 236.5, 306.8, 432.0, 697.9", \ + " 199.9, 211.6, 242.9, 313.3, 438.6, 704.6", \ + " 206.9, 218.7, 250.2, 320.8, 446.2, 712.3", \ + " 216.2, 228.1, 259.8, 330.7, 456.3, 722.5", \ + " 225.2, 237.3, 269.2, 340.5, 466.3, 732.6", \ + " 234.3, 246.5, 278.7, 350.3, 476.5, 743.0", \ + " 246.0, 257.9, 289.3, 359.7, 486.4, 753.1", \ + " 256.1, 268.3, 300.4, 371.3, 495.5, 762.6", \ + " 262.7, 275.2, 307.9, 380.3, 506.3, 770.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 373.6, 404.4, 486.9, 673.4, 1006.4, 1715.3", \ + " 373.8, 404.7, 487.2, 673.9, 1006.9, 1715.7", \ + " 374.1, 404.9, 487.5, 674.2, 1007.2, 1716.0", \ + " 374.4, 405.3, 487.9, 674.6, 1007.6, 1716.3", \ + " 375.2, 406.0, 488.5, 675.1, 1008.0, 1716.8", \ + " 373.8, 404.8, 487.7, 675.2, 1008.4, 1717.1", \ + " 371.9, 402.8, 485.4, 672.3, 1006.3, 1717.4", \ + " 370.9, 401.7, 484.2, 670.6, 1003.8, 1714.0", \ + " 371.7, 402.0, 484.0, 669.9, 1002.5, 1711.7", \ + " 377.6, 407.4, 487.7, 671.0, 1002.5, 1710.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.4, 155.3, 176.2, 222.4, 303.7, 475.0", \ + " 155.1, 163.0, 183.9, 230.2, 311.4, 482.8", \ + " 161.2, 169.2, 190.1, 236.4, 317.6, 489.0", \ + " 169.3, 177.3, 198.2, 244.5, 325.8, 497.2", \ + " 182.3, 190.3, 211.2, 257.6, 339.0, 510.4", \ + " 197.9, 206.0, 227.0, 273.4, 354.8, 526.2", \ + " 217.6, 225.5, 246.7, 293.2, 374.6, 546.1", \ + " 242.9, 250.9, 271.8, 318.1, 399.5, 571.0", \ + " 275.5, 283.6, 304.7, 351.1, 432.1, 603.4", \ + " 318.4, 326.6, 348.0, 394.7, 476.0, 646.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 215.9, 235.7, 288.9, 409.2, 624.0, 1082.0", \ + " 215.8, 235.7, 288.8, 409.1, 624.0, 1082.0", \ + " 215.8, 235.7, 288.8, 409.1, 624.0, 1082.0", \ + " 215.9, 235.7, 288.9, 409.1, 624.0, 1081.9", \ + " 216.2, 236.0, 289.1, 409.2, 624.0, 1081.9", \ + " 217.3, 237.0, 289.8, 409.8, 624.2, 1081.9", \ + " 219.0, 238.5, 291.0, 410.3, 624.4, 1081.9", \ + " 221.2, 240.8, 293.1, 411.7, 625.0, 1081.7", \ + " 227.5, 246.1, 296.7, 414.1, 626.5, 1082.1", \ + " 238.2, 256.5, 306.0, 420.3, 629.4, 1083.7" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 195.0 ; */ +/* intrinsic_fall : 169.3 ; */ +/* rise_resistance : 6.29 ; */ +/* fall_resistance : 4.06 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 171.4, 183.4, 215.1, 286.0, 411.4, 676.6", \ + " 178.4, 190.5, 222.3, 293.2, 418.6, 683.8", \ + " 183.7, 195.8, 227.6, 298.6, 424.0, 689.3", \ + " 190.4, 202.5, 234.4, 305.4, 430.9, 696.2", \ + " 199.9, 212.0, 244.0, 315.2, 440.8, 706.1", \ + " 209.7, 221.8, 253.8, 325.1, 450.8, 716.2", \ + " 220.1, 232.2, 264.2, 335.4, 461.1, 726.6", \ + " 231.4, 243.4, 275.1, 345.7, 471.3, 736.9", \ + " 241.7, 253.8, 285.7, 356.7, 481.3, 746.5", \ + " 249.7, 261.9, 294.1, 365.6, 490.9, 754.5" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 330.3, 361.3, 444.1, 631.2, 964.6, 1673.9", \ + " 330.2, 361.1, 444.0, 631.1, 964.5, 1673.8", \ + " 330.1, 361.1, 443.9, 631.0, 964.5, 1673.8", \ + " 329.9, 360.9, 443.8, 630.9, 964.4, 1673.7", \ + " 329.7, 360.6, 443.5, 630.6, 964.2, 1673.6", \ + " 329.2, 360.2, 443.0, 630.3, 963.8, 1673.3", \ + " 328.9, 359.7, 442.2, 629.1, 962.7, 1672.8", \ + " 329.2, 360.0, 442.3, 628.7, 961.8, 1671.3", \ + " 332.0, 361.5, 441.9, 629.1, 961.7, 1670.4", \ + " 342.0, 371.2, 450.1, 630.6, 962.6, 1670.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 148.8, 156.7, 177.4, 223.1, 302.9, 470.6", \ + " 157.6, 165.5, 186.2, 231.8, 311.6, 479.2", \ + " 164.3, 172.2, 192.9, 238.5, 318.3, 485.8", \ + " 173.0, 180.9, 201.6, 247.2, 326.9, 494.4", \ + " 186.9, 194.8, 215.5, 261.1, 340.8, 508.2", \ + " 203.9, 211.8, 232.5, 278.2, 357.8, 525.1", \ + " 225.6, 233.4, 254.1, 299.6, 379.3, 546.5", \ + " 254.1, 262.0, 282.5, 327.2, 406.1, 573.2", \ + " 289.1, 297.2, 318.3, 364.0, 442.5, 607.9", \ + " 334.0, 342.3, 363.9, 410.5, 490.2, 655.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 245.8, 265.1, 316.5, 432.6, 639.9, 1082.7", \ + " 245.8, 265.1, 316.6, 432.8, 640.2, 1083.0", \ + " 245.8, 265.1, 316.6, 432.8, 640.2, 1083.1", \ + " 245.8, 265.1, 316.6, 432.8, 640.3, 1083.1", \ + " 246.1, 265.4, 316.8, 433.0, 640.4, 1083.3", \ + " 245.1, 264.3, 315.7, 432.0, 640.3, 1083.5", \ + " 245.7, 264.7, 315.7, 431.2, 638.5, 1082.3", \ + " 247.4, 266.3, 316.8, 431.7, 638.0, 1080.3", \ + " 253.2, 271.5, 320.6, 433.6, 638.8, 1079.6", \ + " 264.0, 281.8, 329.7, 440.0, 641.8, 1080.5" ); }} +timing() { /* ring osc delay xor3v0x05, path c to z 166.4 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.1 ; */ +/* intrinsic_fall : 68.8 ; */ +/* rise_resistance : 4.83 ; */ +/* fall_resistance : 3.59 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 70.1, 82.1, 114.9, 187.6, 314.3, 580.6", \ + " 74.2, 85.9, 118.6, 191.8, 319.3, 586.4", \ + " 78.8, 90.1, 122.3, 195.4, 323.1, 590.5", \ + " 85.9, 96.6, 128.0, 200.8, 328.4, 596.0", \ + " 100.2, 109.9, 139.6, 211.2, 338.4, 605.8", \ + " 114.0, 126.2, 158.5, 227.8, 353.8, 620.6", \ + " 134.3, 147.2, 182.6, 255.1, 378.9, 644.1", \ + " 161.5, 175.6, 214.1, 294.4, 418.6, 680.7", \ + " 198.9, 214.6, 257.1, 345.2, 480.9, 739.1", \ + " 250.4, 268.5, 316.4, 414.1, 563.2, 833.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 281.3, 315.2, 404.5, 602.8, 950.5, 1671.7", \ + " 275.5, 309.6, 399.6, 599.1, 948.1, 1670.7", \ + " 276.0, 309.1, 397.5, 596.2, 946.0, 1670.1", \ + " 279.9, 312.3, 399.1, 595.1, 943.1, 1669.3", \ + " 290.5, 321.8, 406.2, 598.9, 942.5, 1667.4", \ + " 310.1, 340.1, 421.5, 609.6, 948.8, 1665.3", \ + " 344.1, 372.7, 450.5, 632.2, 964.9, 1673.5", \ + " 400.6, 426.4, 499.6, 673.5, 997.3, 1696.1", \ + " 468.2, 503.9, 581.4, 744.8, 1057.5, 1741.8", \ + " 551.1, 587.0, 679.7, 863.6, 1161.3, 1827.1" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 52.3, 58.6, 75.0, 109.8, 166.6, 282.8", \ + " 58.0, 64.3, 80.8, 116.1, 173.3, 289.7", \ + " 62.7, 68.9, 85.3, 120.7, 178.0, 294.5", \ + " 69.6, 75.3, 91.4, 126.5, 183.8, 300.4", \ + " 77.1, 84.4, 102.5, 136.4, 193.2, 309.6", \ + " 84.7, 92.5, 112.3, 151.2, 206.3, 321.8", \ + " 92.9, 101.6, 123.3, 165.9, 227.1, 340.1", \ + " 100.2, 110.3, 134.5, 181.7, 249.3, 368.2", \ + " 105.4, 117.2, 144.6, 197.8, 272.7, 406.1", \ + " 105.4, 120.1, 151.8, 212.1, 296.3, 444.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 162.7, 179.7, 226.9, 330.3, 512.0, 895.4", \ + " 160.9, 177.6, 225.0, 328.7, 510.6, 894.2", \ + " 163.1, 179.3, 225.7, 328.2, 509.7, 893.5", \ + " 168.3, 184.1, 229.5, 330.5, 510.2, 892.5", \ + " 181.0, 196.0, 239.7, 337.9, 514.8, 893.9", \ + " 203.6, 217.3, 258.6, 353.3, 525.9, 900.6", \ + " 243.2, 257.1, 292.8, 382.0, 549.0, 916.6", \ + " 282.6, 298.8, 344.1, 431.2, 590.2, 948.4", \ + " 345.8, 362.4, 408.1, 504.3, 660.7, 1006.8", \ + " 442.3, 460.1, 507.0, 605.3, 768.0, 1106.9" ); }} +timing() { /* ring osc delay xor3v0x05, path c to z 158.0 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 82.1 ; */ +/* intrinsic_fall : 68.8 ; */ +/* rise_resistance : 4.83 ; */ +/* fall_resistance : 3.59 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 65.7, 72.5, 89.9, 126.9, 190.4, 323.2", \ + " 71.6, 78.4, 96.0, 133.4, 197.3, 330.3", \ + " 77.0, 83.8, 101.3, 138.7, 202.6, 335.8", \ + " 84.7, 91.2, 108.4, 145.6, 209.5, 342.7", \ + " 98.8, 104.8, 120.8, 157.2, 220.7, 353.8", \ + " 112.6, 119.7, 137.8, 173.6, 235.9, 368.3", \ + " 132.3, 139.6, 157.8, 196.5, 259.0, 389.4", \ + " 158.3, 165.9, 184.8, 224.7, 291.1, 420.7", \ + " 193.7, 201.7, 221.2, 262.5, 332.0, 468.6", \ + " 242.0, 250.6, 271.1, 314.1, 386.8, 530.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 268.9, 293.9, 360.3, 509.6, 775.7, 1341.5", \ + " 266.6, 291.8, 358.6, 508.3, 774.6, 1340.6", \ + " 268.0, 292.6, 358.2, 507.4, 774.0, 1340.2", \ + " 273.0, 296.9, 361.2, 508.3, 773.0, 1339.6", \ + " 284.1, 306.9, 368.9, 512.9, 775.0, 1338.6", \ + " 303.9, 325.2, 383.5, 522.5, 780.6, 1341.0", \ + " 338.4, 357.9, 411.7, 542.5, 793.3, 1348.1", \ + " 395.7, 412.4, 460.4, 580.3, 819.4, 1363.6", \ + " 461.6, 485.3, 544.1, 647.9, 870.3, 1396.8", \ + " 543.4, 566.5, 626.5, 757.6, 962.0, 1463.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 54.6, 62.9, 84.6, 132.2, 214.4, 386.5", \ + " 60.2, 68.4, 90.4, 138.4, 221.2, 393.7", \ + " 64.7, 72.8, 94.6, 142.6, 225.5, 398.3", \ + " 71.2, 78.9, 100.3, 148.2, 231.2, 404.0", \ + " 78.6, 88.2, 110.8, 158.2, 241.0, 413.9", \ + " 85.5, 95.9, 122.4, 172.8, 255.3, 428.1", \ + " 92.9, 104.5, 133.8, 192.3, 277.4, 449.7", \ + " 99.0, 112.4, 145.8, 211.6, 308.9, 482.1", \ + " 102.3, 118.1, 156.5, 231.9, 342.7, 531.7", \ + " 99.9, 119.0, 163.6, 251.0, 378.3, 596.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 171.7, 193.5, 251.1, 379.7, 606.7, 1080.5", \ + " 169.7, 191.3, 249.1, 378.3, 605.8, 1079.9", \ + " 171.7, 192.9, 249.6, 377.3, 604.5, 1079.3", \ + " 176.6, 197.4, 253.1, 379.2, 604.3, 1078.3", \ + " 188.6, 208.7, 262.9, 386.7, 609.1, 1077.5", \ + " 209.8, 229.0, 281.4, 402.3, 621.2, 1084.4", \ + " 248.9, 266.3, 314.3, 431.4, 645.8, 1103.0", \ + " 284.4, 307.8, 367.6, 479.9, 689.3, 1139.7", \ + " 344.4, 368.1, 429.4, 558.3, 761.8, 1205.3", \ + " 437.6, 462.6, 526.2, 659.2, 879.7, 1315.2" ); }} +timing() { /* ring osc delay xor3v0x05, path c to z 196.2 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 123.0 ; */ +/* intrinsic_fall : 128.2 ; */ +/* rise_resistance : 4.77 ; */ +/* fall_resistance : 3.43 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.2, 80.7, 96.1, 133.7, 204.8, 359.7", \ + " 81.8, 87.3, 102.7, 140.3, 211.0, 365.6", \ + " 85.9, 91.4, 106.8, 144.4, 215.0, 369.3", \ + " 90.5, 96.0, 111.6, 149.1, 219.7, 373.8", \ + " 97.0, 102.3, 118.4, 156.4, 226.9, 380.7", \ + " 110.1, 115.4, 129.8, 165.4, 236.2, 389.5", \ + " 124.7, 130.8, 146.9, 182.6, 249.0, 401.8", \ + " 137.0, 144.2, 163.4, 205.0, 272.4, 419.4", \ + " 145.9, 153.9, 175.2, 223.3, 303.4, 449.6", \ + " 150.4, 158.9, 181.6, 233.2, 324.8, 497.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 308.7, 334.1, 402.9, 561.3, 820.7, 1425.7", \ + " 310.1, 334.4, 400.1, 551.6, 821.0, 1425.5", \ + " 306.9, 330.5, 395.0, 544.7, 821.5, 1425.2", \ + " 299.6, 323.5, 388.5, 539.4, 818.4, 1425.0", \ + " 291.1, 315.8, 382.7, 536.4, 816.7, 1422.4", \ + " 288.1, 313.6, 382.1, 537.5, 818.1, 1422.1", \ + " 291.4, 317.9, 388.0, 544.9, 825.1, 1426.4", \ + " 296.5, 321.8, 397.2, 561.2, 842.2, 1439.5", \ + " 316.0, 341.9, 411.9, 576.3, 874.0, 1469.9", \ + " 340.3, 366.5, 437.6, 601.0, 900.4, 1528.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 129.5, 137.1, 157.0, 201.4, 279.8, 446.1", \ + " 137.6, 145.2, 165.2, 209.6, 288.0, 454.2", \ + " 144.1, 151.7, 171.7, 216.2, 294.6, 460.8", \ + " 152.5, 160.1, 180.1, 224.7, 303.2, 469.4", \ + " 165.5, 173.2, 193.4, 238.1, 316.6, 482.8", \ + " 181.4, 189.2, 209.4, 254.2, 332.8, 498.9", \ + " 201.1, 208.9, 229.2, 274.8, 353.4, 519.4", \ + " 226.4, 234.6, 255.8, 301.1, 379.4, 545.4", \ + " 259.0, 267.4, 289.0, 335.5, 415.1, 579.7", \ + " 302.9, 311.5, 333.6, 380.7, 461.4, 628.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 228.8, 248.3, 300.3, 417.6, 627.0, 1073.0", \ + " 228.8, 248.3, 300.3, 417.6, 627.0, 1073.0", \ + " 229.0, 248.5, 300.4, 417.6, 627.0, 1073.0", \ + " 230.0, 249.2, 300.8, 417.7, 627.0, 1072.9", \ + " 228.2, 247.8, 300.2, 418.4, 627.0, 1072.7", \ + " 224.3, 243.8, 295.8, 413.6, 624.5, 1072.5", \ + " 222.6, 241.7, 293.1, 410.0, 619.7, 1067.8", \ + " 219.5, 241.4, 293.2, 408.2, 616.5, 1062.8", \ + " 217.3, 236.6, 288.8, 409.2, 615.6, 1059.6", \ + " 221.1, 239.9, 290.6, 406.5, 616.8, 1059.0" ); }} +timing() { /* ring osc delay xor3v0x05, path c to z 210.1 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 123.0 ; */ +/* intrinsic_fall : 128.2 ; */ +/* rise_resistance : 4.77 ; */ +/* fall_resistance : 3.43 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.3, 158.3, 187.9, 255.7, 378.1, 640.9", \ + " 154.8, 165.9, 195.6, 263.5, 385.9, 648.5", \ + " 159.3, 170.5, 200.5, 268.7, 391.2, 653.8", \ + " 163.9, 175.3, 205.6, 274.2, 397.0, 659.7", \ + " 169.6, 181.2, 212.0, 281.1, 404.2, 667.0", \ + " 174.9, 186.7, 217.9, 287.5, 411.1, 674.1", \ + " 183.6, 194.5, 223.0, 293.6, 417.7, 680.9", \ + " 191.9, 203.7, 234.4, 300.9, 423.4, 687.0", \ + " 195.3, 207.6, 240.0, 310.6, 430.8, 691.6", \ + " 195.1, 207.4, 240.1, 312.5, 438.1, 695.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 352.8, 384.2, 468.1, 657.3, 993.3, 1704.9", \ + " 352.8, 384.3, 468.2, 657.4, 993.3, 1704.9", \ + " 352.7, 384.2, 468.2, 657.4, 993.3, 1704.9", \ + " 352.8, 384.1, 468.0, 657.2, 993.2, 1704.8", \ + " 347.7, 379.5, 464.4, 656.0, 993.0, 1704.7", \ + " 341.7, 373.4, 458.1, 648.9, 988.2, 1704.6", \ + " 336.7, 368.3, 452.7, 643.0, 981.1, 1698.4", \ + " 334.1, 365.3, 448.4, 638.3, 975.7, 1691.2", \ + " 327.8, 358.9, 442.8, 635.1, 971.6, 1685.8", \ + " 331.5, 361.8, 443.6, 631.2, 970.1, 1682.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 86.6, 91.8, 105.8, 138.1, 197.4, 326.5", \ + " 94.4, 99.7, 113.8, 146.2, 205.5, 334.6", \ + " 100.5, 105.8, 120.0, 152.3, 211.5, 340.5", \ + " 108.3, 113.6, 127.8, 160.2, 219.3, 348.1", \ + " 120.5, 126.0, 140.4, 172.9, 232.0, 360.4", \ + " 136.6, 141.8, 155.9, 189.0, 248.1, 376.3", \ + " 159.2, 164.9, 179.6, 211.3, 269.8, 397.8", \ + " 186.0, 192.1, 207.9, 241.9, 300.2, 426.8", \ + " 219.6, 226.2, 242.9, 278.8, 340.6, 468.0", \ + " 263.3, 270.3, 288.0, 325.6, 389.9, 524.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 226.0, 243.4, 167.2, 399.2, 561.1, 973.3", \ + " 226.8, 243.1, 287.4, 389.2, 560.7, 973.2", \ + " 225.8, 241.3, 283.0, 379.2, 560.9, 973.2", \ + " 220.4, 234.3, 273.1, 370.8, 560.6, 973.3", \ + " 203.7, 219.5, 263.1, 366.3, 557.2, 972.9", \ + " 198.5, 215.5, 261.1, 365.9, 556.7, 970.5", \ + " 198.6, 216.3, 262.8, 368.6, 559.5, 971.2", \ + " 203.0, 219.7, 264.8, 374.4, 566.0, 976.8", \ + " 217.4, 234.1, 279.0, 382.3, 576.8, 989.0", \ + " 238.7, 255.5, 300.4, 403.3, 591.1, 1007.8" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 123.0 ; */ +/* intrinsic_fall : 128.2 ; */ +/* rise_resistance : 4.77 ; */ +/* fall_resistance : 3.43 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 75.2, 80.7, 96.1, 133.7, 204.8, 359.7", \ + " 81.8, 87.3, 102.7, 140.3, 211.0, 365.6", \ + " 85.9, 91.4, 106.8, 144.4, 215.0, 369.3", \ + " 90.5, 96.0, 111.6, 149.1, 219.7, 373.8", \ + " 97.0, 102.3, 118.4, 156.4, 226.9, 380.7", \ + " 110.1, 115.4, 129.8, 165.4, 236.2, 389.5", \ + " 124.7, 130.8, 146.9, 182.6, 249.0, 401.8", \ + " 137.0, 144.2, 163.4, 205.0, 272.4, 419.4", \ + " 145.9, 153.9, 175.2, 223.3, 303.4, 449.6", \ + " 150.4, 158.9, 181.6, 233.2, 324.8, 497.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 308.7, 334.1, 402.9, 561.3, 820.7, 1425.7", \ + " 310.1, 334.4, 400.1, 551.6, 821.0, 1425.5", \ + " 306.9, 330.5, 395.0, 544.7, 821.5, 1425.2", \ + " 299.6, 323.5, 388.5, 539.4, 818.4, 1425.0", \ + " 291.1, 315.8, 382.7, 536.4, 816.7, 1422.4", \ + " 288.1, 313.6, 382.1, 537.5, 818.1, 1422.1", \ + " 291.4, 317.9, 388.0, 544.9, 825.1, 1426.4", \ + " 296.5, 321.8, 397.2, 561.2, 842.2, 1439.5", \ + " 316.0, 341.9, 411.9, 576.3, 874.0, 1469.9", \ + " 340.3, 366.5, 437.6, 601.0, 900.4, 1528.3" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 129.5, 137.1, 157.0, 201.4, 279.8, 446.1", \ + " 137.6, 145.2, 165.2, 209.6, 288.0, 454.2", \ + " 144.1, 151.7, 171.7, 216.2, 294.6, 460.8", \ + " 152.5, 160.1, 180.1, 224.7, 303.2, 469.4", \ + " 165.5, 173.2, 193.4, 238.1, 316.6, 482.8", \ + " 181.4, 189.2, 209.4, 254.2, 332.8, 498.9", \ + " 201.1, 208.9, 229.2, 274.8, 353.4, 519.4", \ + " 226.4, 234.6, 255.8, 301.1, 379.4, 545.4", \ + " 259.0, 267.4, 289.0, 335.5, 415.1, 579.7", \ + " 302.9, 311.5, 333.6, 380.7, 461.4, 628.3" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 228.8, 248.3, 300.3, 417.6, 627.0, 1073.0", \ + " 228.8, 248.3, 300.3, 417.6, 627.0, 1073.0", \ + " 229.0, 248.5, 300.4, 417.6, 627.0, 1073.0", \ + " 230.0, 249.2, 300.8, 417.7, 627.0, 1072.9", \ + " 228.2, 247.8, 300.2, 418.4, 627.0, 1072.7", \ + " 224.3, 243.8, 295.8, 413.6, 624.5, 1072.5", \ + " 222.6, 241.7, 293.1, 410.0, 619.7, 1067.8", \ + " 219.5, 241.4, 293.2, 408.2, 616.5, 1062.8", \ + " 217.3, 236.6, 288.8, 409.2, 615.6, 1059.6", \ + " 221.1, 239.9, 290.6, 406.5, 616.8, 1059.0" ); }} +} +} +cell(xor3v1x05) { /* 2008-01-06:08h09 characteristic delay 21.5 ps */ +area : 15 ; /* tracks */ +cell_leakage_power : 1363 ; /* xor3v1x05 */ +cell_footprint : xor3 ; +pin(a) { /* xor3v1x05 FO4 effort 3.36 logical effort 2.26 */ +direction : input ; +capacitance : 3.55 ; +rise_capacitance : 3.55 ; +fall_capacitance : 3.55 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor3v1x05 */ +} +pin(b) { /* xor3v1x05 FO4 effort 3.52 logical effort 2.83 */ +direction : input ; +capacitance : 4.44 ; +rise_capacitance : 4.44 ; +fall_capacitance : 4.44 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor3v1x05 */ +} +pin(c) { /* xor3v1x05 FO4 effort 2.07 logical effort 2.46 */ +direction : input ; +capacitance : 3.69 ; +rise_capacitance : 3.69 ; +fall_capacitance : 3.68 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xor3v1x05 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 68 ; +max_fanout : 2 ; +function : "(a^b^c)" ; +internal_power(a_z_n) { /* xor3v1x05 35.59 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 17.67, 17.72, 17.80, 17.86, 17.88", \ + " 17.55, 17.60, 17.68, 17.74, 17.76", \ + " 17.57, 17.62, 17.69, 17.75, 17.77", \ + " 17.67, 17.72, 17.80, 17.85, 17.88", \ + " 17.97, 18.02, 18.10, 18.15, 18.18", \ + " 18.54, 18.59, 18.67, 18.73, 18.76", \ + " 19.57, 19.61, 19.69, 19.75, 19.78", \ + " 21.27, 21.32, 21.39, 21.46, 21.49", \ + " 24.08, 24.12, 24.19, 24.26, 24.29", \ + " 28.62, 28.66, 28.72, 28.79, 28.84" ); }} +internal_power(a_z_p) { /* xor3v1x05 35.58 nW/MHz */ +related_pin : "a" ; +power(pwr_x05_81_5x10) { +values( " 17.67, 17.72, 17.80, 17.86, 17.88", \ + " 17.55, 17.60, 17.68, 17.73, 17.76", \ + " 17.56, 17.62, 17.69, 17.75, 17.77", \ + " 17.66, 17.72, 17.79, 17.85, 17.87", \ + " 17.96, 18.01, 18.09, 18.15, 18.17", \ + " 18.53, 18.58, 18.66, 18.72, 18.74", \ + " 19.55, 19.60, 19.67, 19.73, 19.76", \ + " 21.25, 21.29, 21.36, 21.42, 21.44", \ + " 24.05, 24.08, 24.14, 24.19, 24.22", \ + " 28.58, 28.61, 28.65, 28.70, 28.72" ); }} +internal_power(b_z_n) { /* xor3v1x05 36.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 18.14, 18.20, 18.27, 18.33, 18.35", \ + " 18.02, 18.07, 18.14, 18.20, 18.22", \ + " 18.07, 18.13, 18.20, 18.26, 18.28", \ + " 18.23, 18.29, 18.36, 18.42, 18.44", \ + " 18.64, 18.69, 18.77, 18.83, 18.85", \ + " 19.38, 19.43, 19.51, 19.57, 19.59", \ + " 20.66, 20.71, 20.79, 20.85, 20.88", \ + " 22.75, 22.80, 22.88, 22.94, 22.97", \ + " 26.15, 26.19, 26.27, 26.34, 26.37", \ + " 31.63, 31.66, 31.74, 31.81, 31.85" ); }} +internal_power(b_z_p) { /* xor3v1x05 36.72 nW/MHz */ +related_pin : "b" ; +power(pwr_x05_81_5x10) { +values( " 18.14, 18.20, 18.27, 18.33, 18.35", \ + " 18.01, 18.07, 18.14, 18.20, 18.22", \ + " 18.07, 18.12, 18.20, 18.26, 18.28", \ + " 18.23, 18.28, 18.36, 18.42, 18.44", \ + " 18.64, 18.69, 18.77, 18.83, 18.85", \ + " 19.38, 19.43, 19.50, 19.56, 19.59", \ + " 20.66, 20.70, 20.78, 20.84, 20.87", \ + " 22.75, 22.79, 22.86, 22.93, 22.96", \ + " 26.14, 26.18, 26.25, 26.31, 26.34", \ + " 31.61, 31.65, 31.70, 31.76, 31.79" ); }} +internal_power(c_z_n) { /* xor3v1x05 12.80 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 6.38, 6.42, 6.45, 6.47, 6.47", \ + " 6.23, 6.27, 6.32, 6.37, 6.38", \ + " 6.25, 6.28, 6.33, 6.38, 6.40", \ + " 6.35, 6.36, 6.40, 6.45, 6.48", \ + " 6.63, 6.62, 6.62, 6.65, 6.68", \ + " 7.16, 7.12, 7.07, 7.05, 7.05", \ + " 8.12, 8.03, 7.89, 7.78, 7.72", \ + " 9.73, 9.58, 9.32, 9.04, 8.86", \ + " 12.38, 12.16, 11.75, 11.23, 10.81", \ + " 16.68, 16.37, 15.77, 14.93, 14.15" ); }} +internal_power(c_z_p) { /* xor3v1x05 15.48 nW/MHz */ +related_pin : "c" ; +power(pwr_x05_81_5x10) { +values( " 7.74, 7.72, 7.67, 7.60, 7.55", \ + " 7.63, 7.62, 7.58, 7.52, 7.46", \ + " 7.68, 7.66, 7.62, 7.56, 7.50", \ + " 7.81, 7.78, 7.74, 7.67, 7.61", \ + " 8.13, 8.10, 8.03, 7.95, 7.87", \ + " 8.71, 8.66, 8.57, 8.45, 8.35", \ + " 9.73, 9.65, 9.50, 9.33, 9.18", \ + " 11.39, 11.27, 11.06, 10.79, 10.55", \ + " 14.10, 13.93, 13.62, 13.21, 12.82", \ + " 18.50, 18.24, 17.78, 17.17, 16.56" ); }} +timing() { /* ring osc delay xor3v1x05, path a to z 175.2 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 158.2 ; */ +/* intrinsic_fall : 155.0 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 130.6, 141.7, 168.7, 225.4, 324.5, 534.8", \ + " 138.5, 149.6, 176.6, 233.3, 332.4, 542.7", \ + " 144.6, 155.7, 182.7, 239.4, 338.5, 548.8", \ + " 152.2, 163.3, 190.3, 247.0, 346.1, 556.4", \ + " 163.6, 174.7, 201.7, 258.5, 357.5, 567.8", \ + " 177.1, 188.2, 215.3, 272.0, 371.1, 581.4", \ + " 194.3, 205.4, 232.5, 289.3, 388.4, 598.7", \ + " 216.3, 227.4, 254.5, 311.3, 410.4, 620.7", \ + " 245.1, 256.3, 283.5, 340.3, 439.4, 649.7", \ + " 283.2, 294.4, 321.7, 378.7, 477.8, 688.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.3, 147.8, 227.4, 413.8, 751.5, 1475.8", \ + " 119.3, 147.8, 227.4, 413.8, 751.5, 1475.8", \ + " 119.3, 147.8, 227.4, 413.8, 751.5, 1475.8", \ + " 119.4, 147.8, 227.5, 413.8, 751.5, 1475.8", \ + " 119.6, 148.0, 227.6, 413.8, 751.6, 1475.8", \ + " 120.0, 148.4, 227.8, 414.0, 751.6, 1475.8", \ + " 120.5, 148.8, 228.2, 414.2, 751.8, 1475.9", \ + " 121.2, 149.5, 228.7, 414.5, 751.9, 1475.9", \ + " 122.4, 150.5, 229.5, 415.1, 752.2, 1476.0", \ + " 124.2, 152.2, 230.9, 416.0, 752.8, 1476.2" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 145.9, 155.9, 179.9, 228.3, 309.0, 475.6", \ + " 152.3, 162.4, 186.3, 234.7, 315.5, 482.1", \ + " 156.8, 166.9, 190.8, 239.2, 319.9, 486.5", \ + " 161.7, 171.8, 195.7, 244.1, 324.9, 491.5", \ + " 168.1, 178.2, 202.1, 250.5, 331.3, 497.9", \ + " 174.4, 184.5, 208.4, 256.9, 337.7, 504.3", \ + " 181.0, 191.1, 215.0, 263.5, 344.3, 510.9", \ + " 187.4, 197.5, 221.5, 269.9, 350.8, 517.4", \ + " 192.5, 202.6, 226.7, 275.3, 356.2, 522.9", \ + " 194.6, 204.8, 229.0, 277.7, 358.8, 525.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.2, 115.4, 172.1, 305.1, 547.0, 1068.2", \ + " 95.1, 115.4, 172.1, 305.1, 547.0, 1068.2", \ + " 95.2, 115.4, 172.1, 305.1, 547.0, 1068.2", \ + " 95.2, 115.4, 172.1, 305.2, 547.0, 1068.2", \ + " 95.2, 115.5, 172.2, 305.2, 547.0, 1068.2", \ + " 95.4, 115.6, 172.3, 305.3, 547.0, 1068.2", \ + " 95.6, 115.7, 172.4, 305.3, 547.1, 1068.2", \ + " 96.1, 116.2, 172.8, 305.6, 547.2, 1068.3", \ + " 96.9, 117.0, 173.5, 306.1, 547.6, 1068.5", \ + " 98.3, 118.3, 174.5, 307.0, 548.3, 1069.0" ); }} +timing() { /* ring osc delay xor3v1x05, path a to z 177.4 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 158.2 ; */ +/* intrinsic_fall : 155.0 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 146.2, 160.4, 197.6, 280.1, 425.6, 733.8", \ + " 154.0, 168.2, 205.4, 287.9, 433.3, 741.5", \ + " 160.1, 174.3, 211.5, 293.9, 439.4, 747.6", \ + " 168.2, 182.4, 219.6, 302.0, 447.5, 755.8", \ + " 181.8, 196.0, 233.1, 315.6, 461.1, 769.3", \ + " 198.9, 213.0, 250.1, 332.6, 478.1, 786.3", \ + " 220.2, 234.2, 271.3, 353.7, 499.2, 807.5", \ + " 246.5, 260.6, 297.5, 379.9, 525.4, 833.7", \ + " 280.6, 294.6, 331.4, 413.8, 559.3, 867.6", \ + " 325.1, 339.1, 375.8, 458.0, 603.5, 911.8" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.6, 132.5, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.6, 450.4, 843.5, 1678.4", \ + " 96.7, 132.5, 229.7, 450.4, 843.5, 1678.4", \ + " 96.8, 132.6, 229.7, 450.4, 843.5, 1678.4", \ + " 97.2, 132.9, 229.8, 450.4, 843.5, 1678.4", \ + " 97.9, 133.4, 230.1, 450.5, 843.5, 1678.4", \ + " 98.9, 134.1, 230.4, 450.6, 843.6, 1678.4", \ + " 100.2, 135.2, 231.0, 450.8, 843.6, 1678.4", \ + " 102.3, 136.8, 231.9, 451.0, 843.7, 1678.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 128.0, 139.5, 167.5, 227.7, 332.9, 555.6", \ + " 135.2, 146.6, 174.7, 234.8, 340.1, 562.7", \ + " 140.6, 152.1, 180.1, 240.3, 345.5, 568.2", \ + " 147.7, 159.1, 187.2, 247.3, 352.6, 575.3", \ + " 158.4, 169.8, 197.9, 258.0, 363.3, 586.0", \ + " 169.8, 181.2, 209.3, 269.5, 374.8, 597.5", \ + " 181.9, 193.3, 221.4, 281.7, 387.0, 609.8", \ + " 194.1, 205.6, 233.7, 294.0, 399.4, 622.1", \ + " 205.8, 217.3, 245.5, 305.9, 411.3, 634.1", \ + " 215.4, 226.9, 255.2, 315.6, 421.1, 643.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.9, 84.2, 150.8, 303.3, 575.8, 1155.8", \ + " 60.2, 84.4, 151.0, 303.3, 575.8, 1155.8", \ + " 60.6, 84.8, 151.2, 303.4, 575.8, 1155.8", \ + " 61.2, 85.2, 151.4, 303.5, 575.9, 1155.8", \ + " 62.2, 86.0, 151.9, 303.7, 575.9, 1155.8", \ + " 63.7, 87.2, 152.6, 304.0, 576.1, 1155.9" ); }} +timing() { /* ring osc delay xor3v1x05, path a to z 202.2 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 155.4 ; */ +/* intrinsic_fall : 158.1 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 174.9, 189.1, 226.3, 308.8, 454.2, 762.5", \ + " 181.3, 195.6, 232.8, 315.3, 460.7, 768.9", \ + " 185.8, 200.0, 237.2, 319.7, 465.2, 773.4", \ + " 190.7, 204.9, 242.2, 324.6, 470.1, 778.3", \ + " 197.1, 211.3, 248.6, 331.0, 476.5, 784.7", \ + " 203.4, 217.7, 254.9, 337.3, 482.8, 791.0", \ + " 210.0, 224.3, 261.5, 344.0, 489.4, 797.6", \ + " 216.4, 230.6, 267.8, 350.3, 495.7, 804.0", \ + " 221.5, 235.7, 272.9, 355.4, 500.8, 809.1", \ + " 223.6, 237.7, 274.9, 357.4, 502.9, 811.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.6, 450.4, 843.5, 1678.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.2, 165.7, 193.7, 253.8, 359.1, 581.7", \ + " 162.2, 173.6, 201.7, 261.8, 367.0, 589.7", \ + " 168.3, 179.7, 207.8, 267.9, 373.1, 595.8", \ + " 175.9, 187.3, 215.4, 275.5, 380.7, 603.4", \ + " 187.3, 198.8, 226.8, 286.9, 392.2, 614.8", \ + " 200.8, 212.2, 240.3, 300.4, 405.6, 628.3", \ + " 218.0, 229.4, 257.5, 317.6, 422.9, 645.5", \ + " 240.0, 251.4, 279.5, 339.6, 444.9, 667.6", \ + " 268.8, 280.2, 308.3, 368.4, 473.7, 696.4", \ + " 306.9, 318.3, 346.4, 406.6, 511.9, 734.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.9, 84.2, 150.9, 303.3, 575.8, 1155.8", \ + " 60.0, 84.4, 150.9, 303.3, 575.8, 1155.8" ); }} +timing() { /* ring osc delay xor3v1x05, path a to z 151.6 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 155.4 ; */ +/* intrinsic_fall : 158.1 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 104.3, 115.5, 142.6, 199.4, 298.5, 508.8", \ + " 111.5, 122.6, 149.7, 206.5, 305.6, 515.9", \ + " 116.9, 128.1, 155.2, 212.0, 311.1, 521.4", \ + " 123.9, 135.1, 162.2, 219.0, 318.1, 528.4", \ + " 134.6, 145.7, 172.8, 229.6, 328.8, 539.1", \ + " 146.1, 157.3, 184.5, 241.4, 340.5, 550.8", \ + " 158.2, 169.6, 197.0, 254.1, 353.2, 563.5", \ + " 170.5, 182.0, 209.8, 267.0, 366.3, 576.6", \ + " 182.4, 194.3, 222.6, 280.3, 379.6, 590.0", \ + " 192.1, 204.5, 233.8, 292.6, 392.4, 602.9" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 120.9, 149.1, 228.5, 414.4, 751.9, 1475.9", \ + " 120.9, 149.2, 228.5, 414.5, 751.9, 1475.9", \ + " 121.0, 149.3, 228.6, 414.5, 751.9, 1475.9", \ + " 121.3, 149.5, 228.7, 414.6, 751.9, 1475.9", \ + " 122.9, 150.8, 229.6, 415.0, 752.1, 1476.0", \ + " 126.0, 153.7, 231.8, 416.4, 752.8, 1476.1", \ + " 130.5, 157.8, 235.1, 418.6, 754.1, 1476.7", \ + " 136.7, 163.5, 239.7, 421.8, 756.0, 1477.5", \ + " 146.0, 172.2, 247.1, 427.1, 759.3, 1479.0", \ + " 159.8, 185.5, 258.8, 436.1, 765.6, 1482.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 117.5, 127.6, 151.7, 200.1, 280.9, 447.4", \ + " 125.2, 135.4, 159.4, 207.9, 288.7, 455.2", \ + " 131.3, 141.5, 165.5, 214.0, 294.8, 461.3", \ + " 139.4, 149.6, 173.7, 222.2, 303.0, 469.6", \ + " 153.1, 163.3, 187.5, 236.1, 316.9, 483.6", \ + " 170.4, 180.8, 205.2, 254.0, 335.1, 501.8", \ + " 192.0, 202.7, 227.7, 277.2, 358.7, 525.8", \ + " 218.6, 229.8, 255.6, 305.9, 388.2, 555.9", \ + " 253.0, 264.7, 291.6, 343.1, 426.3, 594.9", \ + " 297.7, 310.4, 338.9, 392.3, 477.1, 646.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 98.0, 117.9, 173.9, 306.1, 547.3, 1068.0", \ + " 98.1, 118.0, 174.0, 306.2, 547.3, 1068.0", \ + " 98.3, 118.2, 174.1, 306.2, 547.3, 1068.0", \ + " 98.8, 118.6, 174.4, 306.4, 547.4, 1068.0", \ + " 100.5, 120.1, 175.5, 307.1, 547.8, 1068.2", \ + " 104.6, 123.9, 178.6, 309.1, 548.9, 1068.6", \ + " 110.6, 129.7, 183.7, 313.1, 551.7, 1069.9", \ + " 118.1, 137.0, 190.4, 318.5, 555.6, 1072.2", \ + " 128.4, 147.2, 199.8, 326.3, 561.3, 1075.5", \ + " 143.1, 161.8, 213.8, 338.2, 570.7, 1081.2" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 155.4 ; */ +/* intrinsic_fall : 158.1 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 174.9, 189.1, 226.3, 308.8, 454.2, 762.5", \ + " 181.3, 195.6, 232.8, 315.3, 460.7, 768.9", \ + " 185.8, 200.0, 237.2, 319.7, 465.2, 773.4", \ + " 190.7, 204.9, 242.2, 324.6, 470.1, 778.3", \ + " 197.1, 211.3, 248.6, 331.0, 476.5, 784.7", \ + " 203.4, 217.7, 254.9, 337.3, 482.8, 791.0", \ + " 210.0, 224.3, 261.5, 344.0, 489.4, 797.6", \ + " 216.4, 230.6, 267.8, 350.3, 495.7, 804.0", \ + " 221.5, 235.7, 272.9, 355.4, 500.8, 809.1", \ + " 223.6, 237.7, 274.9, 357.4, 502.9, 811.1" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.6, 450.4, 843.5, 1678.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.2, 165.7, 193.7, 253.8, 359.1, 581.7", \ + " 162.2, 173.6, 201.7, 261.8, 367.0, 589.7", \ + " 168.3, 179.7, 207.8, 267.9, 373.1, 595.8", \ + " 175.9, 187.3, 215.4, 275.5, 380.7, 603.4", \ + " 187.3, 198.8, 226.8, 286.9, 392.2, 614.8", \ + " 200.8, 212.2, 240.3, 300.4, 405.6, 628.3", \ + " 218.0, 229.4, 257.5, 317.6, 422.9, 645.5", \ + " 240.0, 251.4, 279.5, 339.6, 444.9, 667.6", \ + " 268.8, 280.2, 308.3, 368.4, 473.7, 696.4", \ + " 306.9, 318.3, 346.4, 406.6, 511.9, 734.6" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.9, 84.2, 150.9, 303.3, 575.8, 1155.8", \ + " 60.0, 84.4, 150.9, 303.3, 575.8, 1155.8" ); }} +timing() { /* ring osc delay xor3v1x05, path b to z 163.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 169.9 ; */ +/* intrinsic_fall : 126.8 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 131.2, 142.3, 169.4, 226.1, 325.2, 535.5", \ + " 139.1, 150.2, 177.2, 233.9, 333.0, 543.3", \ + " 145.3, 156.4, 183.4, 240.1, 339.2, 549.5", \ + " 153.2, 164.3, 191.3, 248.0, 347.1, 557.5", \ + " 165.5, 176.6, 203.6, 260.4, 359.5, 569.8", \ + " 180.0, 191.1, 218.2, 274.9, 374.0, 584.3", \ + " 198.4, 209.5, 236.6, 293.3, 392.4, 602.8", \ + " 221.7, 232.8, 259.9, 316.7, 415.8, 626.1", \ + " 251.9, 263.1, 290.3, 347.2, 446.3, 656.5", \ + " 291.6, 302.9, 330.2, 387.4, 486.8, 697.2" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 119.5, 147.9, 227.5, 413.8, 751.6, 1475.8", \ + " 119.5, 147.9, 227.5, 413.8, 751.6, 1475.8", \ + " 119.5, 148.0, 227.5, 413.8, 751.6, 1475.8", \ + " 119.6, 148.0, 227.6, 413.8, 751.6, 1475.8", \ + " 119.8, 148.2, 227.7, 413.9, 751.6, 1475.8", \ + " 120.2, 148.5, 228.0, 414.1, 751.7, 1475.8", \ + " 120.6, 148.9, 228.2, 414.2, 751.7, 1475.9", \ + " 121.4, 149.6, 228.7, 414.5, 751.8, 1475.8", \ + " 122.7, 150.8, 229.7, 415.1, 752.3, 1476.0", \ + " 124.7, 152.7, 231.5, 416.7, 753.5, 1476.8" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 115.4, 125.5, 149.5, 197.9, 278.7, 445.2", \ + " 122.7, 132.8, 156.8, 205.2, 285.9, 452.5", \ + " 128.2, 138.4, 162.3, 210.8, 291.5, 458.0", \ + " 135.3, 145.4, 169.4, 217.8, 298.5, 465.0", \ + " 146.7, 156.8, 180.7, 229.0, 309.7, 476.2", \ + " 158.2, 168.3, 192.1, 240.4, 321.1, 487.6", \ + " 168.6, 178.6, 202.4, 250.6, 331.2, 497.7", \ + " 177.6, 187.6, 211.5, 259.8, 340.4, 506.8", \ + " 184.8, 194.8, 218.8, 267.3, 348.0, 514.4", \ + " 188.4, 198.6, 222.7, 271.3, 352.2, 518.9" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 97.5, 117.5, 173.6, 305.9, 547.1, 1067.9", \ + " 97.3, 117.3, 173.4, 305.8, 547.1, 1067.9", \ + " 97.0, 117.0, 173.3, 305.7, 547.0, 1067.9", \ + " 96.6, 116.6, 172.9, 305.5, 546.9, 1067.8", \ + " 95.9, 116.0, 172.5, 305.1, 546.7, 1067.7", \ + " 95.5, 115.6, 172.0, 304.8, 546.5, 1067.7", \ + " 95.6, 115.7, 172.0, 304.7, 546.2, 1067.4", \ + " 96.2, 116.2, 172.5, 304.9, 546.4, 1067.4", \ + " 97.2, 117.2, 173.4, 305.7, 546.9, 1067.7", \ + " 98.8, 118.7, 174.7, 306.8, 547.7, 1068.1" ); }} +timing() { /* ring osc delay xor3v1x05, path b to z 172.4 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 169.9 ; */ +/* intrinsic_fall : 126.8 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.1, 183.3, 220.5, 303.0, 448.4, 756.6", \ + " 175.9, 190.1, 227.4, 309.8, 455.3, 763.5", \ + " 182.0, 196.3, 233.5, 315.9, 461.4, 769.6", \ + " 190.4, 204.7, 241.9, 324.3, 469.8, 778.0", \ + " 204.7, 218.9, 256.1, 338.6, 484.0, 792.2", \ + " 223.4, 237.6, 274.8, 357.3, 502.8, 811.0", \ + " 248.2, 262.4, 299.6, 382.0, 527.5, 835.8", \ + " 280.7, 294.9, 332.0, 414.5, 559.9, 868.2", \ + " 325.7, 339.8, 376.9, 459.3, 604.8, 913.1", \ + " 389.2, 403.2, 440.2, 522.7, 668.2, 976.4" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.9, 132.7, 229.8, 450.4, 843.5, 1678.4", \ + " 97.4, 133.0, 229.9, 450.5, 843.5, 1678.4", \ + " 98.0, 133.5, 230.2, 450.6, 843.6, 1678.4", \ + " 99.1, 134.3, 230.6, 450.7, 843.6, 1678.5" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 101.0, 112.5, 140.5, 200.5, 305.7, 528.3", \ + " 107.8, 119.2, 147.2, 207.2, 312.4, 535.1", \ + " 112.5, 124.0, 151.9, 212.0, 317.2, 539.8", \ + " 117.6, 129.0, 157.0, 217.1, 322.3, 544.9", \ + " 123.3, 134.7, 162.7, 222.8, 328.0, 550.7", \ + " 127.6, 139.0, 167.1, 227.2, 332.5, 555.2", \ + " 129.9, 141.3, 169.4, 229.6, 334.9, 557.6", \ + " 128.2, 139.7, 167.8, 228.0, 333.3, 556.1", \ + " 119.7, 131.2, 159.3, 219.6, 325.0, 547.7", \ + " 99.5, 111.0, 139.1, 199.5, 304.9, 527.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.3, 83.8, 150.6, 303.2, 575.8, 1155.8", \ + " 59.3, 83.8, 150.6, 303.2, 575.8, 1155.8", \ + " 59.3, 83.8, 150.6, 303.2, 575.8, 1155.8", \ + " 59.3, 83.8, 150.6, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.7, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.3, 575.8, 1155.8", \ + " 59.9, 84.3, 150.9, 303.3, 575.8, 1155.8", \ + " 60.4, 84.6, 151.1, 303.3, 575.8, 1155.8", \ + " 61.1, 85.1, 151.3, 303.4, 575.8, 1155.8", \ + " 62.2, 85.9, 151.8, 303.6, 575.9, 1155.8" ); }} +timing() { /* ring osc delay xor3v1x05, path b to z 190.2 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 126.9 ; */ +/* intrinsic_fall : 169.5 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.2, 158.4, 195.6, 278.1, 423.5, 731.8", \ + " 151.5, 165.7, 202.9, 285.4, 430.9, 739.1", \ + " 157.1, 171.3, 208.5, 291.0, 436.5, 744.7", \ + " 164.3, 178.5, 215.7, 298.1, 443.6, 751.8", \ + " 175.7, 189.9, 227.1, 309.6, 455.0, 763.3", \ + " 187.4, 201.6, 238.8, 321.3, 466.7, 775.0", \ + " 197.8, 212.0, 249.2, 331.7, 477.1, 785.3", \ + " 206.7, 220.9, 258.1, 340.6, 486.1, 794.3", \ + " 213.8, 228.0, 265.2, 347.7, 493.2, 801.4", \ + " 217.4, 231.6, 268.8, 351.2, 496.7, 805.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.7, 450.4, 843.5, 1678.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.9, 166.4, 194.4, 254.5, 359.8, 582.4", \ + " 162.8, 174.2, 202.3, 262.4, 367.6, 590.3", \ + " 169.0, 180.4, 208.5, 268.6, 373.8, 596.5", \ + " 176.9, 188.4, 216.4, 276.5, 381.8, 604.4", \ + " 189.2, 200.7, 228.7, 288.8, 394.1, 616.7", \ + " 203.7, 215.2, 243.2, 303.3, 408.6, 631.3", \ + " 222.2, 233.6, 261.7, 321.8, 427.0, 649.7", \ + " 245.4, 256.9, 284.9, 345.1, 450.3, 673.0", \ + " 275.7, 287.1, 315.2, 375.3, 480.6, 703.3", \ + " 315.4, 326.8, 354.9, 415.1, 520.4, 743.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.9, 84.2, 150.9, 303.3, 575.8, 1155.8", \ + " 60.1, 84.4, 151.0, 303.3, 575.8, 1155.8" ); }} +timing() { /* ring osc delay xor3v1x05, path b to z 145.5 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 126.9 ; */ +/* intrinsic_fall : 169.5 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.2, 88.2, 115.1, 171.7, 270.9, 481.2", \ + " 84.0, 94.9, 121.8, 178.5, 277.6, 488.0", \ + " 88.7, 99.7, 126.5, 183.3, 282.4, 492.7", \ + " 93.7, 104.7, 131.6, 188.3, 287.4, 497.8", \ + " 99.4, 110.4, 137.4, 194.2, 293.3, 503.7", \ + " 103.7, 114.7, 141.8, 198.8, 297.9, 508.3", \ + " 105.9, 117.0, 144.0, 201.0, 300.3, 510.7", \ + " 104.3, 115.4, 142.4, 199.2, 298.5, 509.0", \ + " 95.9, 107.1, 134.2, 190.8, 289.9, 500.4", \ + " 75.7, 87.3, 114.7, 171.2, 269.8, 480.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 115.2, 144.2, 224.9, 412.4, 750.9, 1475.7", \ + " 115.2, 144.2, 224.9, 412.4, 750.9, 1475.6", \ + " 115.5, 144.4, 225.0, 412.4, 750.9, 1475.6", \ + " 116.5, 145.3, 225.6, 412.7, 751.0, 1475.7", \ + " 118.6, 147.1, 226.9, 413.6, 751.5, 1475.8", \ + " 121.2, 149.5, 228.6, 414.6, 752.2, 1476.2", \ + " 124.6, 152.4, 231.0, 416.0, 753.0, 1476.7", \ + " 129.5, 156.7, 234.0, 417.8, 753.8, 1477.1", \ + " 136.5, 162.9, 238.7, 420.4, 755.0, 1477.4", \ + " 146.1, 171.7, 245.5, 424.7, 756.9, 1477.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 140.1, 150.1, 174.1, 222.5, 303.3, 469.9", \ + " 146.9, 157.0, 181.0, 229.4, 310.2, 476.8", \ + " 153.0, 163.1, 187.1, 235.5, 316.3, 482.9", \ + " 161.4, 171.5, 195.5, 243.9, 324.7, 491.4", \ + " 175.7, 185.7, 209.7, 258.2, 339.0, 505.7", \ + " 194.5, 204.6, 228.7, 277.2, 358.1, 524.8", \ + " 219.5, 229.9, 254.4, 303.3, 384.4, 551.3", \ + " 252.2, 262.9, 287.8, 337.5, 419.3, 586.6", \ + " 297.5, 308.4, 334.0, 384.4, 466.9, 635.0", \ + " 361.3, 372.8, 399.4, 451.0, 534.6, 703.7" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.5, 115.7, 172.4, 305.3, 547.1, 1068.2", \ + " 95.6, 115.8, 172.4, 305.3, 547.1, 1068.2", \ + " 95.6, 115.8, 172.4, 305.3, 547.1, 1068.2", \ + " 95.7, 115.9, 172.5, 305.4, 547.1, 1068.2", \ + " 96.0, 116.1, 172.6, 305.5, 547.2, 1068.3", \ + " 97.4, 117.4, 173.6, 306.1, 547.5, 1068.4", \ + " 100.7, 120.6, 176.5, 308.4, 549.0, 1069.1", \ + " 104.5, 124.4, 180.2, 311.6, 551.7, 1070.9", \ + " 109.7, 129.6, 185.0, 316.0, 555.1, 1073.1", \ + " 117.6, 137.5, 192.7, 322.6, 560.7, 1076.8" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 126.9 ; */ +/* intrinsic_fall : 169.5 ; */ +/* rise_resistance : 6.17 ; */ +/* fall_resistance : 4.76 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.2, 158.4, 195.6, 278.1, 423.5, 731.8", \ + " 151.5, 165.7, 202.9, 285.4, 430.9, 739.1", \ + " 157.1, 171.3, 208.5, 291.0, 436.5, 744.7", \ + " 164.3, 178.5, 215.7, 298.1, 443.6, 751.8", \ + " 175.7, 189.9, 227.1, 309.6, 455.0, 763.3", \ + " 187.4, 201.6, 238.8, 321.3, 466.7, 775.0", \ + " 197.8, 212.0, 249.2, 331.7, 477.1, 785.3", \ + " 206.7, 220.9, 258.1, 340.6, 486.1, 794.3", \ + " 213.8, 228.0, 265.2, 347.7, 493.2, 801.4", \ + " 217.4, 231.6, 268.8, 351.2, 496.7, 805.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.3, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.4, 132.3, 229.6, 450.4, 843.5, 1678.4", \ + " 96.5, 132.4, 229.6, 450.4, 843.5, 1678.4", \ + " 96.6, 132.5, 229.7, 450.4, 843.5, 1678.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 154.9, 166.4, 194.4, 254.5, 359.8, 582.4", \ + " 162.8, 174.2, 202.3, 262.4, 367.6, 590.3", \ + " 169.0, 180.4, 208.5, 268.6, 373.8, 596.5", \ + " 176.9, 188.4, 216.4, 276.5, 381.8, 604.4", \ + " 189.2, 200.7, 228.7, 288.8, 394.1, 616.7", \ + " 203.7, 215.2, 243.2, 303.3, 408.6, 631.3", \ + " 222.2, 233.6, 261.7, 321.8, 427.0, 649.7", \ + " 245.4, 256.9, 284.9, 345.1, 450.3, 673.0", \ + " 275.7, 287.1, 315.2, 375.3, 480.6, 703.3", \ + " 315.4, 326.8, 354.9, 415.1, 520.4, 743.1" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.6, 84.0, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.7, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.8, 84.1, 150.8, 303.2, 575.8, 1155.8", \ + " 59.9, 84.2, 150.9, 303.3, 575.8, 1155.8", \ + " 60.1, 84.4, 151.0, 303.3, 575.8, 1155.8" ); }} +timing() { /* ring osc delay xor3v1x05, path c to z 78.9 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.2 ; */ +/* intrinsic_fall : 34.9 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 3.74 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.7, 81.7, 119.0, 201.1, 346.3, 654.4", \ + " 73.6, 88.6, 126.2, 208.6, 354.0, 662.2", \ + " 79.7, 94.7, 132.2, 214.7, 360.2, 668.5", \ + " 88.1, 103.0, 140.4, 222.9, 368.5, 676.9", \ + " 102.0, 117.1, 154.5, 237.0, 382.6, 691.1", \ + " 118.4, 135.1, 174.0, 256.4, 402.1, 710.6", \ + " 139.8, 158.2, 201.0, 285.2, 430.8, 739.4", \ + " 168.0, 188.4, 235.8, 327.1, 473.3, 781.9", \ + " 206.8, 230.1, 283.2, 383.9, 537.6, 846.3", \ + " 261.1, 288.6, 349.7, 461.9, 630.7, 945.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.6, 138.4, 232.9, 451.4, 843.6, 1678.4", \ + " 105.7, 139.2, 233.2, 451.4, 843.6, 1678.4", \ + " 105.9, 139.6, 233.4, 451.4, 843.6, 1678.4", \ + " 109.0, 141.1, 233.9, 451.6, 843.6, 1678.4", \ + " 115.7, 146.7, 237.2, 452.3, 843.7, 1678.4", \ + " 126.2, 156.0, 244.7, 456.8, 844.4, 1678.4", \ + " 142.1, 172.7, 258.5, 467.4, 850.2, 1678.6", \ + " 160.1, 194.3, 283.1, 487.2, 864.5, 1683.7", \ + " 186.7, 223.5, 319.8, 522.7, 892.9, 1700.9", \ + " 229.1, 268.1, 369.8, 583.9, 944.3, 1738.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 28.2, 45.7, 87.7, 164.1, 326.3", \ + " 29.2, 35.1, 52.1, 94.0, 170.3, 332.6", \ + " 33.0, 40.0, 56.9, 98.3, 174.7, 336.9", \ + " 36.3, 44.5, 63.3, 103.9, 180.1, 342.4", \ + " 39.4, 49.2, 71.5, 113.7, 189.2, 351.3", \ + " 40.7, 52.3, 78.6, 127.0, 201.6, 363.1", \ + " 39.2, 52.9, 83.7, 140.1, 221.0, 379.8", \ + " 33.1, 49.2, 85.2, 150.6, 243.8, 404.8", \ + " 19.4, 38.2, 80.2, 156.5, 264.4, 444.4", \ + " -6.8, 15.2, 64.4, 153.3, 279.0, 487.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.5, 58.1, 127.3, 270.6, 519.7, 1050.8", \ + " 41.7, 62.9, 129.2, 270.6, 519.4, 1050.3", \ + " 49.9, 69.6, 133.5, 272.6, 519.2, 1049.6", \ + " 60.6, 80.1, 141.2, 277.4, 520.9, 1048.6", \ + " 76.5, 98.3, 157.9, 289.0, 527.4, 1048.4", \ + " 96.8, 120.2, 184.1, 310.3, 541.4, 1053.8", \ + " 124.4, 150.0, 220.3, 347.3, 569.3, 1068.7", \ + " 161.1, 189.5, 267.1, 405.5, 619.6, 1100.6", \ + " 211.2, 243.3, 329.4, 484.7, 704.2, 1165.1", \ + " 280.7, 317.5, 413.5, 590.9, 834.5, 1284.0" ); }} +timing() { /* ring osc delay xor3v1x05, path c to z 78.9 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 85.2 ; */ +/* intrinsic_fall : 34.9 ; */ +/* rise_resistance : 7.32 ; */ +/* fall_resistance : 3.74 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 66.7, 81.7, 119.0, 201.1, 346.3, 654.4", \ + " 73.6, 88.6, 126.2, 208.6, 354.0, 662.2", \ + " 79.7, 94.7, 132.2, 214.7, 360.2, 668.5", \ + " 88.1, 103.0, 140.4, 222.9, 368.5, 676.9", \ + " 102.0, 117.1, 154.5, 237.0, 382.6, 691.1", \ + " 118.4, 135.1, 174.0, 256.4, 402.1, 710.6", \ + " 139.8, 158.2, 201.0, 285.2, 430.8, 739.4", \ + " 168.0, 188.4, 235.8, 327.1, 473.3, 781.9", \ + " 206.8, 230.1, 283.2, 383.9, 537.6, 846.3", \ + " 261.1, 288.6, 349.7, 461.9, 630.7, 945.0" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 104.6, 138.4, 232.9, 451.4, 843.6, 1678.4", \ + " 105.7, 139.2, 233.2, 451.4, 843.6, 1678.4", \ + " 105.9, 139.6, 233.4, 451.4, 843.6, 1678.4", \ + " 109.0, 141.1, 233.9, 451.6, 843.6, 1678.4", \ + " 115.7, 146.7, 237.2, 452.3, 843.7, 1678.4", \ + " 126.2, 156.0, 244.7, 456.8, 844.4, 1678.4", \ + " 142.1, 172.7, 258.5, 467.4, 850.2, 1678.6", \ + " 160.1, 194.3, 283.1, 487.2, 864.5, 1683.7", \ + " 186.7, 223.5, 319.8, 522.7, 892.9, 1700.9", \ + " 229.1, 268.1, 369.8, 583.9, 944.3, 1738.4" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 22.0, 28.2, 45.7, 87.7, 164.1, 326.3", \ + " 29.2, 35.1, 52.1, 94.0, 170.3, 332.6", \ + " 33.0, 40.0, 56.9, 98.3, 174.7, 336.9", \ + " 36.3, 44.5, 63.3, 103.9, 180.1, 342.4", \ + " 39.4, 49.2, 71.5, 113.7, 189.2, 351.3", \ + " 40.7, 52.3, 78.6, 127.0, 201.6, 363.1", \ + " 39.2, 52.9, 83.7, 140.1, 221.0, 379.8", \ + " 33.1, 49.2, 85.2, 150.6, 243.8, 404.8", \ + " 19.4, 38.2, 80.2, 156.5, 264.4, 444.4", \ + " -6.8, 15.2, 64.4, 153.3, 279.0, 487.0" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 34.5, 58.1, 127.3, 270.6, 519.7, 1050.8", \ + " 41.7, 62.9, 129.2, 270.6, 519.4, 1050.3", \ + " 49.9, 69.6, 133.5, 272.6, 519.2, 1049.6", \ + " 60.6, 80.1, 141.2, 277.4, 520.9, 1048.6", \ + " 76.5, 98.3, 157.9, 289.0, 527.4, 1048.4", \ + " 96.8, 120.2, 184.1, 310.3, 541.4, 1053.8", \ + " 124.4, 150.0, 220.3, 347.3, 569.3, 1068.7", \ + " 161.1, 189.5, 267.1, 405.5, 619.6, 1100.6", \ + " 211.2, 243.3, 329.4, 484.7, 704.2, 1165.1", \ + " 280.7, 317.5, 413.5, 590.9, 834.5, 1284.0" ); }} +timing() { /* ring osc delay xor3v1x05, path c to z 96.5 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.0 ; */ +/* intrinsic_fall : 90.7 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 55.4, 76.6, 128.8, 225.9, 435.1", \ + " 55.2, 62.8, 84.1, 136.1, 232.9, 441.8", \ + " 61.0, 68.7, 89.8, 141.5, 238.0, 446.6", \ + " 68.8, 76.3, 97.1, 148.3, 244.3, 452.6", \ + " 81.5, 89.2, 109.0, 159.1, 254.3, 461.9", \ + " 93.5, 102.5, 124.8, 173.6, 267.3, 473.6", \ + " 104.4, 114.7, 140.1, 194.4, 285.9, 490.0", \ + " 114.1, 125.4, 153.1, 213.7, 313.4, 513.5", \ + " 122.1, 134.5, 164.1, 228.6, 341.2, 549.8", \ + " 127.1, 140.8, 172.5, 239.7, 359.6, 598.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.2, 148.4, 225.8, 408.7, 746.9, 1474.2", \ + " 119.7, 146.2, 222.7, 407.2, 746.0, 1473.9", \ + " 121.1, 147.3, 222.8, 405.7, 745.0, 1473.5", \ + " 123.7, 149.9, 224.4, 405.0, 743.2, 1472.9", \ + " 128.6, 155.1, 228.9, 407.1, 742.1, 1471.3", \ + " 131.8, 160.9, 237.3, 414.2, 745.8, 1469.6", \ + " 136.6, 165.7, 246.8, 429.6, 757.7, 1475.1", \ + " 143.4, 173.4, 255.6, 449.4, 782.4, 1492.2", \ + " 154.1, 184.9, 268.5, 464.2, 819.4, 1529.0", \ + " 169.9, 201.8, 286.5, 483.4, 845.4, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.8, 81.3, 108.9, 168.3, 273.1, 495.5", \ + " 77.6, 89.1, 116.8, 176.4, 281.2, 503.6", \ + " 83.7, 95.2, 123.1, 182.7, 287.6, 510.0", \ + " 91.6, 103.1, 131.1, 190.8, 295.8, 518.2", \ + " 103.5, 115.3, 143.5, 203.4, 308.4, 530.8", \ + " 117.4, 129.6, 158.3, 218.4, 323.4, 545.9", \ + " 134.8, 147.5, 176.8, 237.3, 342.4, 564.8", \ + " 156.4, 169.8, 199.9, 260.7, 366.1, 588.5", \ + " 184.0, 198.3, 229.9, 291.7, 397.1, 619.7", \ + " 219.6, 235.2, 269.2, 332.9, 439.0, 661.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.8, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 61.9, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 62.1, 86.7, 153.0, 304.3, 576.1, 1155.8", \ + " 63.2, 87.5, 153.3, 304.4, 576.1, 1155.8", \ + " 66.3, 90.1, 154.9, 305.0, 576.2, 1155.8", \ + " 69.9, 93.8, 157.7, 306.5, 576.9, 1155.9", \ + " 75.3, 98.4, 161.5, 308.9, 577.9, 1156.3", \ + " 82.5, 105.5, 166.9, 312.3, 579.6, 1156.9", \ + " 92.4, 115.6, 176.1, 318.2, 582.9, 1158.0", \ + " 106.1, 130.0, 190.2, 329.5, 589.5, 1161.1" ); }} +timing() { /* ring osc delay xor3v1x05, path c to z 96.4 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 63.0 ; */ +/* intrinsic_fall : 90.7 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 55.4, 76.6, 128.8, 225.9, 435.1", \ + " 55.2, 62.8, 84.1, 136.1, 232.9, 441.8", \ + " 61.0, 68.7, 89.8, 141.5, 237.9, 446.6", \ + " 68.8, 76.3, 97.1, 148.3, 244.3, 452.5", \ + " 81.5, 89.2, 109.0, 159.1, 254.3, 461.8", \ + " 93.5, 102.5, 124.8, 173.5, 267.3, 473.6", \ + " 104.4, 114.7, 140.1, 194.4, 285.9, 489.9", \ + " 114.1, 125.4, 153.1, 213.7, 313.4, 513.5", \ + " 122.1, 134.5, 164.1, 228.6, 341.2, 549.8", \ + " 127.1, 140.8, 172.5, 239.7, 359.6, 598.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.2, 148.4, 225.8, 408.7, 746.9, 1474.1", \ + " 119.7, 146.2, 222.7, 407.2, 745.9, 1473.8", \ + " 121.1, 147.3, 222.8, 405.6, 745.0, 1473.5", \ + " 123.7, 149.9, 224.4, 405.0, 743.2, 1472.9", \ + " 128.6, 155.1, 228.9, 407.1, 742.1, 1471.2", \ + " 131.8, 160.9, 237.3, 414.2, 745.8, 1469.6", \ + " 136.6, 165.7, 246.8, 429.6, 757.7, 1475.0", \ + " 143.4, 173.4, 255.6, 449.4, 782.4, 1492.2", \ + " 154.1, 184.9, 268.5, 464.2, 819.4, 1529.0", \ + " 169.9, 201.8, 286.5, 483.4, 845.4, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.8, 81.3, 108.9, 168.3, 273.1, 495.5", \ + " 77.6, 89.1, 116.8, 176.4, 281.2, 503.6", \ + " 83.7, 95.2, 123.1, 182.7, 287.6, 510.0", \ + " 91.6, 103.1, 131.1, 190.8, 295.8, 518.2", \ + " 103.5, 115.3, 143.5, 203.4, 308.4, 530.8", \ + " 117.4, 129.6, 158.3, 218.4, 323.4, 545.9", \ + " 134.8, 147.5, 176.8, 237.3, 342.4, 564.8", \ + " 156.4, 169.8, 199.9, 260.7, 366.1, 588.5", \ + " 184.0, 198.3, 229.9, 291.7, 397.1, 619.7", \ + " 219.6, 235.2, 269.2, 332.9, 439.0, 661.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.8, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 61.9, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 62.1, 86.7, 153.0, 304.3, 576.1, 1155.8", \ + " 63.2, 87.5, 153.3, 304.4, 576.1, 1155.8", \ + " 66.3, 90.1, 154.9, 305.0, 576.2, 1155.8", \ + " 69.9, 93.8, 157.7, 306.5, 576.9, 1155.9", \ + " 75.3, 98.4, 161.5, 308.9, 577.9, 1156.3", \ + " 82.5, 105.5, 166.9, 312.3, 579.6, 1156.9", \ + " 92.4, 115.6, 176.1, 318.2, 582.9, 1158.0", \ + " 106.1, 130.0, 190.2, 329.5, 589.5, 1161.1" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 63.0 ; */ +/* intrinsic_fall : 90.7 ; */ +/* rise_resistance : 4.65 ; */ +/* fall_resistance : 5.30 ; */ +cell_rise(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 47.8, 55.4, 76.6, 128.8, 225.9, 435.1", \ + " 55.2, 62.8, 84.1, 136.1, 232.9, 441.8", \ + " 61.0, 68.7, 89.8, 141.5, 238.0, 446.6", \ + " 68.8, 76.3, 97.1, 148.3, 244.3, 452.6", \ + " 81.5, 89.2, 109.0, 159.1, 254.3, 461.9", \ + " 93.5, 102.5, 124.8, 173.6, 267.3, 473.6", \ + " 104.4, 114.7, 140.1, 194.4, 285.9, 490.0", \ + " 114.1, 125.4, 153.1, 213.7, 313.4, 513.5", \ + " 122.1, 134.5, 164.1, 228.6, 341.2, 549.8", \ + " 127.1, 140.8, 172.5, 239.7, 359.6, 598.3" ); } +rise_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 121.2, 148.4, 225.8, 408.7, 746.9, 1474.2", \ + " 119.7, 146.2, 222.7, 407.2, 746.0, 1473.9", \ + " 121.1, 147.3, 222.8, 405.7, 745.0, 1473.5", \ + " 123.7, 149.9, 224.4, 405.0, 743.2, 1472.9", \ + " 128.6, 155.1, 228.9, 407.1, 742.1, 1471.3", \ + " 131.8, 160.9, 237.3, 414.2, 745.8, 1469.6", \ + " 136.6, 165.7, 246.8, 429.6, 757.7, 1475.1", \ + " 143.4, 173.4, 255.6, 449.4, 782.4, 1492.2", \ + " 154.1, 184.9, 268.5, 464.2, 819.4, 1529.0", \ + " 169.9, 201.8, 286.5, 483.4, 845.4, 1593.7" ); } +cell_fall(x05_81_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 69.8, 81.3, 108.9, 168.3, 273.1, 495.5", \ + " 77.6, 89.1, 116.8, 176.4, 281.2, 503.6", \ + " 83.7, 95.2, 123.1, 182.7, 287.6, 510.0", \ + " 91.6, 103.1, 131.1, 190.8, 295.8, 518.2", \ + " 103.5, 115.3, 143.5, 203.4, 308.4, 530.8", \ + " 117.4, 129.6, 158.3, 218.4, 323.4, 545.9", \ + " 134.8, 147.5, 176.8, 237.3, 342.4, 564.8", \ + " 156.4, 169.8, 199.9, 260.7, 366.1, 588.5", \ + " 184.0, 198.3, 229.9, 291.7, 397.1, 619.7", \ + " 219.6, 235.2, 269.2, 332.9, 439.0, 661.8" ); } +fall_transition(x05_81_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 61.8, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 61.9, 86.5, 152.9, 304.3, 576.1, 1155.8", \ + " 62.1, 86.7, 153.0, 304.3, 576.1, 1155.8", \ + " 63.2, 87.5, 153.3, 304.4, 576.1, 1155.8", \ + " 66.3, 90.1, 154.9, 305.0, 576.2, 1155.8", \ + " 69.9, 93.8, 157.7, 306.5, 576.9, 1155.9", \ + " 75.3, 98.4, 161.5, 308.9, 577.9, 1156.3", \ + " 82.5, 105.5, 166.9, 312.3, 579.6, 1156.9", \ + " 92.4, 115.6, 176.1, 318.2, 582.9, 1158.0", \ + " 106.1, 130.0, 190.2, 329.5, 589.5, 1161.1" ); }} +} +} +cell(xor3v1x1) { /* 2008-01-06:08h10 characteristic delay 17.7 ps */ +area : 16 ; /* tracks */ +cell_leakage_power : 2148 ; /* xor3v1x1 */ +cell_footprint : xor3 ; +pin(a) { /* xor3v1x1 FO4 effort 3.07 logical effort 1.78 */ +direction : input ; +capacitance : 5.00 ; +rise_capacitance : 5.00 ; +fall_capacitance : 5.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor3v1x1 */ +} +pin(b) { /* xor3v1x1 FO4 effort 3.13 logical effort 2.16 */ +direction : input ; +capacitance : 6.07 ; +rise_capacitance : 6.07 ; +fall_capacitance : 6.07 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor3v1x1 */ +} +pin(c) { /* xor3v1x1 FO4 effort 1.93 logical effort 2.29 */ +direction : input ; +capacitance : 6.04 ; +rise_capacitance : 6.04 ; +fall_capacitance : 6.03 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xor3v1x1 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 1 ; +max_capacitance : 120 ; +max_fanout : 4 ; +function : "(a^b^c)" ; +internal_power(a_z_n) { /* xor3v1x1 55.30 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 27.43, 27.51, 27.64, 27.74, 27.79", \ + " 27.25, 27.34, 27.47, 27.57, 27.62", \ + " 27.28, 27.37, 27.50, 27.60, 27.64", \ + " 27.43, 27.52, 27.65, 27.75, 27.80", \ + " 27.89, 27.97, 28.10, 28.21, 28.26", \ + " 28.75, 28.83, 28.96, 29.07, 29.12", \ + " 30.28, 30.35, 30.48, 30.59, 30.65", \ + " 32.81, 32.88, 33.00, 33.12, 33.18", \ + " 36.97, 37.03, 37.15, 37.27, 37.34", \ + " 43.70, 43.75, 43.86, 43.98, 44.05" ); }} +internal_power(a_z_p) { /* xor3v1x1 55.28 nW/MHz */ +related_pin : "a" ; +power(pwr_x1_130_5x10) { +values( " 27.42, 27.51, 27.64, 27.74, 27.78", \ + " 27.25, 27.34, 27.47, 27.57, 27.61", \ + " 27.28, 27.36, 27.49, 27.60, 27.64", \ + " 27.43, 27.51, 27.64, 27.75, 27.79", \ + " 27.88, 27.96, 28.09, 28.19, 28.24", \ + " 28.73, 28.81, 28.93, 29.04, 29.09", \ + " 30.25, 30.32, 30.44, 30.54, 30.60", \ + " 32.77, 32.83, 32.94, 33.04, 33.09", \ + " 36.92, 36.97, 37.06, 37.14, 37.19", \ + " 43.62, 43.65, 43.72, 43.79, 43.83" ); }} +internal_power(b_z_n) { /* xor3v1x1 55.73 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 27.53, 27.62, 27.75, 27.85, 27.89", \ + " 27.34, 27.43, 27.56, 27.66, 27.70", \ + " 27.42, 27.51, 27.64, 27.74, 27.78", \ + " 27.65, 27.74, 27.87, 27.97, 28.01", \ + " 28.22, 28.31, 28.44, 28.54, 28.59", \ + " 29.27, 29.36, 29.49, 29.60, 29.65", \ + " 31.08, 31.16, 31.29, 31.41, 31.46", \ + " 34.04, 34.12, 34.24, 34.36, 34.42", \ + " 38.84, 38.91, 39.03, 39.15, 39.22", \ + " 46.59, 46.65, 46.76, 46.89, 46.97" ); }} +internal_power(b_z_p) { /* xor3v1x1 55.73 nW/MHz */ +related_pin : "b" ; +power(pwr_x1_130_5x10) { +values( " 27.53, 27.62, 27.75, 27.85, 27.89", \ + " 27.34, 27.43, 27.56, 27.66, 27.70", \ + " 27.42, 27.51, 27.64, 27.74, 27.78", \ + " 27.65, 27.73, 27.86, 27.97, 28.01", \ + " 28.22, 28.31, 28.44, 28.54, 28.59", \ + " 29.27, 29.35, 29.48, 29.59, 29.64", \ + " 31.08, 31.15, 31.28, 31.39, 31.44", \ + " 34.03, 34.10, 34.22, 34.32, 34.38", \ + " 38.82, 38.88, 38.99, 39.09, 39.15", \ + " 46.56, 46.61, 46.70, 46.79, 46.85" ); }} +internal_power(c_z_n) { /* xor3v1x1 19.76 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 9.82, 9.88, 9.96, 10.00, 10.01", \ + " 9.56, 9.63, 9.73, 9.82, 9.86", \ + " 9.61, 9.65, 9.75, 9.84, 9.89", \ + " 9.79, 9.82, 9.88, 9.97, 10.02", \ + " 10.31, 10.28, 10.28, 10.32, 10.37", \ + " 11.26, 11.17, 11.06, 11.01, 11.01", \ + " 12.97, 12.80, 12.52, 12.28, 12.16", \ + " 15.81, 15.53, 15.05, 14.50, 14.12", \ + " 20.45, 20.06, 19.30, 18.32, 17.51", \ + " 27.94, 27.40, 26.31, 24.78, 23.32" ); }} +internal_power(c_z_p) { /* xor3v1x1 24.46 nW/MHz */ +related_pin : "c" ; +power(pwr_x1_130_5x10) { +values( " 12.21, 12.17, 12.07, 11.94, 11.84", \ + " 12.02, 12.00, 11.93, 11.81, 11.71", \ + " 12.12, 12.08, 12.01, 11.90, 11.79", \ + " 12.36, 12.31, 12.23, 12.10, 11.98", \ + " 12.94, 12.88, 12.76, 12.60, 12.45", \ + " 13.98, 13.88, 13.71, 13.49, 13.29", \ + " 15.78, 15.63, 15.36, 15.03, 14.73", \ + " 18.70, 18.48, 18.09, 17.58, 17.12", \ + " 23.45, 23.13, 22.56, 21.80, 21.06", \ + " 31.13, 30.66, 29.79, 28.66, 27.54" ); }} +timing() { /* ring osc delay xor3v1x1, path a to z 169.4 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 155.1 ; */ +/* intrinsic_fall : 151.3 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 128.6, 138.8, 163.5, 215.0, 304.4, 493.9", \ + " 136.5, 146.7, 171.4, 222.9, 312.3, 501.8", \ + " 142.6, 152.8, 177.5, 229.0, 318.4, 507.9", \ + " 150.1, 160.2, 185.0, 236.5, 325.9, 515.3", \ + " 161.2, 171.4, 196.1, 247.6, 337.0, 526.5", \ + " 174.3, 184.5, 209.3, 260.8, 350.2, 539.7", \ + " 191.1, 201.3, 226.1, 277.7, 367.1, 556.6", \ + " 212.6, 222.8, 247.6, 299.2, 388.6, 578.1", \ + " 240.7, 251.0, 275.9, 327.5, 416.9, 606.4", \ + " 277.9, 288.2, 313.2, 364.9, 454.4, 643.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.0, 141.8, 213.8, 382.6, 689.2, 1347.3", \ + " 116.1, 141.8, 213.8, 382.6, 689.2, 1347.3", \ + " 116.1, 141.8, 213.8, 382.6, 689.2, 1347.3", \ + " 116.1, 141.8, 213.8, 382.6, 689.2, 1347.3", \ + " 116.3, 142.0, 213.9, 382.7, 689.2, 1347.3", \ + " 116.7, 142.3, 214.2, 382.9, 689.3, 1347.3", \ + " 117.1, 142.8, 214.5, 383.1, 689.4, 1347.4", \ + " 117.9, 143.4, 215.0, 383.4, 689.6, 1347.4", \ + " 119.0, 144.5, 215.9, 384.0, 689.9, 1347.5", \ + " 120.8, 146.1, 217.2, 384.9, 690.5, 1347.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.6, 150.6, 171.8, 214.4, 284.9, 429.7", \ + " 148.0, 157.0, 178.2, 220.8, 291.3, 436.2", \ + " 152.5, 161.4, 182.7, 225.3, 295.8, 440.6", \ + " 157.3, 166.3, 187.5, 230.1, 300.7, 445.5", \ + " 163.6, 172.6, 193.9, 236.5, 307.0, 451.9", \ + " 169.9, 178.9, 200.1, 242.7, 313.3, 458.1", \ + " 176.4, 185.4, 206.7, 249.3, 319.8, 464.7", \ + " 182.7, 191.7, 213.0, 255.7, 326.3, 471.2", \ + " 188.0, 197.0, 218.4, 261.2, 331.9, 476.8", \ + " 190.3, 199.4, 220.8, 263.8, 334.6, 479.8" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 88.9, 106.1, 154.5, 268.6, 476.6, 925.8", \ + " 88.9, 106.1, 154.5, 268.6, 476.6, 925.8", \ + " 88.9, 106.1, 154.6, 268.6, 476.6, 925.8", \ + " 88.9, 106.1, 154.5, 268.6, 476.6, 925.8", \ + " 89.0, 106.2, 154.6, 268.6, 476.6, 925.8", \ + " 89.1, 106.3, 154.7, 268.7, 476.7, 925.8", \ + " 89.3, 106.5, 154.9, 268.8, 476.7, 925.8", \ + " 89.8, 107.0, 155.3, 269.1, 476.9, 925.9", \ + " 90.7, 107.9, 156.0, 269.6, 477.3, 926.2", \ + " 92.1, 109.2, 157.1, 270.6, 478.0, 926.6" ); }} +timing() { /* ring osc delay xor3v1x1, path a to z 169.8 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 155.1 ; */ +/* intrinsic_fall : 151.3 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.4, 154.5, 188.6, 264.0, 397.1, 678.9", \ + " 149.2, 162.2, 196.3, 271.8, 404.8, 686.7", \ + " 155.3, 168.3, 202.4, 277.8, 410.9, 692.7", \ + " 163.4, 176.4, 210.4, 285.9, 419.0, 700.8", \ + " 176.9, 189.8, 223.9, 299.4, 432.4, 714.3", \ + " 193.7, 206.7, 240.7, 316.1, 449.2, 731.1", \ + " 214.7, 227.7, 261.6, 337.0, 470.1, 752.0", \ + " 240.8, 253.7, 287.5, 362.9, 496.0, 777.9", \ + " 274.4, 287.2, 320.9, 396.2, 529.3, 811.2", \ + " 318.2, 331.0, 364.5, 439.8, 572.8, 854.7" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.9, 122.5, 211.2, 412.8, 772.1, 1535.4", \ + " 89.9, 122.5, 211.2, 412.8, 772.1, 1535.4", \ + " 89.9, 122.5, 211.2, 412.8, 772.1, 1535.4", \ + " 89.9, 122.6, 211.2, 412.8, 772.1, 1535.4", \ + " 90.0, 122.7, 211.2, 412.8, 772.1, 1535.4", \ + " 90.5, 123.0, 211.4, 412.8, 772.1, 1535.4", \ + " 91.3, 123.6, 211.7, 412.9, 772.1, 1535.4", \ + " 92.3, 124.3, 212.1, 413.1, 772.2, 1535.4", \ + " 93.7, 125.5, 212.7, 413.3, 772.3, 1535.5", \ + " 95.9, 127.2, 213.7, 413.6, 772.4, 1535.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 124.5, 134.5, 158.9, 210.9, 301.6, 493.5", \ + " 131.6, 141.6, 166.0, 218.0, 308.8, 500.6", \ + " 137.1, 147.1, 171.5, 223.5, 314.2, 506.1", \ + " 144.2, 154.2, 178.6, 230.6, 321.3, 513.2", \ + " 155.0, 165.0, 189.4, 241.4, 332.1, 524.0", \ + " 166.5, 176.6, 201.0, 253.0, 343.8, 535.7", \ + " 178.9, 188.9, 213.4, 265.4, 356.3, 548.2", \ + " 191.5, 201.5, 226.0, 278.1, 369.0, 561.0", \ + " 203.8, 213.9, 238.5, 290.6, 381.5, 573.6", \ + " 214.3, 224.4, 249.0, 301.3, 392.2, 584.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.0, 74.9, 132.0, 262.9, 497.5, 997.1", \ + " 54.4, 75.1, 132.1, 263.0, 497.5, 997.1", \ + " 54.8, 75.4, 132.3, 263.1, 497.6, 997.1", \ + " 55.5, 76.0, 132.6, 263.2, 497.6, 997.1", \ + " 56.5, 76.8, 133.2, 263.5, 497.7, 997.2", \ + " 58.0, 78.1, 134.0, 263.9, 497.9, 997.2" ); }} +timing() { /* ring osc delay xor3v1x1, path a to z 194.3 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 152.6 ; */ +/* intrinsic_fall : 154.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.7, 182.8, 216.9, 292.4, 425.4, 707.3", \ + " 176.2, 189.3, 223.4, 298.9, 431.9, 713.7", \ + " 180.6, 193.7, 227.8, 303.3, 436.3, 718.2", \ + " 185.5, 198.6, 232.7, 308.2, 441.2, 723.1", \ + " 191.8, 204.9, 239.0, 314.5, 447.5, 729.4", \ + " 198.1, 211.1, 245.2, 320.7, 453.7, 735.6", \ + " 204.6, 217.7, 251.8, 327.2, 460.3, 742.1", \ + " 210.9, 224.0, 258.1, 333.6, 466.6, 748.4", \ + " 216.1, 229.2, 263.3, 338.7, 471.8, 753.6", \ + " 218.4, 231.5, 265.5, 341.0, 474.1, 755.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.2, 412.8, 772.1, 1535.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 149.9, 159.9, 184.3, 236.2, 327.0, 518.9", \ + " 157.8, 167.8, 192.2, 244.2, 334.9, 526.8", \ + " 163.9, 173.9, 198.3, 250.2, 341.0, 532.8", \ + " 171.3, 181.4, 205.8, 257.7, 348.4, 540.3", \ + " 182.5, 192.5, 216.9, 268.8, 359.6, 551.5", \ + " 195.6, 205.6, 230.0, 282.0, 372.7, 564.6", \ + " 212.4, 222.5, 246.9, 298.8, 389.6, 581.4", \ + " 233.9, 243.9, 268.3, 320.3, 411.1, 602.9", \ + " 262.0, 272.1, 296.5, 348.4, 439.2, 631.1", \ + " 299.2, 309.2, 333.6, 385.6, 476.4, 668.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.7, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.8, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.0, 74.9, 132.0, 262.9, 497.5, 997.1", \ + " 54.2, 75.0, 132.1, 263.0, 497.5, 997.1" ); }} +timing() { /* ring osc delay xor3v1x1, path a to z 146.3 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 152.6 ; */ +/* intrinsic_fall : 154.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 103.2, 113.4, 138.2, 189.8, 279.3, 468.7", \ + " 110.3, 120.5, 145.4, 197.0, 286.4, 475.9", \ + " 115.8, 126.0, 150.8, 202.4, 291.9, 481.4", \ + " 122.8, 133.0, 157.9, 209.5, 298.9, 488.4", \ + " 133.6, 143.8, 168.6, 220.2, 309.7, 499.2", \ + " 145.2, 155.5, 180.5, 232.1, 321.5, 511.0", \ + " 157.6, 168.0, 193.2, 245.1, 334.5, 524.0", \ + " 170.3, 180.9, 206.4, 258.4, 348.0, 537.5", \ + " 182.8, 193.7, 219.7, 272.3, 361.9, 551.5", \ + " 193.4, 204.8, 231.7, 285.4, 375.6, 565.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 117.7, 143.3, 215.0, 383.4, 689.7, 1347.4", \ + " 117.8, 143.4, 215.0, 383.5, 689.7, 1347.4", \ + " 117.9, 143.4, 215.1, 383.5, 689.7, 1347.4", \ + " 118.2, 143.7, 215.3, 383.6, 689.7, 1347.4", \ + " 119.7, 145.0, 216.2, 384.1, 689.9, 1347.5", \ + " 122.9, 147.9, 218.5, 385.5, 690.7, 1347.7", \ + " 127.4, 152.1, 221.9, 387.9, 692.2, 1348.4", \ + " 133.6, 157.9, 226.8, 391.4, 694.3, 1349.3", \ + " 143.0, 166.8, 234.3, 397.0, 697.9, 1351.1", \ + " 156.8, 180.1, 246.4, 406.5, 704.7, 1354.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.5, 122.6, 144.1, 186.8, 257.4, 402.3", \ + " 121.3, 130.4, 151.8, 194.6, 265.2, 410.1", \ + " 127.4, 136.5, 157.9, 200.7, 271.3, 416.2", \ + " 135.5, 144.6, 166.0, 208.9, 279.5, 424.4", \ + " 149.0, 158.1, 179.7, 222.6, 293.3, 438.3", \ + " 166.1, 175.4, 197.2, 240.3, 311.3, 456.4", \ + " 187.3, 197.0, 219.4, 263.2, 334.7, 480.1", \ + " 213.6, 223.7, 246.9, 291.5, 363.8, 509.9", \ + " 247.3, 258.0, 282.3, 328.2, 401.4, 548.4", \ + " 291.1, 302.6, 328.6, 376.5, 451.4, 599.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.0, 109.0, 156.8, 270.1, 477.3, 925.9", \ + " 92.1, 109.1, 156.9, 270.1, 477.4, 925.9", \ + " 92.3, 109.2, 157.0, 270.2, 477.4, 925.9", \ + " 92.7, 109.7, 157.4, 270.4, 477.5, 926.0", \ + " 94.5, 111.3, 158.6, 271.2, 477.9, 926.2", \ + " 98.7, 115.2, 161.8, 273.4, 479.2, 926.7", \ + " 104.8, 121.2, 167.2, 277.6, 482.2, 928.2", \ + " 112.5, 128.6, 174.1, 283.4, 486.5, 930.8", \ + " 123.1, 139.1, 183.9, 291.6, 492.8, 934.5", \ + " 138.0, 154.0, 198.4, 304.2, 502.9, 940.9" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 152.6 ; */ +/* intrinsic_fall : 154.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.7, 182.8, 216.9, 292.4, 425.4, 707.3", \ + " 176.2, 189.3, 223.4, 298.9, 431.9, 713.7", \ + " 180.6, 193.7, 227.8, 303.3, 436.3, 718.2", \ + " 185.5, 198.6, 232.7, 308.2, 441.2, 723.1", \ + " 191.8, 204.9, 239.0, 314.5, 447.5, 729.4", \ + " 198.1, 211.1, 245.2, 320.7, 453.7, 735.6", \ + " 204.6, 217.7, 251.8, 327.2, 460.3, 742.1", \ + " 210.9, 224.0, 258.1, 333.6, 466.6, 748.4", \ + " 216.1, 229.2, 263.3, 338.7, 471.8, 753.6", \ + " 218.4, 231.5, 265.5, 341.0, 474.1, 755.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.2, 412.8, 772.1, 1535.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 149.9, 159.9, 184.3, 236.2, 327.0, 518.9", \ + " 157.8, 167.8, 192.2, 244.2, 334.9, 526.8", \ + " 163.9, 173.9, 198.3, 250.2, 341.0, 532.8", \ + " 171.3, 181.4, 205.8, 257.7, 348.4, 540.3", \ + " 182.5, 192.5, 216.9, 268.8, 359.6, 551.5", \ + " 195.6, 205.6, 230.0, 282.0, 372.7, 564.6", \ + " 212.4, 222.5, 246.9, 298.8, 389.6, 581.4", \ + " 233.9, 243.9, 268.3, 320.3, 411.1, 602.9", \ + " 262.0, 272.1, 296.5, 348.4, 439.2, 631.1", \ + " 299.2, 309.2, 333.6, 385.6, 476.4, 668.3" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.7, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.8, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.0, 74.9, 132.0, 262.9, 497.5, 997.1", \ + " 54.2, 75.0, 132.1, 263.0, 497.5, 997.1" ); }} +timing() { /* ring osc delay xor3v1x1, path b to z 157.9 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 163.4 ; */ +/* intrinsic_fall : 125.0 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 126.6, 136.8, 161.5, 213.0, 302.5, 491.9", \ + " 134.5, 144.7, 169.4, 220.9, 310.3, 499.8", \ + " 140.6, 150.7, 175.5, 227.0, 316.4, 505.9", \ + " 148.1, 158.3, 183.0, 234.5, 324.0, 513.4", \ + " 159.5, 169.7, 194.4, 245.9, 335.4, 524.8", \ + " 172.7, 182.9, 207.6, 259.1, 348.6, 538.0", \ + " 189.3, 199.4, 224.2, 275.7, 365.1, 554.6", \ + " 209.9, 220.1, 244.9, 296.4, 385.8, 575.2", \ + " 236.0, 246.3, 271.2, 322.8, 412.3, 601.7", \ + " 269.5, 279.8, 304.9, 356.9, 446.8, 636.4" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.1, 141.8, 213.8, 382.7, 689.2, 1347.3", \ + " 116.1, 141.8, 213.8, 382.7, 689.2, 1347.3", \ + " 116.1, 141.9, 213.9, 382.7, 689.2, 1347.3", \ + " 116.2, 141.9, 213.9, 382.7, 689.2, 1347.3", \ + " 116.4, 142.1, 214.0, 382.8, 689.3, 1347.3", \ + " 116.7, 142.4, 214.3, 382.9, 689.4, 1347.3", \ + " 117.2, 142.8, 214.5, 383.0, 689.4, 1347.3", \ + " 118.0, 143.5, 215.0, 383.4, 689.5, 1347.3", \ + " 119.3, 144.8, 216.1, 384.1, 690.0, 1347.5", \ + " 121.4, 146.9, 218.2, 386.0, 691.6, 1348.7" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 113.2, 122.3, 143.7, 186.5, 257.1, 401.9", \ + " 120.5, 129.6, 151.0, 193.7, 264.3, 409.2", \ + " 126.2, 135.3, 156.7, 199.4, 270.0, 414.8", \ + " 133.6, 142.6, 164.0, 206.7, 277.3, 422.1", \ + " 145.5, 154.5, 175.8, 218.5, 289.0, 433.9", \ + " 158.3, 167.2, 188.5, 231.1, 301.6, 446.4", \ + " 170.3, 179.3, 200.5, 243.0, 313.5, 458.3", \ + " 181.8, 190.8, 212.0, 254.6, 325.1, 469.9", \ + " 192.7, 201.8, 223.1, 265.9, 336.5, 481.3", \ + " 202.3, 211.4, 232.9, 275.8, 346.7, 491.7" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.7, 108.7, 156.6, 269.9, 477.2, 925.9", \ + " 91.4, 108.5, 156.5, 269.8, 477.2, 925.8", \ + " 91.2, 108.3, 156.3, 269.7, 477.1, 925.8", \ + " 90.7, 107.9, 156.0, 269.5, 477.0, 925.7", \ + " 90.1, 107.3, 155.5, 269.1, 476.8, 925.7", \ + " 89.8, 107.0, 155.1, 268.8, 476.6, 925.6", \ + " 89.9, 107.0, 155.1, 268.7, 476.4, 925.4", \ + " 90.5, 107.6, 155.6, 269.0, 476.6, 925.4", \ + " 91.6, 108.6, 156.6, 269.8, 477.1, 925.7", \ + " 93.2, 110.2, 158.0, 271.0, 478.0, 926.2" ); }} +timing() { /* ring osc delay xor3v1x1, path b to z 164.1 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 163.4 ; */ +/* intrinsic_fall : 125.0 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 160.7, 173.8, 207.9, 283.4, 416.4, 698.2", \ + " 167.7, 180.7, 214.8, 290.3, 423.3, 705.2", \ + " 173.7, 186.8, 220.9, 296.4, 429.4, 711.2", \ + " 181.9, 194.9, 229.0, 304.5, 437.6, 719.4", \ + " 195.6, 208.6, 242.7, 318.2, 451.2, 733.1", \ + " 213.5, 226.6, 260.6, 336.1, 469.2, 751.0", \ + " 237.1, 250.1, 284.2, 359.6, 492.7, 774.6", \ + " 268.2, 281.1, 315.1, 390.6, 523.7, 805.6", \ + " 311.2, 324.1, 358.1, 433.5, 566.6, 848.5", \ + " 371.7, 384.6, 418.5, 493.9, 627.0, 908.9" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.5, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 90.2, 122.8, 211.3, 412.8, 772.1, 1535.4", \ + " 90.7, 123.1, 211.5, 412.9, 772.1, 1535.4", \ + " 91.4, 123.7, 211.8, 413.0, 772.2, 1535.4", \ + " 92.6, 124.6, 212.2, 413.2, 772.3, 1535.5" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 98.5, 108.6, 132.9, 184.8, 275.5, 467.3", \ + " 105.2, 115.2, 139.6, 191.5, 282.2, 474.0", \ + " 110.0, 120.1, 144.4, 196.3, 287.0, 478.8", \ + " 115.3, 125.3, 149.7, 201.6, 292.3, 484.2", \ + " 121.5, 131.5, 155.9, 207.8, 298.5, 490.4", \ + " 126.5, 136.5, 160.9, 212.9, 303.6, 495.5", \ + " 129.8, 139.8, 164.2, 216.2, 307.0, 498.9", \ + " 129.7, 139.7, 164.1, 216.2, 307.0, 498.9", \ + " 123.5, 133.5, 158.0, 210.1, 300.9, 492.9", \ + " 106.8, 116.9, 141.4, 193.5, 284.5, 476.5" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.4, 74.4, 131.7, 262.8, 497.5, 997.1", \ + " 53.4, 74.4, 131.7, 262.9, 497.5, 997.1", \ + " 53.4, 74.4, 131.7, 262.9, 497.5, 997.1", \ + " 53.5, 74.4, 131.7, 262.9, 497.5, 997.1", \ + " 53.7, 74.6, 131.8, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.2, 75.0, 132.0, 263.0, 497.6, 997.1", \ + " 54.7, 75.3, 132.2, 263.1, 497.6, 997.1", \ + " 55.4, 75.9, 132.6, 263.2, 497.6, 997.1", \ + " 56.6, 76.8, 133.1, 263.5, 497.7, 997.2" ); }} +timing() { /* ring osc delay xor3v1x1, path b to z 181.9 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 126.0 ; */ +/* intrinsic_fall : 162.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.2, 154.2, 188.3, 263.8, 396.8, 678.7", \ + " 148.5, 161.5, 195.6, 271.1, 404.1, 686.0", \ + " 154.2, 167.2, 201.3, 276.8, 409.9, 691.7", \ + " 161.6, 174.6, 208.7, 284.2, 417.3, 699.1", \ + " 173.6, 186.6, 220.7, 296.2, 429.2, 711.1", \ + " 186.5, 199.6, 233.7, 309.1, 442.2, 724.0", \ + " 198.6, 211.7, 245.8, 321.2, 454.3, 736.1", \ + " 210.1, 223.1, 257.2, 332.7, 465.7, 747.6", \ + " 221.0, 234.0, 268.1, 343.6, 476.6, 758.5", \ + " 230.5, 243.5, 277.6, 353.1, 486.1, 768.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.8, 122.5, 211.2, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.9, 122.6, 211.2, 412.8, 772.1, 1535.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.9, 158.0, 182.4, 234.3, 325.0, 516.9", \ + " 155.8, 165.8, 190.2, 242.2, 332.9, 524.8", \ + " 161.9, 171.9, 196.3, 248.2, 339.0, 530.8", \ + " 169.4, 179.5, 203.9, 255.8, 346.5, 538.4", \ + " 180.8, 190.9, 215.3, 267.2, 357.9, 549.8", \ + " 194.0, 204.0, 228.4, 280.4, 371.1, 563.0", \ + " 210.6, 220.6, 245.0, 297.0, 387.7, 579.6", \ + " 231.2, 241.2, 265.6, 317.6, 408.4, 600.2", \ + " 257.4, 267.4, 291.8, 343.8, 434.6, 626.5", \ + " 290.9, 300.9, 325.4, 377.4, 468.1, 660.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.8, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.1, 74.9, 132.0, 263.0, 497.5, 997.1", \ + " 54.3, 75.0, 132.1, 263.0, 497.6, 997.1" ); }} +timing() { /* ring osc delay xor3v1x1, path b to z 139.2 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 126.0 ; */ +/* intrinsic_fall : 162.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 77.2, 87.2, 111.8, 163.3, 252.7, 442.2", \ + " 83.8, 93.9, 118.5, 169.9, 259.4, 448.9", \ + " 88.6, 98.7, 123.3, 174.8, 264.2, 453.7", \ + " 93.9, 103.9, 128.5, 180.0, 269.5, 459.0", \ + " 100.0, 110.1, 134.8, 186.4, 275.8, 465.3", \ + " 105.0, 115.1, 139.9, 191.6, 281.1, 470.6", \ + " 108.2, 118.4, 143.1, 194.8, 284.5, 474.0", \ + " 108.1, 118.3, 143.0, 194.6, 284.2, 473.8", \ + " 102.0, 112.3, 137.1, 188.5, 277.8, 467.5", \ + " 85.4, 96.0, 121.2, 172.5, 261.4, 450.6" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.0, 138.3, 211.3, 381.2, 688.6, 1347.1", \ + " 112.0, 138.2, 211.2, 381.2, 688.6, 1347.1", \ + " 112.2, 138.4, 211.3, 381.2, 688.6, 1347.1", \ + " 113.2, 139.3, 211.9, 381.5, 688.7, 1347.2", \ + " 115.4, 141.2, 213.3, 382.4, 689.2, 1347.3", \ + " 118.2, 143.7, 215.2, 383.6, 690.0, 1347.7", \ + " 121.7, 146.9, 217.8, 385.2, 690.9, 1348.3", \ + " 126.8, 151.3, 221.1, 387.3, 691.9, 1348.8", \ + " 133.9, 157.8, 226.0, 390.2, 693.3, 1349.1", \ + " 143.8, 166.9, 233.3, 394.9, 695.6, 1349.6" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 132.5, 141.5, 162.8, 205.4, 275.9, 420.8", \ + " 139.5, 148.5, 169.7, 212.3, 282.9, 427.8", \ + " 145.5, 154.5, 175.8, 218.4, 288.9, 433.8", \ + " 153.7, 162.7, 183.9, 226.6, 297.1, 442.0", \ + " 167.4, 176.4, 197.6, 240.3, 310.9, 455.8", \ + " 185.4, 194.5, 215.8, 258.6, 329.2, 474.2", \ + " 209.2, 218.5, 240.3, 283.5, 354.4, 499.6", \ + " 240.4, 250.0, 272.2, 316.1, 387.8, 533.4", \ + " 283.7, 293.5, 316.4, 361.1, 433.4, 579.8", \ + " 344.4, 354.8, 378.7, 424.6, 498.2, 645.6" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.1, 106.4, 154.7, 268.7, 476.6, 925.8", \ + " 89.2, 106.4, 154.8, 268.7, 476.7, 925.8", \ + " 89.2, 106.4, 154.8, 268.7, 476.7, 925.8", \ + " 89.3, 106.5, 154.8, 268.8, 476.7, 925.8", \ + " 89.6, 106.8, 155.1, 268.9, 476.8, 925.8", \ + " 91.4, 108.4, 156.3, 269.7, 477.2, 926.1", \ + " 94.8, 111.8, 159.4, 272.3, 479.0, 926.9", \ + " 98.7, 115.7, 163.3, 275.7, 481.8, 928.9", \ + " 104.3, 121.2, 168.5, 280.3, 485.6, 931.3", \ + " 112.8, 129.8, 176.8, 287.6, 491.7, 935.5" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 126.0 ; */ +/* intrinsic_fall : 162.1 ; */ +/* rise_resistance : 3.51 ; */ +/* fall_resistance : 2.59 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 141.2, 154.2, 188.3, 263.8, 396.8, 678.7", \ + " 148.5, 161.5, 195.6, 271.1, 404.1, 686.0", \ + " 154.2, 167.2, 201.3, 276.8, 409.9, 691.7", \ + " 161.6, 174.6, 208.7, 284.2, 417.3, 699.1", \ + " 173.6, 186.6, 220.7, 296.2, 429.2, 711.1", \ + " 186.5, 199.6, 233.7, 309.1, 442.2, 724.0", \ + " 198.6, 211.7, 245.8, 321.2, 454.3, 736.1", \ + " 210.1, 223.1, 257.2, 332.7, 465.7, 747.6", \ + " 221.0, 234.0, 268.1, 343.6, 476.6, 758.5", \ + " 230.5, 243.5, 277.6, 353.1, 486.1, 768.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 89.8, 122.5, 211.2, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.6, 122.3, 211.1, 412.8, 772.1, 1535.4", \ + " 89.7, 122.4, 211.1, 412.8, 772.1, 1535.4", \ + " 89.8, 122.5, 211.1, 412.8, 772.1, 1535.4", \ + " 89.9, 122.6, 211.2, 412.8, 772.1, 1535.4" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 147.9, 158.0, 182.4, 234.3, 325.0, 516.9", \ + " 155.8, 165.8, 190.2, 242.2, 332.9, 524.8", \ + " 161.9, 171.9, 196.3, 248.2, 339.0, 530.8", \ + " 169.4, 179.5, 203.9, 255.8, 346.5, 538.4", \ + " 180.8, 190.9, 215.3, 267.2, 357.9, 549.8", \ + " 194.0, 204.0, 228.4, 280.4, 371.1, 563.0", \ + " 210.6, 220.6, 245.0, 297.0, 387.7, 579.6", \ + " 231.2, 241.2, 265.6, 317.6, 408.4, 600.2", \ + " 257.4, 267.4, 291.8, 343.8, 434.6, 626.5", \ + " 290.9, 300.9, 325.4, 377.4, 468.1, 660.0" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.6, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.8, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.8, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.7, 131.9, 262.9, 497.5, 997.1", \ + " 53.9, 74.8, 131.9, 262.9, 497.5, 997.1", \ + " 54.1, 74.9, 132.0, 263.0, 497.5, 997.1", \ + " 54.3, 75.0, 132.1, 263.0, 497.6, 997.1" ); }} +timing() { /* ring osc delay xor3v1x1, path c to z 71.4 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.2 ; */ +/* intrinsic_fall : 33.5 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.06 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 73.3, 107.6, 182.7, 315.5, 597.2", \ + " 66.5, 80.2, 114.7, 190.2, 323.3, 605.1", \ + " 72.7, 86.3, 120.7, 196.3, 329.4, 611.3", \ + " 80.9, 94.4, 128.7, 204.3, 337.5, 619.5", \ + " 93.9, 108.1, 142.4, 217.9, 351.2, 633.3", \ + " 109.2, 124.9, 161.4, 236.9, 370.2, 652.4", \ + " 129.4, 146.7, 187.0, 265.4, 398.6, 680.8", \ + " 156.3, 175.7, 220.3, 306.1, 440.7, 722.9", \ + " 193.6, 215.8, 266.2, 360.8, 504.6, 786.9", \ + " 245.9, 272.3, 330.5, 436.3, 594.3, 885.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.6, 126.8, 213.5, 413.4, 772.1, 1535.4", \ + " 96.5, 127.6, 213.8, 413.5, 772.1, 1535.4", \ + " 97.5, 127.9, 214.0, 413.5, 772.1, 1535.4", \ + " 101.2, 130.6, 214.7, 413.7, 772.1, 1535.4", \ + " 108.4, 136.8, 219.2, 415.0, 772.2, 1535.4", \ + " 119.8, 147.0, 227.6, 420.7, 773.7, 1535.4", \ + " 134.8, 164.7, 242.6, 432.5, 781.0, 1535.9", \ + " 153.1, 185.5, 269.3, 454.1, 797.2, 1543.2", \ + " 181.1, 215.6, 305.4, 492.1, 827.8, 1563.0", \ + " 225.9, 262.4, 356.5, 554.5, 882.6, 1603.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.5, 26.0, 41.4, 78.2, 144.9, 286.3", \ + " 27.4, 32.9, 47.8, 84.5, 151.2, 292.7", \ + " 30.8, 37.5, 52.7, 88.9, 155.7, 297.2", \ + " 33.7, 41.4, 58.9, 94.6, 161.3, 302.9", \ + " 36.2, 45.5, 66.3, 104.9, 170.8, 312.4", \ + " 36.8, 47.9, 72.5, 117.4, 183.9, 325.0", \ + " 34.7, 47.7, 76.7, 129.1, 203.9, 343.1", \ + " 27.8, 43.1, 77.1, 138.3, 224.8, 370.3", \ + " 13.4, 31.3, 71.1, 142.6, 243.3, 409.8", \ + " -13.4, 7.6, 54.3, 137.9, 255.6, 449.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 30.8, 50.7, 110.8, 235.5, 450.9, 909.1", \ + " 38.5, 56.2, 113.2, 235.7, 450.7, 908.7", \ + " 47.0, 63.3, 117.9, 238.1, 450.8, 908.2", \ + " 57.0, 74.2, 126.2, 243.4, 453.1, 907.5", \ + " 72.3, 91.3, 143.4, 256.1, 460.9, 908.8", \ + " 92.0, 112.6, 169.8, 278.6, 476.5, 916.2", \ + " 118.9, 141.5, 204.0, 316.5, 506.6, 933.9", \ + " 154.7, 179.9, 248.8, 373.6, 559.3, 969.9", \ + " 203.8, 232.5, 308.7, 449.4, 645.5, 1039.6", \ + " 272.4, 305.5, 390.0, 550.9, 771.3, 1163.2" ); }} +timing() { /* ring osc delay xor3v1x1, path c to z 71.4 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 78.2 ; */ +/* intrinsic_fall : 33.5 ; */ +/* rise_resistance : 4.19 ; */ +/* fall_resistance : 2.06 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 59.6, 73.3, 107.6, 182.7, 315.5, 597.2", \ + " 66.5, 80.2, 114.7, 190.2, 323.3, 605.1", \ + " 72.7, 86.3, 120.7, 196.3, 329.4, 611.3", \ + " 80.9, 94.4, 128.7, 204.3, 337.5, 619.5", \ + " 93.9, 108.1, 142.4, 217.9, 351.2, 633.3", \ + " 109.2, 124.9, 161.4, 236.9, 370.2, 652.4", \ + " 129.4, 146.7, 187.0, 265.4, 398.6, 680.8", \ + " 156.3, 175.7, 220.3, 306.1, 440.7, 722.9", \ + " 193.6, 215.8, 266.2, 360.8, 504.6, 786.9", \ + " 245.9, 272.3, 330.5, 436.3, 594.3, 885.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.6, 126.8, 213.5, 413.4, 772.1, 1535.4", \ + " 96.5, 127.6, 213.8, 413.4, 772.1, 1535.4", \ + " 97.5, 127.9, 214.0, 413.5, 772.1, 1535.4", \ + " 101.2, 130.6, 214.7, 413.7, 772.1, 1535.4", \ + " 108.4, 136.8, 219.2, 415.0, 772.2, 1535.4", \ + " 119.8, 147.0, 227.6, 420.7, 773.7, 1535.4", \ + " 134.8, 164.7, 242.6, 432.5, 781.0, 1535.9", \ + " 153.1, 185.5, 269.3, 454.1, 797.2, 1543.2", \ + " 181.1, 215.6, 305.4, 492.1, 827.8, 1563.0", \ + " 225.9, 262.4, 356.5, 554.5, 882.6, 1603.9" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.5, 26.0, 41.4, 78.2, 144.9, 286.3", \ + " 27.4, 32.9, 47.8, 84.5, 151.2, 292.7", \ + " 30.8, 37.5, 52.7, 88.9, 155.7, 297.2", \ + " 33.7, 41.4, 58.9, 94.6, 161.3, 302.9", \ + " 36.2, 45.5, 66.3, 104.9, 170.8, 312.4", \ + " 36.8, 47.9, 72.5, 117.4, 183.9, 325.0", \ + " 34.7, 47.7, 76.7, 129.1, 203.9, 343.1", \ + " 27.8, 43.1, 77.1, 138.3, 224.8, 370.3", \ + " 13.4, 31.3, 71.1, 142.6, 243.3, 409.8", \ + " -13.4, 7.6, 54.3, 137.9, 255.6, 449.2" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 30.8, 50.7, 110.8, 235.5, 450.9, 909.2", \ + " 38.5, 56.2, 113.2, 235.7, 450.7, 908.7", \ + " 47.0, 63.3, 117.9, 238.1, 450.8, 908.2", \ + " 57.0, 74.2, 126.2, 243.4, 453.2, 907.5", \ + " 72.3, 91.3, 143.4, 256.1, 460.9, 908.8", \ + " 92.0, 112.6, 169.8, 278.6, 476.5, 916.2", \ + " 118.9, 141.5, 204.0, 316.5, 506.6, 933.9", \ + " 154.7, 179.9, 248.8, 373.6, 559.3, 969.9", \ + " 203.8, 232.5, 308.7, 449.4, 645.5, 1039.6", \ + " 272.4, 305.5, 390.0, 550.9, 771.3, 1163.2" ); }} +timing() { /* ring osc delay xor3v1x1, path c to z 87.7 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 82.4 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 52.0, 70.7, 117.2, 204.4, 392.6", \ + " 52.6, 59.4, 78.2, 124.5, 211.3, 399.2", \ + " 58.5, 65.3, 83.9, 129.9, 216.4, 403.9", \ + " 66.3, 73.0, 91.3, 136.7, 222.7, 409.8", \ + " 79.0, 86.0, 103.6, 147.8, 232.8, 419.1", \ + " 90.8, 99.0, 119.3, 162.7, 246.1, 431.0", \ + " 101.9, 111.3, 134.3, 183.8, 265.6, 447.9", \ + " 112.1, 122.5, 147.6, 202.6, 294.1, 472.8", \ + " 121.5, 132.8, 159.7, 218.1, 320.7, 511.7", \ + " 129.0, 141.4, 170.4, 231.2, 339.9, 559.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.3, 140.7, 211.0, 376.8, 683.7, 1345.2", \ + " 115.0, 138.8, 207.8, 375.0, 682.7, 1344.8", \ + " 116.4, 140.2, 208.3, 373.4, 681.5, 1344.3", \ + " 119.1, 142.8, 210.3, 373.4, 679.5, 1343.4", \ + " 124.0, 148.3, 215.3, 376.2, 679.2, 1341.0", \ + " 127.2, 153.6, 224.1, 384.0, 683.9, 1340.4", \ + " 132.1, 158.7, 232.5, 400.1, 697.1, 1347.2", \ + " 139.1, 166.5, 241.4, 418.0, 723.1, 1366.2", \ + " 149.8, 178.1, 254.3, 432.3, 757.7, 1405.4", \ + " 165.7, 195.0, 272.5, 451.8, 780.9, 1470.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.8, 71.6, 95.4, 146.6, 236.8, 428.4", \ + " 69.6, 79.5, 103.4, 154.7, 245.0, 436.6", \ + " 75.6, 85.5, 109.6, 161.0, 251.3, 442.9", \ + " 83.1, 93.1, 117.2, 168.7, 259.1, 450.8", \ + " 94.0, 104.3, 128.8, 180.4, 270.8, 462.5", \ + " 106.6, 117.3, 142.2, 194.1, 284.6, 476.2", \ + " 122.4, 133.5, 158.9, 211.1, 301.8, 493.4", \ + " 141.6, 153.4, 179.8, 232.4, 323.2, 514.9", \ + " 165.9, 178.5, 206.4, 260.1, 351.0, 542.9", \ + " 196.6, 210.5, 240.7, 296.5, 388.5, 580.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.3, 75.7, 133.0, 263.5, 497.7, 997.1", \ + " 54.5, 75.8, 133.0, 263.5, 497.7, 997.1", \ + " 54.9, 76.1, 133.1, 263.5, 497.7, 997.1", \ + " 56.5, 77.2, 133.6, 263.7, 497.7, 997.1", \ + " 59.6, 79.9, 135.5, 264.6, 497.9, 997.1", \ + " 63.0, 83.3, 138.3, 266.1, 498.7, 997.2", \ + " 68.4, 88.2, 141.7, 268.5, 499.8, 997.7", \ + " 75.7, 95.4, 147.9, 272.1, 501.7, 998.4", \ + " 85.7, 105.8, 157.5, 278.7, 505.4, 999.9", \ + " 99.7, 120.2, 171.9, 290.8, 513.2, 1003.7" ); }} +timing() { /* ring osc delay xor3v1x1, path c to z 87.7 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 82.4 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 52.0, 70.7, 117.2, 204.4, 392.5", \ + " 52.6, 59.4, 78.2, 124.5, 211.3, 399.2", \ + " 58.5, 65.3, 83.9, 129.9, 216.3, 403.9", \ + " 66.3, 73.0, 91.3, 136.7, 222.7, 409.8", \ + " 79.0, 86.0, 103.6, 147.7, 232.8, 419.1", \ + " 90.8, 99.0, 119.3, 162.7, 246.1, 431.0", \ + " 101.9, 111.3, 134.3, 183.8, 265.6, 447.9", \ + " 112.1, 122.5, 147.6, 202.6, 294.1, 472.8", \ + " 121.5, 132.8, 159.7, 218.1, 320.7, 511.7", \ + " 129.0, 141.4, 170.4, 231.2, 339.9, 559.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.3, 140.7, 211.0, 376.7, 683.7, 1345.1", \ + " 115.0, 138.8, 207.8, 375.0, 682.6, 1344.7", \ + " 116.4, 140.1, 208.3, 373.4, 681.5, 1344.2", \ + " 119.1, 142.8, 210.3, 373.4, 679.5, 1343.3", \ + " 123.9, 148.3, 215.3, 376.1, 679.2, 1341.0", \ + " 127.2, 153.6, 224.1, 384.0, 683.8, 1340.4", \ + " 132.1, 158.7, 232.5, 400.1, 697.1, 1347.2", \ + " 139.1, 166.5, 241.4, 417.9, 723.1, 1366.2", \ + " 149.8, 178.1, 254.3, 432.3, 757.7, 1405.4", \ + " 165.7, 195.0, 272.5, 451.8, 780.9, 1470.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.8, 71.6, 95.4, 146.6, 236.8, 428.4", \ + " 69.6, 79.5, 103.4, 154.7, 245.0, 436.6", \ + " 75.6, 85.5, 109.6, 161.0, 251.3, 442.9", \ + " 83.1, 93.1, 117.2, 168.7, 259.1, 450.8", \ + " 94.0, 104.3, 128.8, 180.4, 270.8, 462.5", \ + " 106.6, 117.3, 142.2, 194.1, 284.6, 476.2", \ + " 122.4, 133.5, 158.9, 211.1, 301.8, 493.4", \ + " 141.6, 153.4, 179.8, 232.4, 323.2, 514.9", \ + " 165.9, 178.5, 206.4, 260.1, 351.0, 542.9", \ + " 196.6, 210.5, 240.7, 296.5, 388.5, 580.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.3, 75.7, 133.0, 263.5, 497.7, 997.1", \ + " 54.5, 75.8, 133.0, 263.5, 497.7, 997.1", \ + " 54.9, 76.1, 133.1, 263.5, 497.7, 997.1", \ + " 56.5, 77.2, 133.6, 263.7, 497.7, 997.1", \ + " 59.6, 79.9, 135.5, 264.6, 497.9, 997.1", \ + " 63.0, 83.3, 138.3, 266.1, 498.7, 997.2", \ + " 68.4, 88.2, 141.7, 268.5, 499.8, 997.7", \ + " 75.7, 95.4, 147.9, 272.1, 501.7, 998.4", \ + " 85.7, 105.8, 157.5, 278.7, 505.4, 999.9", \ + " 99.7, 120.2, 171.9, 290.8, 513.2, 1003.7" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 60.9 ; */ +/* intrinsic_fall : 82.4 ; */ +/* rise_resistance : 2.59 ; */ +/* fall_resistance : 2.86 ; */ +cell_rise(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 45.3, 52.0, 70.7, 117.2, 204.4, 392.6", \ + " 52.6, 59.4, 78.2, 124.5, 211.3, 399.2", \ + " 58.5, 65.3, 83.9, 129.9, 216.4, 403.9", \ + " 66.3, 73.0, 91.3, 136.7, 222.7, 409.8", \ + " 79.0, 86.0, 103.6, 147.8, 232.8, 419.1", \ + " 90.8, 99.0, 119.3, 162.7, 246.1, 431.0", \ + " 101.9, 111.3, 134.3, 183.8, 265.6, 447.9", \ + " 112.1, 122.5, 147.6, 202.6, 294.1, 472.8", \ + " 121.5, 132.8, 159.7, 218.1, 320.7, 511.7", \ + " 129.0, 141.4, 170.4, 231.2, 339.9, 559.0" ); } +rise_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 116.3, 140.7, 211.0, 376.8, 683.7, 1345.2", \ + " 115.0, 138.8, 207.8, 375.0, 682.7, 1344.8", \ + " 116.4, 140.2, 208.3, 373.4, 681.5, 1344.3", \ + " 119.1, 142.8, 210.3, 373.4, 679.5, 1343.4", \ + " 124.0, 148.3, 215.3, 376.2, 679.2, 1341.0", \ + " 127.2, 153.6, 224.1, 384.0, 683.9, 1340.4", \ + " 132.1, 158.7, 232.5, 400.1, 697.1, 1347.2", \ + " 139.1, 166.5, 241.4, 418.0, 723.1, 1366.2", \ + " 149.8, 178.1, 254.3, 432.3, 757.7, 1405.4", \ + " 165.7, 195.0, 272.5, 451.8, 780.9, 1470.8" ); } +cell_fall(x1_130_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.8, 71.6, 95.4, 146.6, 236.8, 428.4", \ + " 69.6, 79.5, 103.4, 154.7, 245.0, 436.6", \ + " 75.6, 85.5, 109.6, 161.0, 251.3, 442.9", \ + " 83.1, 93.1, 117.2, 168.7, 259.1, 450.8", \ + " 94.0, 104.3, 128.8, 180.4, 270.8, 462.5", \ + " 106.6, 117.3, 142.2, 194.1, 284.6, 476.2", \ + " 122.4, 133.5, 158.9, 211.1, 301.8, 493.4", \ + " 141.6, 153.4, 179.8, 232.4, 323.2, 514.9", \ + " 165.9, 178.5, 206.4, 260.1, 351.0, 542.9", \ + " 196.6, 210.5, 240.7, 296.5, 388.5, 580.4" ); } +fall_transition(x1_130_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 54.3, 75.7, 133.0, 263.5, 497.7, 997.1", \ + " 54.5, 75.8, 133.0, 263.5, 497.7, 997.1", \ + " 54.9, 76.1, 133.1, 263.5, 497.7, 997.1", \ + " 56.5, 77.2, 133.6, 263.7, 497.7, 997.1", \ + " 59.6, 79.9, 135.5, 264.6, 497.9, 997.1", \ + " 63.0, 83.3, 138.3, 266.1, 498.7, 997.2", \ + " 68.4, 88.2, 141.7, 268.5, 499.8, 997.7", \ + " 75.7, 95.4, 147.9, 272.1, 501.7, 998.4", \ + " 85.7, 105.8, 157.5, 278.7, 505.4, 999.9", \ + " 99.7, 120.2, 171.9, 290.8, 513.2, 1003.7" ); }} +} +} +cell(xor3v1x2) { /* 2008-01-06:08h10 characteristic delay 12.1 ps */ +area : 21 ; /* tracks */ +cell_leakage_power : 3199 ; /* xor3v1x2 */ +cell_footprint : xor3 ; +pin(a) { /* xor3v1x2 FO4 effort 2.89 logical effort 0.92 */ +direction : input ; +capacitance : 5.00 ; +rise_capacitance : 5.00 ; +fall_capacitance : 5.00 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin a of xor3v1x2 */ +} +pin(b) { /* xor3v1x2 FO4 effort 2.85 logical effort 1.10 */ +direction : input ; +capacitance : 5.99 ; +rise_capacitance : 5.99 ; +fall_capacitance : 5.99 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin b of xor3v1x2 */ +} +pin(c) { /* xor3v1x2 FO4 effort 1.91 logical effort 2.25 */ +direction : input ; +capacitance : 11.55 ; +rise_capacitance : 11.58 ; +fall_capacitance : 11.53 ; +fanout_load : 1 ; +max_transition : 1500.0 ; /* pin c of xor3v1x2 */ +} +pin(z) { +direction : output ; +min_transition : 20 ; +min_capacitance : 2 ; +max_capacitance : 227 ; +max_fanout : 6 ; +function : "(a^b^c)" ; +internal_power(a_z_n) { /* xor3v1x2 84.72 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 41.97, 42.11, 42.34, 42.54, 42.64", \ + " 41.82, 41.95, 42.18, 42.38, 42.49", \ + " 41.85, 41.98, 42.21, 42.42, 42.52", \ + " 42.00, 42.13, 42.36, 42.57, 42.67", \ + " 42.49, 42.61, 42.84, 43.05, 43.15", \ + " 43.44, 43.56, 43.78, 43.99, 44.10", \ + " 45.10, 45.21, 45.42, 45.64, 45.76", \ + " 47.83, 47.92, 48.13, 48.35, 48.47", \ + " 52.28, 52.36, 52.54, 52.77, 52.90", \ + " 59.47, 59.53, 59.69, 59.90, 60.05" ); }} +internal_power(a_z_p) { /* xor3v1x2 84.68 nW/MHz */ +related_pin : "a" ; +power(pwr_x2_260_5x10) { +values( " 41.97, 42.10, 42.33, 42.53, 42.63", \ + " 41.81, 41.94, 42.17, 42.37, 42.47", \ + " 41.84, 41.97, 42.20, 42.40, 42.51", \ + " 41.99, 42.12, 42.34, 42.55, 42.65", \ + " 42.47, 42.59, 42.80, 43.01, 43.12", \ + " 43.40, 43.51, 43.71, 43.91, 44.02", \ + " 45.04, 45.13, 45.31, 45.50, 45.61", \ + " 47.73, 47.80, 47.95, 48.11, 48.22", \ + " 52.13, 52.17, 52.27, 52.41, 52.50", \ + " 59.26, 59.25, 59.29, 59.37, 59.43" ); }} +internal_power(b_z_n) { /* xor3v1x2 84.98 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 41.99, 42.13, 42.35, 42.56, 42.66", \ + " 41.82, 41.95, 42.18, 42.38, 42.48", \ + " 41.90, 42.03, 42.26, 42.46, 42.56", \ + " 42.13, 42.27, 42.49, 42.70, 42.80", \ + " 42.73, 42.86, 43.08, 43.29, 43.40", \ + " 43.85, 43.97, 44.19, 44.41, 44.52", \ + " 45.77, 45.89, 46.10, 46.32, 46.44", \ + " 48.88, 48.98, 49.18, 49.40, 49.53", \ + " 53.88, 53.97, 54.16, 54.38, 54.51", \ + " 61.93, 61.99, 62.15, 62.37, 62.52" ); }} +internal_power(b_z_p) { /* xor3v1x2 84.99 nW/MHz */ +related_pin : "b" ; +power(pwr_x2_260_5x10) { +values( " 42.00, 42.14, 42.36, 42.57, 42.67", \ + " 41.83, 41.96, 42.19, 42.40, 42.50", \ + " 41.90, 42.04, 42.27, 42.47, 42.58", \ + " 42.13, 42.26, 42.49, 42.70, 42.81", \ + " 42.72, 42.85, 43.07, 43.28, 43.39", \ + " 43.83, 43.95, 44.16, 44.37, 44.48", \ + " 45.74, 45.85, 46.04, 46.24, 46.36", \ + " 48.83, 48.91, 49.08, 49.27, 49.38", \ + " 53.81, 53.86, 54.00, 54.17, 54.27", \ + " 61.80, 61.83, 61.92, 62.05, 62.14" ); }} +internal_power(c_z_n) { /* xor3v1x2 38.30 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 18.88, 19.01, 19.16, 19.25, 19.27", \ + " 18.43, 18.57, 18.79, 18.97, 19.06", \ + " 18.55, 18.66, 18.85, 19.06, 19.18", \ + " 18.95, 19.01, 19.15, 19.35, 19.48", \ + " 20.00, 19.96, 19.98, 20.10, 20.22", \ + " 21.92, 21.77, 21.58, 21.51, 21.55", \ + " 25.32, 25.02, 24.53, 24.10, 23.89", \ + " 30.95, 30.46, 29.57, 28.56, 27.88", \ + " 40.15, 39.42, 38.03, 36.22, 34.71", \ + " 54.98, 53.96, 51.93, 49.06, 46.32" ); }} +internal_power(c_z_p) { /* xor3v1x2 47.27 nW/MHz */ +related_pin : "c" ; +power(pwr_x2_260_5x10) { +values( " 23.28, 23.23, 23.07, 22.85, 22.69", \ + " 23.07, 23.03, 22.92, 22.73, 22.57", \ + " 23.30, 23.26, 23.15, 22.97, 22.80", \ + " 23.82, 23.77, 23.64, 23.44, 23.25", \ + " 25.04, 24.94, 24.76, 24.50, 24.26", \ + " 27.15, 27.00, 26.71, 26.33, 26.00", \ + " 30.77, 30.52, 30.07, 29.48, 28.96", \ + " 36.60, 36.24, 35.56, 34.64, 33.80", \ + " 46.04, 45.49, 44.47, 43.09, 41.73", \ + " 61.26, 60.42, 58.85, 56.77, 54.68" ); }} +timing() { /* ring osc delay xor3v1x2, path a to z 188.8 */ +related_pin : "a" ; +when : "(b'*c)" ; +sdf_cond : "((b == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 169.9 ; */ +/* intrinsic_fall : 170.3 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 146.1, 156.6, 181.9, 233.7, 322.3, 509.3", \ + " 154.1, 164.6, 189.9, 241.6, 330.3, 517.2", \ + " 160.2, 170.7, 196.0, 247.7, 336.3, 523.3", \ + " 167.6, 178.1, 203.4, 255.2, 343.8, 530.8", \ + " 178.7, 189.2, 214.5, 266.3, 354.9, 541.9", \ + " 191.9, 202.4, 227.7, 279.5, 368.1, 555.1", \ + " 208.7, 219.3, 244.6, 296.4, 385.0, 572.0", \ + " 230.3, 240.8, 266.1, 317.9, 406.6, 593.6", \ + " 258.7, 269.2, 294.6, 346.4, 435.1, 622.1", \ + " 296.4, 307.0, 332.5, 384.4, 473.1, 660.0" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 127.2, 153.1, 224.9, 393.7, 701.0, 1362.2", \ + " 127.3, 153.1, 224.9, 393.7, 701.0, 1362.2", \ + " 127.3, 153.1, 224.9, 393.7, 701.0, 1362.2", \ + " 127.3, 153.1, 225.0, 393.7, 701.0, 1362.2", \ + " 127.4, 153.2, 225.0, 393.7, 701.0, 1362.2", \ + " 127.7, 153.4, 225.2, 393.8, 701.1, 1362.3", \ + " 128.0, 153.7, 225.5, 394.0, 701.2, 1362.3", \ + " 128.5, 154.2, 225.8, 394.2, 701.3, 1362.4", \ + " 129.5, 155.1, 226.6, 394.7, 701.6, 1362.5", \ + " 131.1, 156.6, 227.8, 395.6, 702.1, 1362.7" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 162.2, 171.5, 193.1, 235.3, 303.4, 440.8", \ + " 168.6, 177.9, 199.6, 241.8, 309.9, 447.3", \ + " 173.1, 182.4, 204.0, 246.2, 314.3, 451.7", \ + " 177.9, 187.2, 208.8, 251.0, 319.1, 456.5", \ + " 184.0, 193.3, 214.9, 257.2, 325.2, 462.7", \ + " 190.2, 199.5, 221.1, 263.3, 331.4, 468.9", \ + " 196.5, 205.8, 227.4, 269.6, 337.8, 475.2", \ + " 202.7, 212.0, 233.7, 275.9, 344.1, 481.5", \ + " 208.1, 217.4, 239.1, 281.4, 349.7, 487.2", \ + " 210.8, 220.1, 241.9, 284.4, 352.8, 490.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 94.9, 111.1, 156.1, 261.1, 453.0, 869.3", \ + " 94.9, 111.1, 156.1, 261.1, 453.0, 869.3", \ + " 94.9, 111.1, 156.1, 261.1, 453.0, 869.3", \ + " 94.9, 111.1, 156.1, 261.1, 453.0, 869.3", \ + " 94.9, 111.2, 156.1, 261.1, 453.0, 869.3", \ + " 95.1, 111.3, 156.3, 261.2, 453.0, 869.3", \ + " 95.2, 111.4, 156.3, 261.3, 453.1, 869.4", \ + " 95.6, 111.8, 156.6, 261.5, 453.2, 869.4", \ + " 96.4, 112.6, 157.4, 262.0, 453.6, 869.6", \ + " 97.9, 113.9, 158.6, 263.0, 454.3, 870.1" ); }} +timing() { /* ring osc delay xor3v1x2, path a to z 185.2 */ +related_pin : "a" ; +when : "(b*c')" ; +sdf_cond : "((b == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 169.9 ; */ +/* intrinsic_fall : 170.3 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 152.8, 166.7, 202.9, 283.0, 423.9, 722.2", \ + " 160.6, 174.5, 210.7, 290.8, 431.7, 730.0", \ + " 166.7, 180.5, 216.8, 296.8, 437.7, 736.0", \ + " 174.8, 188.6, 224.9, 305.0, 445.8, 744.2", \ + " 188.7, 202.6, 238.8, 318.9, 459.8, 758.1", \ + " 207.0, 220.8, 257.0, 337.0, 478.0, 776.3", \ + " 230.2, 244.0, 280.1, 360.1, 501.0, 799.4", \ + " 258.8, 272.5, 308.5, 388.5, 529.4, 827.8", \ + " 295.3, 309.0, 344.9, 424.8, 565.7, 864.2", \ + " 342.9, 356.7, 392.4, 472.2, 613.2, 911.6" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.8, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.8, 219.1, 432.1, 811.7, 1618.5", \ + " 91.8, 125.8, 219.1, 432.1, 811.7, 1618.5", \ + " 92.1, 126.1, 219.2, 432.1, 811.7, 1618.5", \ + " 93.0, 126.8, 219.5, 432.2, 811.7, 1618.5", \ + " 94.1, 127.6, 220.0, 432.3, 811.8, 1618.5", \ + " 95.8, 128.9, 220.7, 432.5, 811.9, 1618.6", \ + " 98.2, 131.0, 221.9, 433.0, 812.0, 1618.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 140.8, 151.7, 178.0, 234.1, 332.2, 540.0", \ + " 148.0, 158.8, 185.2, 241.3, 339.4, 547.2", \ + " 153.5, 164.4, 190.7, 246.8, 344.9, 552.7", \ + " 160.7, 171.6, 197.9, 254.0, 352.2, 559.9", \ + " 172.3, 183.2, 209.5, 265.6, 363.8, 571.5", \ + " 185.8, 196.7, 223.0, 279.1, 377.3, 585.1", \ + " 200.7, 211.6, 238.0, 294.1, 392.4, 600.2", \ + " 216.3, 227.2, 253.7, 309.9, 408.2, 616.0", \ + " 232.5, 243.5, 270.1, 326.4, 424.7, 632.5", \ + " 247.9, 259.1, 285.8, 342.2, 440.5, 648.4" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.1, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.1, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.1, 146.4, 287.4, 540.9, 1081.8", \ + " 62.5, 85.1, 146.5, 287.4, 540.9, 1081.8", \ + " 62.9, 85.4, 146.7, 287.5, 540.9, 1081.8", \ + " 63.5, 86.0, 147.1, 287.7, 541.0, 1081.9", \ + " 64.4, 86.7, 147.6, 288.0, 541.2, 1081.9", \ + " 65.7, 87.9, 148.4, 288.4, 541.4, 1082.0", \ + " 67.7, 89.7, 149.7, 289.2, 541.7, 1082.1" ); }} +timing() { /* ring osc delay xor3v1x2, path a to z 214.1 */ +related_pin : "a" ; +when : "(b'*c')" ; +sdf_cond : "((b == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 169.4 ; */ +/* intrinsic_fall : 171.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 187.7, 201.6, 237.8, 317.9, 458.8, 757.1", \ + " 194.2, 208.0, 244.3, 324.4, 465.3, 763.6", \ + " 198.6, 212.5, 248.7, 328.8, 469.7, 768.0", \ + " 203.4, 217.3, 253.5, 333.6, 474.5, 772.8", \ + " 209.6, 223.5, 259.7, 339.8, 480.7, 779.0", \ + " 215.7, 229.6, 265.8, 345.9, 486.8, 785.1", \ + " 222.1, 235.9, 272.2, 352.3, 493.1, 791.5", \ + " 228.3, 242.2, 278.4, 358.5, 499.4, 797.7", \ + " 233.7, 247.5, 283.8, 363.8, 504.7, 803.1", \ + " 236.4, 250.2, 286.4, 366.5, 507.4, 805.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.8, 125.8, 219.1, 432.1, 811.7, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.0, 179.8, 206.1, 262.2, 360.3, 568.1", \ + " 177.0, 187.8, 214.1, 270.2, 368.3, 576.1", \ + " 183.0, 193.9, 220.2, 276.2, 374.4, 582.2", \ + " 190.5, 201.3, 227.6, 283.7, 381.9, 589.6", \ + " 201.6, 212.4, 238.7, 294.8, 393.0, 600.8", \ + " 214.8, 225.7, 251.9, 308.0, 406.2, 614.0", \ + " 231.7, 242.5, 268.8, 324.9, 423.0, 630.8", \ + " 253.2, 264.1, 290.4, 346.5, 444.6, 652.4", \ + " 281.6, 292.5, 318.8, 374.9, 473.1, 680.9", \ + " 319.4, 330.3, 356.6, 412.7, 510.9, 718.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.4, 287.4, 540.9, 1081.8", \ + " 62.3, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.5, 85.1, 146.5, 287.4, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8" ); }} +timing() { /* ring osc delay xor3v1x2, path a to z 161.5 */ +related_pin : "a" ; +when : "(b*c)" ; +sdf_cond : "((b == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 169.4 ; */ +/* intrinsic_fall : 171.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 118.0, 128.5, 153.9, 205.8, 294.4, 481.4", \ + " 125.1, 135.7, 161.1, 212.9, 301.6, 488.6", \ + " 130.6, 141.2, 166.6, 218.4, 307.1, 494.1", \ + " 137.8, 148.4, 173.7, 225.6, 314.3, 501.3", \ + " 149.4, 159.9, 185.2, 237.1, 325.7, 512.8", \ + " 162.8, 173.3, 198.7, 250.6, 339.2, 526.2", \ + " 177.6, 188.2, 213.9, 266.0, 354.7, 541.7", \ + " 193.1, 203.9, 229.9, 282.4, 371.3, 558.2", \ + " 209.1, 220.2, 246.8, 299.8, 388.8, 575.8", \ + " 224.3, 235.9, 263.3, 317.4, 407.0, 594.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 128.6, 154.3, 226.0, 394.5, 701.5, 1362.5", \ + " 128.6, 154.3, 226.1, 394.5, 701.5, 1362.5", \ + " 128.7, 154.4, 226.1, 394.5, 701.5, 1362.5", \ + " 128.8, 154.6, 226.2, 394.6, 701.6, 1362.5", \ + " 129.7, 155.3, 226.8, 394.9, 701.7, 1362.5", \ + " 132.7, 158.0, 228.9, 396.2, 702.4, 1362.7", \ + " 137.5, 162.6, 232.7, 399.0, 704.1, 1363.4", \ + " 144.2, 168.9, 238.2, 403.1, 706.8, 1364.7", \ + " 154.0, 178.2, 246.3, 409.3, 711.0, 1366.9", \ + " 168.4, 192.4, 259.2, 419.6, 718.5, 1371.1" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 127.5, 137.0, 158.8, 201.1, 269.3, 406.7", \ + " 135.3, 144.7, 166.6, 208.9, 277.1, 414.5", \ + " 141.4, 150.8, 172.6, 215.0, 283.2, 420.6", \ + " 149.5, 158.9, 180.8, 223.1, 291.3, 428.8", \ + " 163.4, 172.9, 194.7, 237.2, 305.4, 442.9", \ + " 181.7, 191.2, 213.3, 255.9, 324.3, 461.9", \ + " 204.9, 214.9, 237.5, 280.7, 349.6, 487.5", \ + " 233.4, 243.8, 267.3, 311.6, 381.4, 519.9", \ + " 269.8, 280.7, 305.4, 351.1, 422.0, 561.7", \ + " 317.0, 328.7, 355.0, 402.8, 475.6, 616.8" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 96.2, 112.5, 157.4, 262.1, 453.5, 869.4", \ + " 96.3, 112.5, 157.4, 262.1, 453.5, 869.4", \ + " 96.4, 112.7, 157.6, 262.2, 453.5, 869.4", \ + " 96.7, 113.0, 157.8, 262.3, 453.6, 869.4", \ + " 97.9, 114.0, 158.6, 262.9, 453.9, 869.6", \ + " 101.5, 117.3, 161.3, 264.6, 455.0, 870.0", \ + " 108.2, 123.7, 166.9, 269.1, 458.0, 871.4", \ + " 116.6, 132.0, 174.8, 275.8, 463.2, 874.6", \ + " 128.0, 143.3, 185.6, 285.2, 470.6, 879.3", \ + " 144.1, 159.4, 201.1, 299.1, 482.0, 886.8" ); }} +timing() { +related_pin : "a" ; +timing_sense : non_unate ; +/* intrinsic_rise : 169.4 ; */ +/* intrinsic_fall : 171.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 187.7, 201.6, 237.8, 317.9, 458.8, 757.1", \ + " 194.2, 208.0, 244.3, 324.4, 465.3, 763.6", \ + " 198.6, 212.5, 248.7, 328.8, 469.7, 768.0", \ + " 203.4, 217.3, 253.5, 333.6, 474.5, 772.8", \ + " 209.6, 223.5, 259.7, 339.8, 480.7, 779.0", \ + " 215.7, 229.6, 265.8, 345.9, 486.8, 785.1", \ + " 222.1, 235.9, 272.2, 352.3, 493.1, 791.5", \ + " 228.3, 242.2, 278.4, 358.5, 499.4, 797.7", \ + " 233.7, 247.5, 283.8, 363.8, 504.7, 803.1", \ + " 236.4, 250.2, 286.4, 366.5, 507.4, 805.7" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.8, 125.8, 219.1, 432.1, 811.7, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 169.0, 179.8, 206.1, 262.2, 360.3, 568.1", \ + " 177.0, 187.8, 214.1, 270.2, 368.3, 576.1", \ + " 183.0, 193.9, 220.2, 276.2, 374.4, 582.2", \ + " 190.5, 201.3, 227.6, 283.7, 381.9, 589.6", \ + " 201.6, 212.4, 238.7, 294.8, 393.0, 600.8", \ + " 214.8, 225.7, 251.9, 308.0, 406.2, 614.0", \ + " 231.7, 242.5, 268.8, 324.9, 423.0, 630.8", \ + " 253.2, 264.1, 290.4, 346.5, 444.6, 652.4", \ + " 281.6, 292.5, 318.8, 374.9, 473.1, 680.9", \ + " 319.4, 330.3, 356.6, 412.7, 510.9, 718.7" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.4, 287.4, 540.9, 1081.8", \ + " 62.3, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.5, 85.1, 146.5, 287.4, 540.9, 1081.8", \ + " 62.7, 85.3, 146.6, 287.5, 540.9, 1081.8" ); }} +timing() { /* ring osc delay xor3v1x2, path b to z 173.5 */ +related_pin : "b" ; +when : "(a'*c)" ; +sdf_cond : "((a == 1'b0) && (c == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 182.2 ; */ +/* intrinsic_fall : 139.6 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 144.6, 155.1, 180.4, 232.1, 320.7, 507.7", \ + " 152.5, 163.0, 188.3, 240.1, 328.7, 515.7", \ + " 158.6, 169.1, 194.4, 246.2, 334.8, 521.8", \ + " 166.3, 176.8, 202.1, 253.8, 342.4, 529.4", \ + " 177.8, 188.3, 213.6, 265.4, 354.0, 541.0", \ + " 191.3, 201.8, 227.1, 278.9, 367.5, 554.5", \ + " 208.2, 218.7, 244.0, 295.7, 384.4, 571.4", \ + " 229.4, 239.9, 265.1, 316.9, 405.5, 592.4", \ + " 256.4, 266.9, 292.3, 344.1, 432.7, 619.6", \ + " 291.3, 301.8, 327.3, 379.3, 468.1, 655.2" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 127.4, 153.1, 225.0, 393.7, 701.0, 1362.2", \ + " 127.4, 153.1, 225.0, 393.7, 701.0, 1362.2", \ + " 127.4, 153.2, 225.0, 393.7, 701.0, 1362.2", \ + " 127.4, 153.2, 225.0, 393.7, 701.0, 1362.2", \ + " 127.5, 153.3, 225.1, 393.7, 701.0, 1362.2", \ + " 127.8, 153.6, 225.3, 393.9, 701.1, 1362.3", \ + " 128.1, 153.8, 225.5, 394.0, 701.1, 1362.3", \ + " 128.8, 154.4, 225.9, 394.2, 701.2, 1362.2", \ + " 129.9, 155.5, 226.9, 394.8, 701.6, 1362.4", \ + " 131.8, 157.3, 228.6, 396.2, 702.7, 1363.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 124.8, 134.2, 156.0, 198.3, 266.5, 403.9", \ + " 132.2, 141.6, 163.4, 205.7, 273.9, 411.3", \ + " 137.9, 147.3, 169.1, 211.4, 279.6, 417.0", \ + " 145.3, 154.7, 176.4, 218.7, 286.9, 424.3", \ + " 157.3, 166.6, 188.4, 230.6, 298.7, 436.2", \ + " 171.9, 181.2, 202.9, 245.1, 313.2, 450.6", \ + " 186.0, 195.3, 216.8, 258.9, 326.9, 464.3", \ + " 198.6, 207.9, 229.5, 271.6, 339.6, 476.9", \ + " 210.7, 220.0, 241.6, 283.9, 352.0, 489.3", \ + " 221.5, 230.8, 252.6, 295.0, 363.3, 500.9" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.9, 112.2, 157.2, 261.9, 453.4, 869.3", \ + " 95.7, 112.1, 157.1, 261.8, 453.3, 869.3", \ + " 95.5, 111.9, 156.9, 261.8, 453.3, 869.3", \ + " 95.2, 111.6, 156.7, 261.6, 453.2, 869.2", \ + " 94.6, 111.0, 156.2, 261.3, 453.0, 869.1", \ + " 94.8, 111.1, 156.2, 261.1, 452.9, 869.1", \ + " 95.3, 111.4, 156.3, 261.0, 452.7, 868.9", \ + " 95.8, 111.9, 156.7, 261.2, 452.8, 868.8", \ + " 96.8, 113.0, 157.6, 262.0, 453.2, 869.1", \ + " 98.6, 114.6, 159.1, 263.3, 454.2, 869.6" ); }} +timing() { /* ring osc delay xor3v1x2, path b to z 183.2 */ +related_pin : "b" ; +when : "(a*c')" ; +sdf_cond : "((a == 1'b1) && (c == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 182.2 ; */ +/* intrinsic_fall : 139.6 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 179.4, 193.3, 229.6, 309.6, 450.5, 748.8", \ + " 186.5, 200.4, 236.6, 316.7, 457.6, 755.9", \ + " 192.5, 206.4, 242.6, 322.7, 463.6, 761.9", \ + " 200.6, 214.5, 250.7, 330.8, 471.7, 770.0", \ + " 214.4, 228.2, 264.5, 344.6, 485.5, 783.8", \ + " 233.2, 247.0, 283.3, 363.3, 504.2, 802.6", \ + " 259.0, 272.9, 309.0, 389.1, 530.0, 828.4", \ + " 292.8, 306.6, 342.7, 422.7, 563.7, 862.0", \ + " 339.2, 352.9, 389.0, 469.0, 610.0, 908.3", \ + " 404.4, 418.2, 454.2, 534.1, 675.1, 973.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 92.0, 126.0, 219.2, 432.1, 811.7, 1618.5", \ + " 92.6, 126.5, 219.5, 432.2, 811.8, 1618.5", \ + " 93.5, 127.2, 219.8, 432.3, 811.8, 1618.5", \ + " 94.9, 128.3, 220.4, 432.5, 811.9, 1618.6" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 114.4, 125.2, 151.5, 207.5, 305.7, 513.4", \ + " 120.8, 131.6, 157.9, 213.9, 312.1, 519.8", \ + " 125.6, 136.4, 162.7, 218.7, 316.8, 524.6", \ + " 131.6, 142.5, 168.7, 224.8, 322.9, 530.7", \ + " 140.0, 150.9, 177.2, 233.2, 331.4, 539.2", \ + " 147.8, 158.7, 185.0, 241.1, 339.3, 547.1", \ + " 154.3, 165.2, 191.6, 247.8, 346.0, 553.8", \ + " 157.9, 168.9, 195.3, 251.6, 349.8, 557.6", \ + " 156.2, 167.2, 193.7, 250.0, 348.3, 556.2", \ + " 144.8, 156.0, 182.6, 239.0, 337.3, 545.2" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.0, 84.7, 146.2, 287.3, 540.9, 1081.8", \ + " 61.9, 84.7, 146.2, 287.3, 540.9, 1081.8", \ + " 61.9, 84.6, 146.2, 287.3, 540.9, 1081.8", \ + " 62.0, 84.7, 146.2, 287.3, 540.9, 1081.8", \ + " 62.2, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.8, 85.4, 146.7, 287.5, 541.0, 1081.8", \ + " 63.4, 85.9, 147.1, 287.7, 541.1, 1081.9", \ + " 64.3, 86.7, 147.6, 288.0, 541.2, 1081.9", \ + " 65.6, 87.9, 148.4, 288.4, 541.4, 1082.0", \ + " 67.4, 89.5, 149.6, 289.1, 541.6, 1082.0" ); }} +timing() { /* ring osc delay xor3v1x2, path b to z 197.6 */ +related_pin : "b" ; +when : "(a'*c')" ; +sdf_cond : "((a == 1'b0) && (c == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 138.4 ; */ +/* intrinsic_fall : 183.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 150.1, 164.0, 200.2, 280.3, 421.2, 719.5", \ + " 157.5, 171.4, 207.7, 287.7, 428.6, 726.9", \ + " 163.3, 177.1, 213.4, 293.5, 434.4, 732.7", \ + " 170.6, 184.5, 220.8, 300.8, 441.7, 740.0", \ + " 182.7, 196.5, 232.8, 312.9, 453.8, 752.1", \ + " 197.5, 211.3, 247.6, 327.7, 468.5, 766.9", \ + " 211.7, 225.5, 261.8, 341.8, 482.7, 781.1", \ + " 224.3, 238.2, 274.4, 354.5, 495.4, 793.7", \ + " 236.4, 250.2, 286.4, 366.5, 507.4, 805.7", \ + " 247.2, 261.0, 297.2, 377.3, 518.2, 816.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.0, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.8, 125.9, 219.1, 432.1, 811.7, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 167.5, 178.3, 204.6, 260.7, 358.8, 566.6", \ + " 175.4, 186.2, 212.5, 268.6, 366.8, 574.6", \ + " 181.5, 192.4, 218.6, 274.7, 372.9, 580.7", \ + " 189.2, 200.0, 226.3, 282.4, 380.5, 588.3", \ + " 200.7, 211.6, 237.9, 294.0, 392.1, 599.9", \ + " 214.3, 225.1, 251.4, 307.5, 405.6, 613.4", \ + " 231.2, 242.0, 268.3, 324.4, 422.5, 630.3", \ + " 252.3, 263.2, 289.5, 345.6, 443.7, 651.5", \ + " 279.4, 290.3, 316.6, 372.7, 470.9, 678.7", \ + " 314.4, 325.2, 351.5, 407.7, 505.9, 713.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.6, 85.2, 146.5, 287.4, 540.9, 1081.8", \ + " 62.8, 85.4, 146.7, 287.5, 541.0, 1081.8" ); }} +timing() { /* ring osc delay xor3v1x2, path b to z 158.4 */ +related_pin : "b" ; +when : "(a*c)" ; +sdf_cond : "((a == 1'b1) && (c == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 138.4 ; */ +/* intrinsic_fall : 183.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 91.6, 102.1, 127.4, 179.1, 267.8, 454.8", \ + " 98.0, 108.5, 133.7, 185.5, 274.2, 461.2", \ + " 102.7, 113.2, 138.5, 190.3, 278.9, 466.0", \ + " 108.8, 119.2, 144.4, 196.2, 284.9, 471.9", \ + " 117.1, 127.5, 152.8, 204.6, 293.3, 480.3", \ + " 124.7, 135.2, 160.7, 212.6, 301.3, 488.3", \ + " 131.1, 141.7, 167.3, 219.4, 308.2, 495.2", \ + " 134.5, 145.2, 171.0, 223.3, 312.1, 499.1", \ + " 132.5, 143.4, 169.4, 221.9, 310.6, 497.6", \ + " 120.9, 132.0, 158.5, 211.2, 299.8, 486.4" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 124.3, 150.5, 223.2, 392.8, 700.7, 1362.2", \ + " 124.1, 150.4, 223.1, 392.8, 700.7, 1362.2", \ + " 124.1, 150.4, 223.1, 392.7, 700.7, 1362.2", \ + " 124.6, 150.8, 223.3, 392.8, 700.7, 1362.2", \ + " 127.0, 152.9, 224.9, 393.8, 701.1, 1362.4", \ + " 130.9, 156.4, 227.8, 395.7, 702.4, 1362.8", \ + " 136.0, 161.2, 231.8, 398.5, 704.2, 1363.8", \ + " 142.8, 167.6, 237.0, 402.3, 706.5, 1365.0", \ + " 152.0, 176.1, 244.1, 407.2, 709.6, 1366.4", \ + " 164.0, 187.4, 253.8, 414.2, 713.8, 1368.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 153.9, 163.2, 184.8, 227.0, 295.1, 432.6", \ + " 161.0, 170.2, 191.9, 234.1, 302.2, 439.7", \ + " 166.9, 176.2, 197.9, 240.1, 308.2, 445.6", \ + " 175.0, 184.3, 206.0, 248.2, 316.3, 453.7", \ + " 188.8, 198.1, 219.7, 261.9, 330.1, 467.5", \ + " 207.6, 216.9, 238.5, 280.8, 348.9, 486.4", \ + " 233.5, 242.9, 264.8, 307.3, 375.7, 513.3", \ + " 267.2, 277.0, 299.5, 342.8, 411.8, 549.9", \ + " 313.5, 323.6, 346.8, 391.1, 461.0, 599.9", \ + " 378.6, 389.2, 413.4, 458.9, 530.0, 670.0" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 95.0, 111.3, 156.2, 261.2, 453.0, 869.3", \ + " 95.0, 111.3, 156.2, 261.2, 453.0, 869.3", \ + " 95.1, 111.3, 156.2, 261.2, 453.0, 869.3", \ + " 95.1, 111.3, 156.3, 261.2, 453.0, 869.3", \ + " 95.3, 111.5, 156.4, 261.3, 453.1, 869.4", \ + " 96.2, 112.3, 157.0, 261.7, 453.3, 869.5", \ + " 99.8, 115.6, 159.9, 263.8, 454.6, 870.1", \ + " 104.6, 120.5, 164.7, 268.0, 458.0, 872.2", \ + " 110.8, 126.7, 170.7, 273.6, 462.6, 875.3", \ + " 120.0, 135.9, 179.5, 281.5, 469.3, 880.0" ); }} +timing() { +related_pin : "b" ; +timing_sense : non_unate ; +/* intrinsic_rise : 138.4 ; */ +/* intrinsic_fall : 183.1 ; */ +/* rise_resistance : 1.82 ; */ +/* fall_resistance : 1.34 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 150.1, 164.0, 200.2, 280.3, 421.2, 719.5", \ + " 157.5, 171.4, 207.7, 287.7, 428.6, 726.9", \ + " 163.3, 177.1, 213.4, 293.5, 434.4, 732.7", \ + " 170.6, 184.5, 220.8, 300.8, 441.7, 740.0", \ + " 182.7, 196.5, 232.8, 312.9, 453.8, 752.1", \ + " 197.5, 211.3, 247.6, 327.7, 468.5, 766.9", \ + " 211.7, 225.5, 261.8, 341.8, 482.7, 781.1", \ + " 224.3, 238.2, 274.4, 354.5, 495.4, 793.7", \ + " 236.4, 250.2, 286.4, 366.5, 507.4, 805.7", \ + " 247.2, 261.0, 297.2, 377.3, 518.2, 816.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.4, 125.6, 219.0, 432.1, 811.7, 1618.5", \ + " 91.5, 125.7, 219.0, 432.1, 811.7, 1618.5", \ + " 91.6, 125.7, 219.1, 432.1, 811.7, 1618.5", \ + " 91.8, 125.9, 219.1, 432.1, 811.7, 1618.5" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 167.5, 178.3, 204.6, 260.7, 358.8, 566.6", \ + " 175.4, 186.2, 212.5, 268.6, 366.8, 574.6", \ + " 181.5, 192.4, 218.6, 274.7, 372.9, 580.7", \ + " 189.2, 200.0, 226.3, 282.4, 380.5, 588.3", \ + " 200.7, 211.6, 237.9, 294.0, 392.1, 599.9", \ + " 214.3, 225.1, 251.4, 307.5, 405.6, 613.4", \ + " 231.2, 242.0, 268.3, 324.4, 422.5, 630.3", \ + " 252.3, 263.2, 289.5, 345.6, 443.7, 651.5", \ + " 279.4, 290.3, 316.6, 372.7, 470.9, 678.7", \ + " 314.4, 325.2, 351.5, 407.7, 505.9, 713.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.3, 287.4, 540.9, 1081.8", \ + " 62.3, 84.9, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.4, 85.0, 146.4, 287.4, 540.9, 1081.8", \ + " 62.6, 85.2, 146.5, 287.4, 540.9, 1081.8", \ + " 62.8, 85.4, 146.7, 287.5, 541.0, 1081.8" ); }} +timing() { /* ring osc delay xor3v1x2, path c to z 71.0 */ +related_pin : "c" ; +when : "(a'*b)" ; +sdf_cond : "((a == 1'b0) && (b == 1'b1))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.6 ; */ +/* intrinsic_fall : 32.9 ; */ +/* rise_resistance : 2.21 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 75.8, 111.8, 191.3, 331.8, 629.8", \ + " 68.5, 82.7, 119.0, 198.8, 339.6, 637.8", \ + " 74.7, 88.7, 124.9, 204.9, 345.8, 644.1", \ + " 83.0, 96.9, 132.9, 212.9, 353.9, 652.3", \ + " 96.3, 110.8, 146.8, 226.6, 367.6, 666.2", \ + " 112.1, 128.3, 166.2, 245.9, 386.9, 685.6", \ + " 133.2, 151.1, 193.1, 275.1, 416.0, 714.7", \ + " 161.6, 181.7, 228.3, 317.7, 459.3, 758.0", \ + " 201.2, 224.4, 276.8, 375.4, 525.2, 823.7", \ + " 257.7, 285.1, 345.4, 455.2, 619.7, 924.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.6, 126.5, 219.6, 432.1, 811.6, 1618.5", \ + " 93.7, 127.2, 219.8, 432.1, 811.6, 1618.5", \ + " 94.3, 127.7, 220.0, 432.1, 811.6, 1618.5", \ + " 97.2, 129.4, 220.7, 432.3, 811.6, 1618.5", \ + " 103.7, 135.2, 224.4, 433.1, 811.6, 1618.5", \ + " 114.8, 145.1, 232.5, 438.3, 812.5, 1618.5", \ + " 130.6, 163.0, 247.3, 449.6, 819.0, 1618.7", \ + " 149.8, 184.7, 273.2, 470.3, 834.1, 1624.4", \ + " 178.8, 215.5, 310.2, 506.9, 863.2, 1642.5", \ + " 225.0, 262.7, 361.0, 568.2, 915.4, 1680.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.0, 25.3, 39.9, 74.5, 137.1, 270.6", \ + " 26.8, 32.1, 46.4, 80.7, 143.3, 276.8", \ + " 30.0, 36.4, 51.2, 85.1, 147.7, 281.2", \ + " 32.6, 40.1, 57.1, 90.9, 153.3, 286.7", \ + " 34.6, 43.6, 63.9, 101.1, 162.5, 295.8", \ + " 34.5, 45.2, 69.2, 112.7, 175.6, 307.9", \ + " 31.1, 43.7, 72.1, 123.1, 194.9, 325.5", \ + " 22.4, 37.3, 70.6, 130.3, 213.9, 352.7", \ + " 5.0, 22.5, 61.5, 131.7, 229.4, 389.8", \ + " -26.4, -5.9, 40.0, 122.4, 237.3, 424.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.7, 51.8, 106.2, 220.9, 421.9, 850.4", \ + " 39.7, 57.5, 109.0, 221.4, 421.7, 849.9", \ + " 48.2, 64.8, 114.1, 224.3, 422.0, 849.3", \ + " 58.2, 75.8, 122.9, 230.1, 424.8, 848.4", \ + " 73.2, 92.8, 140.8, 243.5, 433.1, 850.2", \ + " 92.8, 113.9, 167.3, 266.9, 449.5, 858.1", \ + " 119.5, 142.4, 201.5, 305.9, 481.1, 876.9", \ + " 155.0, 180.4, 246.4, 363.0, 535.4, 915.0", \ + " 203.7, 232.2, 306.3, 438.4, 623.6, 987.9", \ + " 271.3, 304.3, 387.4, 539.5, 747.7, 1114.9" ); }} +timing() { /* ring osc delay xor3v1x2, path c to z 71.0 */ +related_pin : "c" ; +when : "(a*b')" ; +sdf_cond : "((a == 1'b1) && (b == 1'b0))" ; +timing_sense : negative_unate ; +/* intrinsic_rise : 79.6 ; */ +/* intrinsic_fall : 32.9 ; */ +/* rise_resistance : 2.21 ; */ +/* fall_resistance : 0.97 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 61.7, 75.8, 111.8, 191.3, 331.8, 629.8", \ + " 68.5, 82.7, 119.0, 198.8, 339.6, 637.8", \ + " 74.7, 88.7, 124.9, 204.9, 345.8, 644.1", \ + " 83.0, 96.9, 132.9, 212.9, 353.9, 652.3", \ + " 96.3, 110.8, 146.8, 226.6, 367.6, 666.2", \ + " 112.1, 128.3, 166.2, 245.9, 386.9, 685.6", \ + " 133.2, 151.1, 193.1, 275.1, 416.0, 714.7", \ + " 161.6, 181.7, 228.3, 317.7, 459.3, 758.0", \ + " 201.2, 224.4, 276.8, 375.4, 525.2, 823.7", \ + " 257.7, 285.1, 345.4, 455.2, 619.7, 924.5" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 92.5, 126.5, 219.6, 432.1, 811.6, 1618.5", \ + " 93.7, 127.2, 219.8, 432.1, 811.6, 1618.5", \ + " 94.3, 127.7, 220.0, 432.1, 811.6, 1618.5", \ + " 97.2, 129.4, 220.7, 432.3, 811.6, 1618.5", \ + " 103.7, 135.2, 224.4, 433.1, 811.6, 1618.5", \ + " 114.8, 145.1, 232.5, 438.3, 812.5, 1618.5", \ + " 130.6, 163.0, 247.3, 449.6, 819.0, 1618.7", \ + " 149.8, 184.7, 273.2, 470.3, 834.1, 1624.4", \ + " 178.8, 215.5, 310.2, 506.9, 863.2, 1642.5", \ + " 225.0, 262.7, 361.0, 568.2, 915.4, 1680.9" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 20.0, 25.3, 39.9, 74.5, 137.1, 270.6", \ + " 26.8, 32.1, 46.4, 80.7, 143.3, 276.8", \ + " 30.0, 36.4, 51.2, 85.1, 147.7, 281.2", \ + " 32.6, 40.1, 57.1, 90.9, 153.3, 286.7", \ + " 34.6, 43.5, 63.9, 101.1, 162.5, 295.8", \ + " 34.5, 45.2, 69.2, 112.7, 175.6, 307.9", \ + " 31.1, 43.7, 72.1, 123.1, 194.9, 325.5", \ + " 22.4, 37.3, 70.6, 130.3, 213.9, 352.7", \ + " 5.0, 22.5, 61.5, 131.7, 229.4, 389.8", \ + " -26.4, -5.9, 40.0, 122.4, 237.3, 424.6" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 31.7, 51.8, 106.2, 221.0, 421.9, 850.4", \ + " 39.7, 57.5, 109.0, 221.4, 421.7, 849.9", \ + " 48.2, 64.8, 114.1, 224.3, 422.0, 849.3", \ + " 58.2, 75.8, 122.9, 230.1, 424.8, 848.5", \ + " 73.2, 92.8, 140.8, 243.5, 433.1, 850.2", \ + " 92.8, 113.9, 167.3, 266.9, 449.5, 858.1", \ + " 119.5, 142.4, 201.5, 305.9, 481.1, 876.9", \ + " 155.0, 180.4, 246.4, 363.0, 535.4, 915.0", \ + " 203.7, 232.2, 306.3, 438.4, 623.6, 987.9", \ + " 271.3, 304.3, 387.4, 539.5, 747.7, 1114.9" ); }} +timing() { /* ring osc delay xor3v1x2, path c to z 86.8 */ +related_pin : "c" ; +when : "(a'*b')" ; +sdf_cond : "((a == 1'b0) && (b == 1'b0))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.5, 198.9, 384.8", \ + " 49.6, 55.9, 74.2, 120.1, 206.0, 391.6", \ + " 55.5, 61.8, 79.8, 125.3, 210.9, 396.1", \ + " 63.1, 69.2, 86.9, 131.8, 216.8, 401.6", \ + " 74.5, 81.4, 98.8, 142.2, 226.2, 410.1", \ + " 84.5, 92.6, 113.2, 156.8, 238.8, 421.0", \ + " 93.2, 102.3, 125.7, 176.6, 257.8, 437.1", \ + " 100.3, 110.2, 135.5, 192.2, 285.4, 461.4", \ + " 105.4, 116.1, 142.8, 202.8, 308.2, 500.3", \ + " 106.7, 118.4, 146.7, 208.9, 320.5, 544.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.7, 138.0, 210.7, 380.0, 690.3, 1357.9", \ + " 113.5, 138.3, 209.0, 378.5, 689.3, 1357.5", \ + " 116.0, 140.6, 210.3, 377.4, 688.3, 1357.0", \ + " 119.7, 144.3, 213.1, 378.0, 686.7, 1356.2", \ + " 125.6, 151.0, 219.4, 382.0, 687.7, 1353.9", \ + " 129.4, 156.4, 229.7, 392.0, 694.2, 1354.8", \ + " 135.0, 162.5, 238.4, 411.0, 710.3, 1363.9", \ + " 142.7, 171.0, 248.3, 429.7, 740.5, 1386.7", \ + " 154.2, 183.1, 261.7, 444.4, 777.8, 1432.0", \ + " 170.9, 200.5, 280.3, 464.3, 801.1, 1505.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 74.1, 99.2, 154.4, 252.2, 459.7", \ + " 72.0, 82.2, 107.5, 162.8, 260.6, 468.1", \ + " 78.0, 88.2, 113.7, 169.1, 266.9, 474.5", \ + " 85.3, 95.5, 121.1, 176.7, 274.6, 482.1", \ + " 95.7, 106.2, 132.0, 187.7, 285.7, 493.3", \ + " 108.3, 118.8, 144.8, 200.8, 298.8, 506.4", \ + " 124.3, 135.1, 161.3, 217.3, 315.5, 523.1", \ + " 144.5, 155.7, 182.4, 238.5, 336.7, 544.4", \ + " 170.8, 182.5, 209.9, 266.5, 364.7, 572.5", \ + " 205.3, 217.8, 246.4, 304.0, 402.6, 610.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.5, 80.7, 143.5, 285.9, 540.4, 1081.7", \ + " 57.6, 80.8, 143.4, 285.9, 540.4, 1081.7", \ + " 57.9, 80.9, 143.5, 285.9, 540.4, 1081.7", \ + " 58.9, 81.6, 143.8, 286.0, 540.4, 1081.7", \ + " 60.9, 83.2, 144.8, 286.5, 540.5, 1081.7", \ + " 63.3, 85.3, 146.1, 287.1, 540.8, 1081.7", \ + " 67.5, 88.5, 148.0, 288.1, 541.1, 1081.9", \ + " 73.5, 94.0, 151.6, 289.8, 541.8, 1082.0", \ + " 82.3, 102.2, 158.1, 293.2, 543.5, 1082.5", \ + " 94.9, 114.5, 168.7, 300.4, 547.1, 1084.1" ); }} +timing() { /* ring osc delay xor3v1x2, path c to z 86.8 */ +related_pin : "c" ; +when : "(a*b)" ; +sdf_cond : "((a == 1'b1) && (b == 1'b1))" ; +timing_sense : positive_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.6, 198.9, 384.8", \ + " 49.6, 55.9, 74.2, 120.0, 206.0, 391.5", \ + " 55.5, 61.8, 79.8, 125.3, 210.9, 396.1", \ + " 63.1, 69.2, 86.9, 131.8, 216.8, 401.6", \ + " 74.5, 81.4, 98.8, 142.2, 226.1, 410.0", \ + " 84.5, 92.6, 113.2, 156.8, 238.7, 421.0", \ + " 93.2, 102.3, 125.7, 176.6, 257.8, 437.0", \ + " 100.3, 110.2, 135.5, 192.2, 285.4, 461.4", \ + " 105.4, 116.1, 142.8, 202.8, 308.2, 500.3", \ + " 106.7, 118.4, 146.7, 208.9, 320.5, 544.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.7, 138.0, 210.7, 380.0, 690.2, 1357.8", \ + " 113.5, 138.2, 208.9, 378.5, 689.3, 1357.4", \ + " 116.0, 140.6, 210.3, 377.4, 688.2, 1357.0", \ + " 119.7, 144.2, 213.0, 377.9, 686.7, 1356.1", \ + " 125.5, 151.0, 219.4, 382.0, 687.7, 1353.9", \ + " 129.4, 156.4, 229.7, 392.0, 694.2, 1354.7", \ + " 135.0, 162.5, 238.4, 411.0, 710.3, 1363.9", \ + " 142.7, 171.0, 248.3, 429.7, 740.5, 1386.7", \ + " 154.2, 183.1, 261.7, 444.4, 777.8, 1432.0", \ + " 170.9, 200.4, 280.3, 464.3, 801.0, 1505.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 74.1, 99.2, 154.4, 252.2, 459.7", \ + " 72.0, 82.2, 107.5, 162.8, 260.6, 468.1", \ + " 78.0, 88.2, 113.7, 169.1, 266.9, 474.5", \ + " 85.3, 95.5, 121.1, 176.7, 274.6, 482.1", \ + " 95.7, 106.2, 132.0, 187.7, 285.7, 493.3", \ + " 108.3, 118.8, 144.8, 200.8, 298.8, 506.4", \ + " 124.3, 135.1, 161.3, 217.3, 315.5, 523.1", \ + " 144.5, 155.7, 182.4, 238.5, 336.7, 544.4", \ + " 170.8, 182.5, 209.9, 266.5, 364.7, 572.5", \ + " 205.3, 217.8, 246.4, 304.0, 402.6, 610.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.5, 80.7, 143.5, 285.9, 540.4, 1081.7", \ + " 57.6, 80.8, 143.4, 285.9, 540.4, 1081.7", \ + " 57.9, 80.9, 143.5, 285.9, 540.4, 1081.7", \ + " 58.9, 81.6, 143.8, 286.0, 540.4, 1081.7", \ + " 60.9, 83.2, 144.8, 286.5, 540.5, 1081.7", \ + " 63.3, 85.3, 146.1, 287.1, 540.8, 1081.7", \ + " 67.5, 88.5, 148.0, 288.1, 541.1, 1081.9", \ + " 73.5, 94.0, 151.6, 289.8, 541.8, 1082.0", \ + " 82.3, 102.2, 158.1, 293.2, 543.5, 1082.5", \ + " 94.9, 114.5, 168.7, 300.4, 547.1, 1084.1" ); }} +timing() { +related_pin : "c" ; +timing_sense : non_unate ; +/* intrinsic_rise : 57.0 ; */ +/* intrinsic_fall : 83.8 ; */ +/* rise_resistance : 1.28 ; */ +/* fall_resistance : 1.54 ; */ +cell_rise(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 41.9, 48.1, 66.3, 112.5, 198.9, 384.8", \ + " 49.6, 55.9, 74.2, 120.1, 206.0, 391.6", \ + " 55.5, 61.8, 79.8, 125.3, 210.9, 396.1", \ + " 63.1, 69.2, 86.9, 131.8, 216.8, 401.6", \ + " 74.5, 81.4, 98.8, 142.2, 226.2, 410.1", \ + " 84.5, 92.6, 113.2, 156.8, 238.8, 421.0", \ + " 93.2, 102.3, 125.7, 176.6, 257.8, 437.1", \ + " 100.3, 110.2, 135.5, 192.2, 285.4, 461.4", \ + " 105.4, 116.1, 142.8, 202.8, 308.2, 500.3", \ + " 106.7, 118.4, 146.7, 208.9, 320.5, 544.1" ); } +rise_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 112.7, 138.0, 210.7, 380.0, 690.3, 1357.9", \ + " 113.5, 138.3, 209.0, 378.5, 689.3, 1357.5", \ + " 116.0, 140.6, 210.3, 377.4, 688.3, 1357.0", \ + " 119.7, 144.3, 213.1, 378.0, 686.7, 1356.2", \ + " 125.6, 151.0, 219.4, 382.0, 687.7, 1353.9", \ + " 129.4, 156.4, 229.7, 392.0, 694.2, 1354.8", \ + " 135.0, 162.5, 238.4, 411.0, 710.3, 1363.9", \ + " 142.7, 171.0, 248.3, 429.7, 740.5, 1386.7", \ + " 154.2, 183.1, 261.7, 444.4, 777.8, 1432.0", \ + " 170.9, 200.5, 280.3, 464.3, 801.1, 1505.2" ); } +cell_fall(x2_260_6x10) { /* 50.0% of input to 50.0% of output */ +values( " 64.0, 74.1, 99.2, 154.4, 252.2, 459.7", \ + " 72.0, 82.2, 107.5, 162.8, 260.6, 468.1", \ + " 78.0, 88.2, 113.7, 169.1, 266.9, 474.5", \ + " 85.3, 95.5, 121.1, 176.7, 274.6, 482.1", \ + " 95.7, 106.2, 132.0, 187.7, 285.7, 493.3", \ + " 108.3, 118.8, 144.8, 200.8, 298.8, 506.4", \ + " 124.3, 135.1, 161.3, 217.3, 315.5, 523.1", \ + " 144.5, 155.7, 182.4, 238.5, 336.7, 544.4", \ + " 170.8, 182.5, 209.9, 266.5, 364.7, 572.5", \ + " 205.3, 217.8, 246.4, 304.0, 402.6, 610.3" ); } +fall_transition(x2_260_6x10) { /* 10%-90%, scaled to 0%-100% */ +values( " 57.5, 80.7, 143.5, 285.9, 540.4, 1081.7", \ + " 57.6, 80.8, 143.4, 285.9, 540.4, 1081.7", \ + " 57.9, 80.9, 143.5, 285.9, 540.4, 1081.7", \ + " 58.9, 81.6, 143.8, 286.0, 540.4, 1081.7", \ + " 60.9, 83.2, 144.8, 286.5, 540.5, 1081.7", \ + " 63.3, 85.3, 146.1, 287.1, 540.8, 1081.7", \ + " 67.5, 88.5, 148.0, 288.1, 541.1, 1081.9", \ + " 73.5, 94.0, 151.6, 289.8, 541.8, 1082.0", \ + " 82.3, 102.2, 158.1, 293.2, 543.5, 1082.5", \ + " 94.9, 114.5, 168.7, 300.4, 547.1, 1084.1" ); }} +} +} +} /* end of vsclib013 */