diff --git a/database/spartan7/mapping/devices.yaml b/database/spartan7/mapping/devices.yaml new file mode 100644 index 000000000..cd55c9eda --- /dev/null +++ b/database/spartan7/mapping/devices.yaml @@ -0,0 +1,3 @@ +# device to fabric mapping +"xc7s50": + fabric: "xc7s50" diff --git a/database/spartan7/mapping/parts.yaml b/database/spartan7/mapping/parts.yaml new file mode 100644 index 000000000..9b0c99298 --- /dev/null +++ b/database/spartan7/mapping/parts.yaml @@ -0,0 +1,5 @@ +# part number to device, package and speed grade mapping +"xc7s50fgga484-1": + device: "xc7s50" + package: "fgga484" + speedgrade: "1" diff --git a/settings/spartan7/resources.yaml b/settings/spartan7/resources.yaml new file mode 100644 index 000000000..3712a19ad --- /dev/null +++ b/settings/spartan7/resources.yaml @@ -0,0 +1,10 @@ +# part number to pins +"xc7s50fgga484-1": + pins: + 0: "F14" + 1: "F13" + 2: "F12" + 3: "F11" + 4: "G11" + 5: "G10" + 6: "G13" diff --git a/settings/spartan7_50.sh b/settings/spartan7_50.sh index 9e7023d34..07861c84e 100644 --- a/settings/spartan7_50.sh +++ b/settings/spartan7_50.sh @@ -30,14 +30,6 @@ export XRAY_ROI_GRID_X2="58" export XRAY_ROI_GRID_Y1="0" export XRAY_ROI_GRID_Y2="51" -# clock pin -export XRAY_PIN_00="F14" -# data pins -export XRAY_PIN_01="F13" -export XRAY_PIN_02="F12" -export XRAY_PIN_03="F11" -export XRAY_PIN_04="G11" -export XRAY_PIN_05="G10" -export XRAY_PIN_06="G13" - source $(dirname ${BASH_SOURCE[0]})/../utils/environment.sh + +eval $(python3 ${XRAY_UTILS_DIR}/create_environment.py)