diff --git a/luna/gateware/usb/devices/acm.py b/luna/gateware/usb/devices/acm.py index f9ad0a9c..b0073d6c 100644 --- a/luna/gateware/usb/devices/acm.py +++ b/luna/gateware/usb/devices/acm.py @@ -45,7 +45,7 @@ def elaborate(self, platform): # SET_LINE_CODING: The host attempts to tell us how it wants serial data # encoding. Since we output a stream, we'll ignore the actual line coding. with m.Case(self.SET_LINE_CODING): - + # Drive interface outputs for this request m.d.comb += interface.claim.eq(1) @@ -56,7 +56,7 @@ def elaborate(self, platform): # ... and accept whatever the request was. with m.If(interface.status_requested): m.d.comb += self.send_zlp() - + return m @@ -102,7 +102,7 @@ class USBSerialDevice(Elaboratable): def __init__(self, *, bus, idVendor, idProduct, manufacturer_string="LUNA", product_string="USB-to-serial", - serial_number=None, max_packet_size=64): + serial_number="", max_packet_size=64): self._bus = bus self._idVendor = idVendor @@ -239,4 +239,3 @@ def elaborate(self, platform): ] return m -