diff --git a/lib/src/finite_state_machine.dart b/lib/src/finite_state_machine.dart index c090e1477..0f5387784 100644 --- a/lib/src/finite_state_machine.dart +++ b/lib/src/finite_state_machine.dart @@ -179,8 +179,19 @@ class FiniteStateMachine { for (final state in _states) { for (final entry in state.events.entries) { - figure.addTransitions(state.identifier.toString(), - entry.value.toString(), entry.key.name); + figure.addTransitions( + state.identifier.toString(), + entry.value.toString(), + entry.key.name, + ); + } + + if (state.defaultNextState != state.identifier) { + figure.addTransitions( + state.identifier.toString(), + state.defaultNextState.toString(), + '(default)', + ); } } figure.writeToFile(); diff --git a/test/fsm_test.dart b/test/fsm_test.dart index 7c4088656..c906b093c 100644 --- a/test/fsm_test.dart +++ b/test/fsm_test.dart @@ -54,6 +54,28 @@ class TestModule extends Module { } } +class DefaultStateFsmMod extends Module { + late final FiniteStateMachine _fsm; + DefaultStateFsmMod(Logic reset) { + reset = addInput('reset', reset); + final clk = SimpleClockGenerator(10).clk; + final b = addOutput('b', width: 8); + + _fsm = FiniteStateMachine(clk, reset, MyStates.state1, [ + State( + MyStates.state1, + events: {Const(0): MyStates.state2}, + actions: [b < 1], + defaultNextState: MyStates.state3, + ), + State(MyStates.state2, events: {}, actions: [b < 2]), + State(MyStates.state3, + events: {}, actions: [b < 3], defaultNextState: MyStates.state4), + State(MyStates.state4, events: {}, actions: [b < 4]), + ]); + } +} + enum LightStates { northFlowing, northSlowing, eastFlowing, eastSlowing } enum TrafficPresence { @@ -226,6 +248,35 @@ void main() { verifyMermaidStateDiagram(_simpleFSMPath); }); + test('default next state fsm', () async { + final pipem = DefaultStateFsmMod(Logic()); + + await pipem.build(); + + final vectors = [ + Vector({'reset': 1}, {}), + Vector({'reset': 0}, {'b': 1}), + Vector({'reset': 0}, {'b': 3}), + Vector({'reset': 0}, {'b': 4}), + Vector({'reset': 0}, {'b': 4}), + ]; + await SimCompare.checkFunctionalVector(pipem, vectors); + SimCompare.checkIverilogVector(pipem, vectors); + + if (!kIsWeb) { + const fsmPath = '$_tmpDir/default_next_state_fsm.md'; + pipem._fsm.generateDiagram(outputPath: fsmPath); + + final mermaid = File(fsmPath).readAsStringSync(); + expect(mermaid, contains('state2')); + expect(mermaid, contains('state3')); + expect(mermaid, contains('state4')); + expect(mermaid, contains('(default)')); + + verifyMermaidStateDiagram(fsmPath); + } + }); + test('traffic light fsm', () async { final pipem = TrafficTestModule(Logic(width: 2), Logic()); await pipem.build();