From 3964a448d3a2a96b8c705459528750d2869edb41 Mon Sep 17 00:00:00 2001 From: Olof Kindgren Date: Thu, 14 Dec 2023 21:05:20 +0100 Subject: [PATCH] Reformat with black --- edalize/apicula.py | 1 - edalize/ascentlint.py | 1 - edalize/design_compiler.py | 1 - edalize/edatool.py | 1 + edalize/flows/edaflow.py | 5 ----- edalize/flows/f4pga.py | 1 - edalize/flows/icestorm.py | 1 - edalize/flows/vivado.py | 1 - edalize/flows/vpr.py | 1 - edalize/gatemate.py | 1 - edalize/genus.py | 1 - edalize/ghdl.py | 1 - edalize/icarus.py | 2 -- edalize/icestorm.py | 1 - edalize/ise.py | 1 - edalize/ise_reporting.py | 1 - edalize/isim.py | 1 - edalize/mistral.py | 1 - edalize/modelsim.py | 1 - edalize/openfpga.py | 1 - edalize/openlane.py | 1 - edalize/openroad.py | 1 - edalize/oxide.py | 1 - edalize/quartus.py | 1 - edalize/quartus_reporting.py | 1 - edalize/questaformal.py | 1 - edalize/rivierapro.py | 1 - edalize/sandpipersaas.py | 2 -- edalize/slang.py | 1 - edalize/spyglass.py | 1 - edalize/symbiflow.py | 1 - edalize/symbiyosys.py | 1 - edalize/tools/edatool.py | 1 + edalize/tools/ghdl.py | 1 - edalize/tools/icarus.py | 2 -- edalize/tools/icepack.py | 1 - edalize/tools/icetime.py | 1 - edalize/tools/nextpnr.py | 1 - edalize/tools/sandpipersaas.py | 1 - edalize/tools/surelog.py | 1 - edalize/tools/sv2v.py | 1 - edalize/tools/yosys.py | 1 - edalize/trellis.py | 1 - edalize/vcs.py | 1 - edalize/veribleformat.py | 1 - edalize/veriblelint.py | 1 - edalize/vivado_reporting.py | 4 ---- edalize/xcelium.py | 1 - edalize/xsim.py | 1 - edalize/yosys.py | 1 - tests/edalize_common.py | 1 - tests/edalize_flow_common.py | 1 - tests/edalize_tool_common.py | 1 - tests/test_ghdl.py | 1 + tests/test_mistral.py | 1 - tests/test_tool_yosys.py | 1 - 56 files changed, 3 insertions(+), 63 deletions(-) diff --git a/edalize/apicula.py b/edalize/apicula.py index 52a2e7581..ea82e7f2c 100644 --- a/edalize/apicula.py +++ b/edalize/apicula.py @@ -11,7 +11,6 @@ class Apicula(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/ascentlint.py b/edalize/ascentlint.py index 70c0d0cbb..4d648332b 100644 --- a/edalize/ascentlint.py +++ b/edalize/ascentlint.py @@ -13,7 +13,6 @@ class Ascentlint(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/design_compiler.py b/edalize/design_compiler.py index 374e0cda1..dd55d8122 100644 --- a/edalize/design_compiler.py +++ b/edalize/design_compiler.py @@ -26,7 +26,6 @@ class Design_compiler(Edatool): - argtypes = ["vlogdefine", "vlogparam", "generic"] @classmethod diff --git a/edalize/edatool.py b/edalize/edatool.py index 078e3339f..c17a56644 100644 --- a/edalize/edatool.py +++ b/edalize/edatool.py @@ -105,6 +105,7 @@ def subprocess_run_3_9( else: run = subprocess.run + # Jinja2 tests and filters, available in all templates def jinja_filter_param_value_str(value, str_quote_style="", bool_is_str=False): """ diff --git a/edalize/flows/edaflow.py b/edalize/flows/edaflow.py index 64ad62ce2..bb0a27ddb 100644 --- a/edalize/flows/edaflow.py +++ b/edalize/flows/edaflow.py @@ -96,7 +96,6 @@ def fromdict(cls, d): # was popped and graph is not satisifiable _d2 = _d.copy() for k, v in _d2.items(): - # It is safe to pop the element if all dependencies of the node # exist in the graph already if set(v.get("deps", [])) <= set(c.get_nodes()): @@ -127,7 +126,6 @@ def get_nodes(self): class Edaflow(object): - FLOW_OPTIONS = {} @classmethod @@ -160,7 +158,6 @@ def _require_flow_option(cls, flow_options, option_name): def get_filtered_tool_options(cls, tools, flow_defined_tool_options): tool_opts = {} for tool_name in tools: - # Get available tool options from each tool in the list try: class_tool_options = getattr( @@ -252,7 +249,6 @@ def add_scripts(self, depends, hook_name): last_script = depends hooks = self.edam.get("hooks", {}) for script in hooks.get(hook_name, []): - # _env = self.env.copy() # if 'env' in script: # _env.update(script['env']) @@ -303,7 +299,6 @@ def set_run_command(self): self.commands.add([], ["run"], ["pre_run"]) def configure(self): - # Write tool-specific config files for node in self.flow.get_nodes().values(): node.inst.configure() diff --git a/edalize/flows/f4pga.py b/edalize/flows/f4pga.py index aa8d9d3f5..f5dcceb4d 100644 --- a/edalize/flows/f4pga.py +++ b/edalize/flows/f4pga.py @@ -94,7 +94,6 @@ class F4pga(Edaflow): # Creates the flow tree with Yosys and VPR or NextPNR nodes def configure_flow(self, flow_options): - # Set target # toplevel = self.edam["toplevel"] self.name = self.edam["name"] diff --git a/edalize/flows/icestorm.py b/edalize/flows/icestorm.py index 80433aeb1..ee73d9d5a 100644 --- a/edalize/flows/icestorm.py +++ b/edalize/flows/icestorm.py @@ -42,7 +42,6 @@ def get_tool_options(cls, flow_options): return cls.get_filtered_tool_options(tools, flow_defined_tool_options) def configure_flow(self, flow_options): - flow = self._flow.copy() # Add any user-specified frontends to the flow diff --git a/edalize/flows/vivado.py b/edalize/flows/vivado.py index a020c578e..d05094ed9 100644 --- a/edalize/flows/vivado.py +++ b/edalize/flows/vivado.py @@ -70,7 +70,6 @@ def configure_flow(self, flow_options): def run(self): if self.flow_options.get("pgm"): - # Get run command from tool instance vivado_inst = self.flow.get_node("vivado").inst (cmd, args, cwd) = vivado_inst.run() diff --git a/edalize/flows/vpr.py b/edalize/flows/vpr.py index 1610ce011..052a0ce12 100644 --- a/edalize/flows/vpr.py +++ b/edalize/flows/vpr.py @@ -15,7 +15,6 @@ class Vpr(Edaflow): FLOW_OPTIONS = {} def configure_flow(self, flow_options): - flow = { "yosys": {"ftdo": {"output_format": "blif"}}, "vpr": {"deps": ["yosys"]}, diff --git a/edalize/gatemate.py b/edalize/gatemate.py index e4ae4d7a9..9a3f14938 100644 --- a/edalize/gatemate.py +++ b/edalize/gatemate.py @@ -11,7 +11,6 @@ class Gatemate(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/genus.py b/edalize/genus.py index 8bd757696..1ba20b27a 100644 --- a/edalize/genus.py +++ b/edalize/genus.py @@ -26,7 +26,6 @@ class Genus(Edatool): - argtypes = ["vlogdefine", "vlogparam", "generic"] @classmethod diff --git a/edalize/ghdl.py b/edalize/ghdl.py index 0d103e5e2..ae6a4e630 100644 --- a/edalize/ghdl.py +++ b/edalize/ghdl.py @@ -11,7 +11,6 @@ class Ghdl(Edatool): - argtypes = ["vlogparam", "generic"] @classmethod diff --git a/edalize/icarus.py b/edalize/icarus.py index f0aacf993..861ef2fd1 100644 --- a/edalize/icarus.py +++ b/edalize/icarus.py @@ -36,7 +36,6 @@ class Icarus(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam"] @classmethod @@ -105,7 +104,6 @@ def configure_main(self): f.close() with open(os.path.join(self.work_root, "Makefile"), "w") as f: - f.write("TARGET := {}\n".format(self.name)) _vpi_modules = " ".join([m["name"] + ".vpi" for m in self.vpi_modules]) if _vpi_modules: diff --git a/edalize/icestorm.py b/edalize/icestorm.py index bb69eec46..459f3d51e 100644 --- a/edalize/icestorm.py +++ b/edalize/icestorm.py @@ -11,7 +11,6 @@ class Icestorm(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/ise.py b/edalize/ise.py index 0123d7f32..1eacc0286 100644 --- a/edalize/ise.py +++ b/edalize/ise.py @@ -8,7 +8,6 @@ class Ise(Edatool): - argtypes = ["vlogdefine", "vlogparam", "generic"] MAKEFILE_TEMPLATE = """#Auto generated by Edalize diff --git a/edalize/ise_reporting.py b/edalize/ise_reporting.py index 1761bf7c9..b628864a7 100644 --- a/edalize/ise_reporting.py +++ b/edalize/ise_reporting.py @@ -260,7 +260,6 @@ def report_timing(cls, report_file: str) -> Dict[str, Any]: @staticmethod def report_summary(resources: Dict[str, pd.DataFrame], timing: Dict[str, Any]): - util = resources["Utilization by Hierarchy"] # Find a column beginning with DSP since we don't know if it's diff --git a/edalize/isim.py b/edalize/isim.py index ed7b1726c..9f8447d4f 100644 --- a/edalize/isim.py +++ b/edalize/isim.py @@ -11,7 +11,6 @@ class Isim(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam"] MAKEFILE_TEMPLATE = """#Auto generated by Edalize diff --git a/edalize/mistral.py b/edalize/mistral.py index 0d09a9629..39d633c07 100644 --- a/edalize/mistral.py +++ b/edalize/mistral.py @@ -11,7 +11,6 @@ class Mistral(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/modelsim.py b/edalize/modelsim.py index f9e7010ac..c61756d93 100644 --- a/edalize/modelsim.py +++ b/edalize/modelsim.py @@ -71,7 +71,6 @@ class Modelsim(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"] @classmethod diff --git a/edalize/openfpga.py b/edalize/openfpga.py index 2e8434d55..6373b5851 100644 --- a/edalize/openfpga.py +++ b/edalize/openfpga.py @@ -28,7 +28,6 @@ class Openfpga(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/openlane.py b/edalize/openlane.py index edb9e80e7..935e51ab1 100644 --- a/edalize/openlane.py +++ b/edalize/openlane.py @@ -10,7 +10,6 @@ class Openlane(Edatool): - argtypes = ["vlogdefine"] @classmethod diff --git a/edalize/openroad.py b/edalize/openroad.py index 19f1a51dc..3af4a34a8 100644 --- a/edalize/openroad.py +++ b/edalize/openroad.py @@ -11,7 +11,6 @@ class Openroad(Edatool): - _description = """OpenROAD-flow-scripts """ diff --git a/edalize/oxide.py b/edalize/oxide.py index 5b8996882..2f0aeb634 100644 --- a/edalize/oxide.py +++ b/edalize/oxide.py @@ -11,7 +11,6 @@ class Oxide(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/quartus.py b/edalize/quartus.py index f6068ad18..4bb4772d8 100644 --- a/edalize/quartus.py +++ b/edalize/quartus.py @@ -17,7 +17,6 @@ class Quartus(Edatool): - argtypes = ["vlogdefine", "vlogparam", "generic"] # Define Standard edition to be our default version diff --git a/edalize/quartus_reporting.py b/edalize/quartus_reporting.py index b131c18a6..421b37445 100644 --- a/edalize/quartus_reporting.py +++ b/edalize/quartus_reporting.py @@ -82,7 +82,6 @@ def report_resources(cls, report_file: str) -> Dict[str, pd.DataFrame]: def report_summary( resources: pd.DataFrame, timing: Dict[str, pd.DataFrame] ) -> Dict[str, Union[int, float]]: - util = resources["Fitter Resource Utilization by Entity"].iloc[0] resource_buckets = { diff --git a/edalize/questaformal.py b/edalize/questaformal.py index 93ca87e76..a96a7abf1 100644 --- a/edalize/questaformal.py +++ b/edalize/questaformal.py @@ -45,7 +45,6 @@ class Questaformal(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"] @classmethod diff --git a/edalize/rivierapro.py b/edalize/rivierapro.py index 8f01730e9..251faf45a 100644 --- a/edalize/rivierapro.py +++ b/edalize/rivierapro.py @@ -16,7 +16,6 @@ class Rivierapro(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/sandpipersaas.py b/edalize/sandpipersaas.py index aa87dd0e9..fd36dc65c 100644 --- a/edalize/sandpipersaas.py +++ b/edalize/sandpipersaas.py @@ -27,7 +27,6 @@ class Sandpipersaas(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam"] @classmethod @@ -73,7 +72,6 @@ def get_doc(cls, api_ver): } def configure_main(self): - if len(self.files) > 1: raise RuntimeError("Only 1 TL-V file is allowed") diff --git a/edalize/slang.py b/edalize/slang.py index ec943c490..bf96fc7d3 100644 --- a/edalize/slang.py +++ b/edalize/slang.py @@ -12,7 +12,6 @@ class Slang(Edatool): - _description = """Slang System Verilog Frontend slang is a software library that provides various components for lexing, parsing, type checking, and elaborating SystemVerilog code. diff --git a/edalize/spyglass.py b/edalize/spyglass.py index 847b6be5f..7eb81e334 100644 --- a/edalize/spyglass.py +++ b/edalize/spyglass.py @@ -13,7 +13,6 @@ class Spyglass(Edatool): - _description = """ Synopsys (formerly Atrenta) Spyglass Backend Spyglass performs static source code analysis on HDL code and checks for common diff --git a/edalize/symbiflow.py b/edalize/symbiflow.py index 923b5365e..aab2f9076 100644 --- a/edalize/symbiflow.py +++ b/edalize/symbiflow.py @@ -27,7 +27,6 @@ class Symbiflow(Edatool): - argtypes = ["vlogdefine", "vlogparam", "generic"] archs = ["xilinx", "fpga_interchange"] fpga_interchange_families = ["xc7"] diff --git a/edalize/symbiyosys.py b/edalize/symbiyosys.py index 05259119f..741067725 100644 --- a/edalize/symbiyosys.py +++ b/edalize/symbiyosys.py @@ -10,7 +10,6 @@ class Symbiyosys(Edatool): - _description = """SymbiYosys backend SymbiYosys is a wrapper around yosys to make it easier to do formal diff --git a/edalize/tools/edatool.py b/edalize/tools/edatool.py index e694789c1..ffc96e48b 100644 --- a/edalize/tools/edatool.py +++ b/edalize/tools/edatool.py @@ -6,6 +6,7 @@ from jinja2 import Environment, PackageLoader from edalize.utils import EdaCommands + # Jinja2 tests and filters, available in all templates def jinja_filter_param_value_str(value, str_quote_style="", bool_is_str=False): """Convert a parameter value to string suitable to be passed to an EDA tool diff --git a/edalize/tools/ghdl.py b/edalize/tools/ghdl.py index 149585c42..847760c62 100644 --- a/edalize/tools/ghdl.py +++ b/edalize/tools/ghdl.py @@ -11,7 +11,6 @@ class Ghdl(Edatool): - description = "GHDL is an open source VHDL simulator, which fully supports IEEE 1076-1987, IEEE 1076-1993, IEE 1076-2002 and partially the 1076-2008 version of VHDL" TOOL_OPTIONS = { diff --git a/edalize/tools/icarus.py b/edalize/tools/icarus.py index 5db0fbda7..466341cb0 100644 --- a/edalize/tools/icarus.py +++ b/edalize/tools/icarus.py @@ -10,7 +10,6 @@ class Icarus(Edatool): - description = "Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format" TOOL_OPTIONS = { @@ -38,7 +37,6 @@ def setup(self, edam): unused_files = [] if True: - for key, value in self.vlogdefine.items(): scr_file.write( "+define+{}={}\n".format(key, self._param_value_str(value, "")) diff --git a/edalize/tools/icepack.py b/edalize/tools/icepack.py index c692416bc..962f8cef6 100644 --- a/edalize/tools/icepack.py +++ b/edalize/tools/icepack.py @@ -12,7 +12,6 @@ class Icepack(Edatool): - description = "Generate binary image for iCE40 FPGAs" TOOL_OPTIONS = { diff --git a/edalize/tools/icetime.py b/edalize/tools/icetime.py index 13b2e100a..ecce5992e 100644 --- a/edalize/tools/icetime.py +++ b/edalize/tools/icetime.py @@ -12,7 +12,6 @@ class Icetime(Edatool): - description = "Static timing analysis for iCE40 FPGAs" TOOL_OPTIONS = { diff --git a/edalize/tools/nextpnr.py b/edalize/tools/nextpnr.py index 13045b957..71884b9dc 100644 --- a/edalize/tools/nextpnr.py +++ b/edalize/tools/nextpnr.py @@ -9,7 +9,6 @@ class Nextpnr(Edatool): - description = "A portable FPGA place and route tool" TOOL_OPTIONS = { diff --git a/edalize/tools/sandpipersaas.py b/edalize/tools/sandpipersaas.py index baa18c61e..2ee36cf6a 100644 --- a/edalize/tools/sandpipersaas.py +++ b/edalize/tools/sandpipersaas.py @@ -12,7 +12,6 @@ class Sandpipersaas(Edatool): - description = "SandPiper SaaS Edition runs Redwood EDA's SandPiper™ TL-Verilog compiler as a microservice in the cloud to support low-overhead and zero-cost open-source development using commercial-grade capabilities" TOOL_OPTIONS = { diff --git a/edalize/tools/surelog.py b/edalize/tools/surelog.py index 48484808b..bb5698e7f 100644 --- a/edalize/tools/surelog.py +++ b/edalize/tools/surelog.py @@ -5,7 +5,6 @@ class Surelog(Edatool): - description = "SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler" TOOL_OPTIONS = { diff --git a/edalize/tools/sv2v.py b/edalize/tools/sv2v.py index 3e95660de..dc6192c52 100644 --- a/edalize/tools/sv2v.py +++ b/edalize/tools/sv2v.py @@ -3,7 +3,6 @@ class Sv2v(Edatool): - description = "SystemVerilog to Verilog conversion" TOOL_OPTIONS = { diff --git a/edalize/tools/yosys.py b/edalize/tools/yosys.py index 91b2892a9..01c2dfb7d 100644 --- a/edalize/tools/yosys.py +++ b/edalize/tools/yosys.py @@ -12,7 +12,6 @@ class Yosys(Edatool): - description = "Open source synthesis tool targeting many different FPGAs" TOOL_OPTIONS = { diff --git a/edalize/trellis.py b/edalize/trellis.py index 30ec006c9..ec9e68b3c 100644 --- a/edalize/trellis.py +++ b/edalize/trellis.py @@ -11,7 +11,6 @@ class Trellis(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/vcs.py b/edalize/vcs.py index 427060a4e..f5f878d1a 100644 --- a/edalize/vcs.py +++ b/edalize/vcs.py @@ -11,7 +11,6 @@ class Vcs(Edatool): - _description = """ Synopsys VCS Backend VCS is one of the "Big 3" simulators. diff --git a/edalize/veribleformat.py b/edalize/veribleformat.py index 0157db921..99e11df45 100644 --- a/edalize/veribleformat.py +++ b/edalize/veribleformat.py @@ -13,7 +13,6 @@ class Veribleformat(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/veriblelint.py b/edalize/veriblelint.py index 58c02e509..5ba97c6ff 100644 --- a/edalize/veriblelint.py +++ b/edalize/veriblelint.py @@ -13,7 +13,6 @@ class Veriblelint(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/edalize/vivado_reporting.py b/edalize/vivado_reporting.py index ffa852872..430cff639 100644 --- a/edalize/vivado_reporting.py +++ b/edalize/vivado_reporting.py @@ -33,7 +33,6 @@ class VivadoReporting(Reporting): - # Override non-default class variables _resource_rpt_pattern = "*_utilization_placed.rpt" _timing_rpt_pattern = "*_timing_summary_routed.rpt" @@ -154,12 +153,10 @@ def _parse_timing_summary_tables(time_rpt: str): @classmethod def report_resources(cls, report_file: str) -> Dict[str, pd.DataFrame]: - return cls._report_to_df(cls._parse_utilization_tables, report_file) @classmethod def report_timing(cls, report_file: str) -> Dict[str, pd.DataFrame]: - report = open(report_file, "r").read() timing = cls._parse_timing_summary_tables(report) @@ -213,7 +210,6 @@ def report_timing(cls, report_file: str) -> Dict[str, pd.DataFrame]: def report_summary( resources: Dict[str, pd.DataFrame], timing: Dict[str, pd.DataFrame] ) -> Dict[str, Union[int, float, Dict[str, Optional[float]]]]: - summary = {} # type: Dict[str, Union[int, float, Dict[str, Optional[float]]]] # Vivado uses different tables and row values for different families. diff --git a/edalize/xcelium.py b/edalize/xcelium.py index 9f6c67fe0..19bf5ef78 100644 --- a/edalize/xcelium.py +++ b/edalize/xcelium.py @@ -69,7 +69,6 @@ class Xcelium(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"] @classmethod diff --git a/edalize/xsim.py b/edalize/xsim.py index 851f497a0..5dd0849ff 100644 --- a/edalize/xsim.py +++ b/edalize/xsim.py @@ -12,7 +12,6 @@ class Xsim(Edatool): - argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"] MAKEFILE_TEMPLATE = """#Auto generated by Edalize diff --git a/edalize/yosys.py b/edalize/yosys.py index 3a02c8e3f..a457dd7fd 100644 --- a/edalize/yosys.py +++ b/edalize/yosys.py @@ -12,7 +12,6 @@ class Yosys(Edatool): - argtypes = ["vlogdefine", "vlogparam"] @classmethod diff --git a/tests/edalize_common.py b/tests/edalize_common.py index 1fb41e658..c49dabdf7 100644 --- a/tests/edalize_common.py +++ b/tests/edalize_common.py @@ -56,7 +56,6 @@ def __init__( use_vpi=False, toplevel="top_module", ): - raw_ref_dir = os.path.join(tests_dir, "test_" + tool_name, ref_dir) self.test_name = ( diff --git a/tests/edalize_flow_common.py b/tests/edalize_flow_common.py index 483797e2b..efa5c0086 100644 --- a/tests/edalize_flow_common.py +++ b/tests/edalize_flow_common.py @@ -50,7 +50,6 @@ def _flow_fixture( ref_subdir="", config_files=[], ): - edam = get_edam(flow_options=flow_options, files=files, toplevel=toplevel) ff = FlowFixture(flow_name, edam, tmp_path, ref_subdir) diff --git a/tests/edalize_tool_common.py b/tests/edalize_tool_common.py index 05e0d0fec..a6412dc37 100644 --- a/tests/edalize_tool_common.py +++ b/tests/edalize_tool_common.py @@ -51,7 +51,6 @@ def _tool_fixture( ref_subdir="", config_files=[], ): - tf = ToolFixture(tool_name, ref_subdir) edam = get_edam( diff --git a/tests/test_ghdl.py b/tests/test_ghdl.py index aaff4173c..40190ad3d 100644 --- a/tests/test_ghdl.py +++ b/tests/test_ghdl.py @@ -33,6 +33,7 @@ def test_ghdl_01(make_edalize_test): {"name": "vhdl_lfile", "file_type": "vhdlSource", "logical_name": "libx"}, ] + # Test 02 - no vhdl version specified def test_ghdl_02(make_edalize_test): tf = make_edalize_test( diff --git a/tests/test_mistral.py b/tests/test_mistral.py index 081adfdf7..27f38699b 100644 --- a/tests/test_mistral.py +++ b/tests/test_mistral.py @@ -6,7 +6,6 @@ def run_mistral_test(tf): - tf.backend.configure() tf.compare_files( diff --git a/tests/test_tool_yosys.py b/tests/test_tool_yosys.py index 39d4d858e..c1747a5d9 100644 --- a/tests/test_tool_yosys.py +++ b/tests/test_tool_yosys.py @@ -6,7 +6,6 @@ @pytest.mark.parametrize("arch", ["xilinx", "ice40", "ecp5"]) @pytest.mark.parametrize("output_format", ["json", "edif", "blif", "verilog"]) def test_tool_yosys(arch, output_format, tool_fixture): - tool_options = { "arch": arch, "output_format": output_format,