-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathaurora_reset.vhd
63 lines (52 loc) · 1.44 KB
/
aurora_reset.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03/18/2022 05:22:21 PM
-- Design Name:
-- Module Name: aurora_demo - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity aurora_reset is
Port ( clk : in STD_LOGIC;
reset : out STD_LOGIC
);
end aurora_reset;
architecture Behavioral of aurora_reset is
signal clk_cnt : integer := 0;
signal do_reset : std_logic := '1';
constant send_frequency : integer := 10000;
constant clock_frequency : integer := 100e6;
begin
reset <= do_reset;
process (clk, clk_cnt) begin
if rising_edge(clk) then
if do_reset = '1' then
clk_cnt <= clk_cnt + 1;
end if;
if clk_cnt >= 500 then
do_reset <= '0';
end if;
end if;
end process;
end Behavioral;