Skip to content

Commit 8b50bbe

Browse files
plaeswhitequark
authored andcommitted
Fix link to Yosys project
1 parent 8b2c251 commit 8b50bbe

File tree

1 file changed

+1
-1
lines changed

1 file changed

+1
-1
lines changed

index.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -96,7 +96,7 @@ This project provides packages for:
9696
* [package repository][nextpnr-pkg];
9797
* PyPI packages: [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-ice40?label=yowasp-nextpnr-ice40&color=green" alt="yowasp-nextpnr-ice40" class="badge">](https://pypi.org/project/yowasp-nextpnr-ice40/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-ecp5?label=yowasp-nextpnr-ecp5&color=green" alt="yowasp-nextpnr-ecp5" class="badge">](https://pypi.org/project/yowasp-nextpnr-ecp5/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-nexus?label=yowasp-nextpnr-nexus&color=green" alt="yowasp-nextpnr-nexus" class="badge">](https://pypi.org/project/yowasp-nextpnr-nexus/), [<img src="https://img.shields.io/pypi/v/yowasp-nextpnr-gowin?label=yowasp-nextpnr-gowin&color=green" alt="yowasp-nextpnr-gowin" class="badge">](https://pypi.org/project/yowasp-nextpnr-gowin/).
9898

99-
[yosys]: http://www.clifford.at/yosys
99+
[yosys]: https://yosyshq.net/yosys/
100100
[nextpnr]: https://github.com/YosysHQ/nextpnr/
101101
[icestorm]: https://github.com/YosysHQ/icestorm/
102102
[trellis]: https://github.com/YosysHQ/prjtrellis/

0 commit comments

Comments
 (0)