Skip to content

Commit

Permalink
FileCheck while_let_loops.
Browse files Browse the repository at this point in the history
  • Loading branch information
cjgillot committed Dec 2, 2023
1 parent c00068e commit 30a95b7
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion tests/mir-opt/const_prop/while_let_loops.rs
Original file line number Diff line number Diff line change
@@ -1,8 +1,9 @@
// skip-filecheck
// unit-test: ConstProp
// EMIT_MIR while_let_loops.change_loop_body.ConstProp.diff

pub fn change_loop_body() {
// CHECK-LABEL: fn change_loop_body(
// CHECK: switchInt(const 0_isize)
let mut _x = 0;
while let Some(0u32) = None {
_x = 1;
Expand Down

0 comments on commit 30a95b7

Please sign in to comment.