Skip to content

Commit

Permalink
wally: include coins.c and enables whitelist since required for eleme…
Browse files Browse the repository at this point in the history
…nts.c
  • Loading branch information
greenaddress authored and JamieDriver committed Oct 7, 2024
1 parent 99ee3bc commit ba071e6
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions components/libwally-core/CMakeLists.txt
Original file line number Diff line number Diff line change
Expand Up @@ -10,6 +10,7 @@ idf_component_register(SRCS
"upstream/src/bip39.c"
"upstream/src/bip85.c"
"upstream/src/blech32.c"
"upstream/src/coins.c"
"upstream/src/descriptor.c"
"upstream/src/ecdh.c"
"upstream/src/elements.c"
Expand Down Expand Up @@ -95,6 +96,7 @@ target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DENABLE_MODULE_RANGEPROO
target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DENABLE_MODULE_RECOVERY=1")
target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DENABLE_MODULE_SCHNORRSIG=1")
target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DENABLE_MODULE_SURJECTIONPROOF=1")
target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DENABLE_MODULE_WHITELIST=1")
target_compile_definitions(${COMPONENT_TARGET} PUBLIC "-DHAVE_BUILTIN_POPCOUNT=1")

add_custom_command(TARGET ${COMPONENT_LIB}
Expand Down

0 comments on commit ba071e6

Please sign in to comment.