Skip to content

Commit

Permalink
Add documentation license
Browse files Browse the repository at this point in the history
  • Loading branch information
Paebbels authored Jan 3, 2021
2 parents 1f411de + 88abbab commit 2fff511
Show file tree
Hide file tree
Showing 9 changed files with 512 additions and 119 deletions.
13 changes: 6 additions & 7 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -10,13 +10,13 @@
[![Codecov - Branch Coverage](https://img.shields.io/codecov/c/github/vhdl/pyVHDLModel?logo=Codecov)](https://codecov.io/gh/vhdl/pyVHDLModel)
[![Libraries.io SourceRank](https://img.shields.io/librariesio/sourcerank/pypi/pyVHDLModel)](https://libraries.io/github/vhdl/pyVHDLModel/sourcerank)
[![GitHub Workflow Release Status](https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Release?label=release&logo=GitHub%20Actions&logoColor=FFFFFF)](https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Release%22)
[![PyPI](https://img.shields.io/pypi/v/pyVHDLModel?logo=PyPI&logoColor=FFFFFF)](https://pypi.org/project/pyVHDLModel/)
![PyPI - Status](https://img.shields.io/pypi/status/pyVHDLModel?logo=PyPI&logoColor=FFFFFF)
![PyPI - Python Version](https://img.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI&logoColor=FFFFFF)
[![PyPI](https://img.shields.io/pypi/v/pyVHDLModel?logo=PyPI&logoColor=FBE072)](https://pypi.org/project/pyVHDLModel/)
![PyPI - Status](https://img.shields.io/pypi/status/pyVHDLModel?logo=PyPI&logoColor=FBE072)
![PyPI - Python Version](https://img.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI&logoColor=FBE072)
[![Libraries.io status for latest release](https://img.shields.io/librariesio/release/pypi/pyVHDLModel)](https://libraries.io/github/vhdl/pyVHDLModel)
[![Requires.io](https://img.shields.io/requires/github/VHDL/pyVHDLModel)](https://requires.io/github/VHDL/pyVHDLModel/requirements/?branch=main)
[![GitHub Workflow - Documentation Status](https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Documentation?label=documentation&logo=GitHub%20Actions&logoColor=FFFFFF)](https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Documentation%22)
[![Documentation License](https://img.shields.io/badge/doc%20license-CC--BY--4.0-green)](LICENSE.md)
[![Documentation License](https://img.shields.io/badge/doc%20license-CC--BY%204.0-green)](LICENSE.md)
[![Documentation - Read Now!](https://img.shields.io/badge/doc-read%20now%20%E2%9E%94-blueviolet)](https://vhdl.github.io/pyVHDLModel/)

# pyVHDLModel
Expand Down Expand Up @@ -52,9 +52,8 @@ frontends.

## License

This Python package (source code) is licensed under [Apache License 2.0](LICENSE.md).

<!-- The accompanying documentation is licensed under Creative Commons - Attribution-4.0 (CC-BY 4.0). -->
This Python package (source code) licensed under [Apache License 2.0](LICENSE.md).
The accompanying documentation is licensed under [Creative Commons - Attribution 4.0 (CC-BY 4.0)](doc/Doc-License.rst).

-------------------------
SPDX-License-Identifier: Apache-2.0
6 changes: 4 additions & 2 deletions doc/License.rst → doc/Code-License.rst
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
.. _LICENSE:
.. _SRCLICENSE:

.. Note:: This is a local copy of the `Apache License Version 2.0 <http://www.apache.org/licenses/LICENSE-2.0>`_.
This license applies to the source code of this project.

.. Note:: This is a local copy of the `Apache License Version 2.0 <http://www.apache.org/licenses/LICENSE-2.0>`__.

Apache License 2.0
##################
Expand Down
68 changes: 34 additions & 34 deletions doc/Dependency.rst

Large diffs are not rendered by default.

336 changes: 336 additions & 0 deletions doc/Doc-License.rst

Large diffs are not rendered by default.

4 changes: 2 additions & 2 deletions doc/conf.py
Original file line number Diff line number Diff line change
Expand Up @@ -36,8 +36,8 @@ def _LatestTagName():
return check_output(["git", "describe", "--abbrev=0", "--tags"], universal_newlines=True).strip()

# The full version, including alpha/beta/rc tags
version = "0.7" # The short X.Y version.
release = "0.7.7" # The full version, including alpha/beta/rc tags.
version = "0.8" # The short X.Y version.
release = "0.8.0" # The full version, including alpha/beta/rc tags.
try:
if _IsUnderGitControl:
latestTagName = _LatestTagName()[1:] # remove prefix "v"
Expand Down
43 changes: 25 additions & 18 deletions doc/index.rst
Original file line number Diff line number Diff line change
Expand Up @@ -6,19 +6,17 @@

.. only:: html

| |SHIELD:svg:pyVHDLModel-github| |SHIELD:svg:pyVHDLModel-license| |SHIELD:svg:pyVHDLModel-tag| |SHIELD:svg:pyVHDLModel-release| |SHIELD:svg:pyVHDLModel-date|
| |SHIELD:svg:pyVHDLModel-lib-status| |SHIELD:svg:pyVHDLModel-req-status| |SHIELD:svg:pyVHDLModel-lib-dep|
| |SHIELD:svg:pyVHDLModel-gha-test| |SHIELD:svg:pyVHDLModel-pypi-tag| |SHIELD:svg:pyVHDLModel-pypi-status| |SHIELD:svg:pyVHDLModel-pypi-python|
| |SHIELD:svg:pyVHDLModel-codacy-quality| |SHIELD:svg:pyVHDLModel-codacy-coverage| |SHIELD:svg:pyVHDLModel-codecov-coverage| |SHIELD:svg:pyVHDLModel-lib-rank|
| |SHIELD:svg:pyVHDLModel-gha-doc|
| |SHIELD:svg:pyVHDLModel-github| |SHIELD:svg:pyVHDLModel-src-license| |SHIELD:svg:pyVHDLModel-tag| |SHIELD:svg:pyVHDLModel-release| |SHIELD:svg:pyVHDLModel-date| |SHIELD:svg:pyVHDLModel-lib-dep|
| |SHIELD:svg:pyVHDLModel-gha-test| |SHIELD:svg:pyVHDLModel-codacy-quality| |SHIELD:svg:pyVHDLModel-codacy-coverage| |SHIELD:svg:pyVHDLModel-codecov-coverage| |SHIELD:svg:pyVHDLModel-lib-rank|
| |SHIELD:svg:pyVHDLModel-gha-release| |SHIELD:svg:pyVHDLModel-pypi-tag| |SHIELD:svg:pyVHDLModel-pypi-status| |SHIELD:svg:pyVHDLModel-pypi-python| |SHIELD:svg:pyVHDLModel-lib-status| |SHIELD:svg:pyVHDLModel-req-status|
| |SHIELD:svg:pyVHDLModel-gha-doc| |SHIELD:svg:pyVHDLModel-doc-license| |SHIELD:svg:pyVHDLModel-ghp-doc|
.. only:: latex

|SHIELD:png:pyVHDLModel-github| |SHIELD:png:pyVHDLModel-license| |SHIELD:png:pyVHDLModel-tag| |SHIELD:png:pyVHDLModel-release| |SHIELD:png:pyVHDLModel-date| |br|
|SHIELD:png:pyVHDLModel-lib-status| |SHIELD:png:pyVHDLModel-req-status| |SHIELD:png:pyVHDLModel-lib-dep| |br|
|SHIELD:png:pyVHDLModel-gha-test| |SHIELD:png:pyVHDLModel-pypi-tag| |SHIELD:png:pyVHDLModel-pypi-status| |SHIELD:png:pyVHDLModel-pypi-python| |br|
|SHIELD:png:pyVHDLModel-codacy-quality| |SHIELD:png:pyVHDLModel-codacy-coverage| |SHIELD:png:pyVHDLModel-codecov-coverage| |SHIELD:png:pyVHDLModel-lib-rank| |br|
|SHIELD:png:pyVHDLModel-gha-doc|
|SHIELD:png:pyVHDLModel-github| |SHIELD:png:pyVHDLModel-src-license| |SHIELD:png:pyVHDLModel-tag| |SHIELD:png:pyVHDLModel-release| |SHIELD:png:pyVHDLModel-date| |SHIELD:png:pyVHDLModel-lib-dep|
|SHIELD:png:pyVHDLModel-gha-test| |SHIELD:png:pyVHDLModel-codacy-quality| |SHIELD:png:pyVHDLModel-codacy-coverage| |SHIELD:png:pyVHDLModel-codecov-coverage| |SHIELD:png:pyVHDLModel-lib-rank|
|SHIELD:png:pyVHDLModel-gha-release| |SHIELD:png:pyVHDLModel-pypi-tag| |SHIELD:png:pyVHDLModel-pypi-status| |SHIELD:png:pyVHDLModel-pypi-python| |SHIELD:png:pyVHDLModel-lib-status| |SHIELD:png:pyVHDLModel-req-status|
|SHIELD:png:pyVHDLModel-gha-doc| |SHIELD:png:pyVHDLModel-doc-license| |SHIELD:png:pyVHDLModel-ghp-doc|

--------------------------------------------------------------------------------

Expand Down Expand Up @@ -49,8 +47,8 @@ frontends.
Use Cases
*********

* High-level API for `GHDL's <https://github.com/ghdl/ghdl>`_ `libghdl` offered via `pyGHDL <https://ghdl.github.io/ghdl/using/pyGHDL/index.html>`_.
* Code Document-Object-Model (Code-DOM) in `pyVHDLParser <https://github.com/Paebbels/pyVHDLParser>`_.
* High-level API for `GHDL's <https://github.com/ghdl/ghdl>`__ `libghdl` offered via `pyGHDL <https://ghdl.github.io/ghdl/using/pyGHDL/index.html>`__.
* Code Document-Object-Model (Code-DOM) in `pyVHDLParser <https://github.com/Paebbels/pyVHDLParser>`__.



Expand All @@ -62,7 +60,7 @@ News
.. only:: html

Jan. 2021 - Documentation enhancements
===================================
======================================

.. only:: latex

Expand Down Expand Up @@ -91,15 +89,23 @@ News
Contributors
************

* `Patrick Lehmann <https://github.com/Paebbels>`_ (Maintainer)
* `Unai Martinez-Corral <https://github.com/umarcor/>`_
* `and more... <https://github.com/VHDL/pyVHDLModel/graphs/contributors>`_
* `Patrick Lehmann <https://github.com/Paebbels>`__ (Maintainer)
* `Unai Martinez-Corral <https://github.com/umarcor/>`__
* `and more... <https://github.com/VHDL/pyVHDLModel/graphs/contributors>`__


License
*******

This library is licensed under **Apache License 2.0**.
.. only:: html

This Python package (source code) is licensed under `Apache License 2.0 <Code-License.html>`__. |br|
The accompanying documentation is licensed under `Creative Commons - Attribution 4.0 (CC-BY 4.0) <Doc-License.html>`__.

.. only:: latex

This Python package (source code) is licensed under **Apache License 2.0**. |br|
The accompanying documentation is licensed under **Creative Commons - Attribution 4.0 (CC-BY 4.0)**.

------------------------------------

Expand Down Expand Up @@ -149,7 +155,8 @@ This library is licensed under **Apache License 2.0**.
:hidden:

ChangeLog/index
License
Code-License
Doc-License
Glossary
genindex
py-modindex
157 changes: 103 additions & 54 deletions doc/shields.inc
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
# Beware that `?logo=data:image/png;base64,` must also be converted to
# percent encoding so that the URL is properly parsed.

.. # Sourcecode link to GitHub
.. |SHIELD:svg:pyVHDLModel-github| image:: https://img.shields.io/badge/vhdl-pyVHDLModel-323131?logo=github&longCache=true
:alt: Sourcecode on GitHub
:height: 22
Expand All @@ -12,13 +13,17 @@
:height: 22
:target: https://github.com/vhdl/pyVHDLModel

.. |SHIELD:svg:pyVHDLModel-license| image:: https://img.shields.io/badge/Apache%20License,%202.0-bd0000?longCache=true&label=license&logo=Apache&logoColor=D22128
:alt: License
.. # Sourcecode license
.. |SHIELD:svg:pyVHDLModel-src-license| image:: https://img.shields.io/pypi/l/pyVHDLModel?logo=GitHub&label=code%20license
:alt: Code license
:height: 22
.. |SHIELD:png:pyVHDLModel-license| image:: https://raster.shields.io/badge/Apache%20License,%202.0-bd0000?longCache=true&label=license&logo=Apache&logoColor=D22128
:alt: License
:target: Code-License.html
.. |SHIELD:png:pyVHDLModel-src-license| image:: https://img.shields.io/pypi/l/pyVHDLModel?logo=GitHub&label=code%20license
:alt: Code license
:height: 22
:target: https://github.com/VHDL/pyVHDLModel/blob/main/LICENSE.md

.. # GitHub tag
.. |SHIELD:svg:pyVHDLModel-tag| image:: https://img.shields.io/github/v/tag/vhdl/pyVHDLModel?logo=GitHub&include_prereleases
:alt: GitHub tag (latest SemVer incl. pre-release
:height: 22
Expand All @@ -28,6 +33,7 @@
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/tags

.. # GitHub release
.. |SHIELD:svg:pyVHDLModel-release| image:: https://img.shields.io/github/v/release/vhdl/pyVHDLModel?logo=GitHub&include_prereleases
:alt: GitHub release (latest SemVer incl. including pre-releases
:height: 22
Expand All @@ -37,6 +43,7 @@
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/releases/latest

.. # GitHub release date
.. |SHIELD:svg:pyVHDLModel-date| image:: https://img.shields.io/github/release-date/vhdl/pyVHDLModel?logo=GitHub
:alt: GitHub release date
:height: 22
Expand All @@ -46,56 +53,7 @@
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/releases

.. |SHIELD:svg:pyVHDLModel-gha-test| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Test%20and%20Coverage?label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Build and Test Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Test+and+Coverage%22
.. |SHIELD:png:pyVHDLModel-gha-test| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Test%20and%20Coverage?label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Build and Test Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Test+and+Coverage%22

.. |SHIELD:svg:pyVHDLModel-lib-status| image:: https://img.shields.io/librariesio/release/pypi/pyVHDLModel
:alt: Libraries.io status for latest release
:height: 22
:target: https://libraries.io/github/vhdl/pyVHDLModel
.. |SHIELD:png:pyVHDLModel-lib-status| image:: https://raster.shields.io/librariesio/release/pypi/pyVHDLModel
:alt: Libraries.io status for latest release
:height: 22
:target: https://libraries.io/github/vhdl/pyVHDLModel

.. |SHIELD:svg:pyVHDLModel-req-status| image:: https://img.shields.io/requires/github/VHDL/pyVHDLModel
:alt: Requires.io
:height: 22
:target: https://requires.io/github/VHDL/pyVHDLModel/requirements/?branch=main
.. |SHIELD:png:pyVHDLModel-req-status| image:: https://raster.shields.io/requires/github/VHDL/pyVHDLModel
:alt: Requires.io
:height: 22
:target: https://requires.io/github/VHDL/pyVHDLModel/requirements/?branch=main

.. |SHIELD:svg:pyVHDLModel-pypi-tag| image:: https://img.shields.io/pypi/v/pyVHDLModel?logo=PyPI
:alt: PyPI - Tag
:height: 22
:target: https://pypi.org/project/pyVHDLModel/
.. |SHIELD:png:pyVHDLModel-pypi-tag| image:: https://raster.shields.io/pypi/v/pyVHDLModel?logo=PyPI
:alt: PyPI - Tag
:height: 22
:target: https://pypi.org/project/pyVHDLModel/

.. |SHIELD:svg:pyVHDLModel-pypi-status| image:: https://img.shields.io/pypi/status/pyVHDLModel?logo=PyPI
:alt: PyPI - Status
:height: 22
.. |SHIELD:png:pyVHDLModel-pypi-status| image:: https://raster.shields.io/pypi/status/pyVHDLModel?logo=PyPI
:alt: PyPI - Status
:height: 22

.. |SHIELD:svg:pyVHDLModel-pypi-python| image:: https://img.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI
:alt: PyPI - Python Version
:height: 22
.. |SHIELD:png:pyVHDLModel-pypi-python| image:: https://raster.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI
:alt: PyPI - Python Version
:height: 22

.. # GitHub/Libraries dependent projects
.. |SHIELD:svg:pyVHDLModel-lib-dep| image:: https://img.shields.io/librariesio/dependent-repos/pypi/pyVHDLModel
:alt: Dependent repos (via libraries.io)
:height: 22
Expand All @@ -105,6 +63,17 @@
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/network/dependents

.. # GHA test and coverage
.. |SHIELD:svg:pyVHDLModel-gha-test| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Test%20and%20Coverage?label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Build and Test Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Test+and+Coverage%22
.. |SHIELD:png:pyVHDLModel-gha-test| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Test%20and%20Coverage?label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Build and Test Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Test+and+Coverage%22

.. # Codacy - quality
.. |SHIELD:svg:pyVHDLModel-codacy-quality| image:: https://img.shields.io/codacy/grade/2286426d2b11417e90010427b7fed8e7?logo=codacy
:alt: Codacy - Quality
:height: 22
Expand All @@ -114,6 +83,7 @@
:height: 22
:target: https://www.codacy.com/manual/vhdl/pyVHDLModel

.. # Codacy - coverage
.. |SHIELD:svg:pyVHDLModel-codacy-coverage| image:: https://img.shields.io/codacy/coverage/2286426d2b11417e90010427b7fed8e7?logo=codacy
:alt: Codacy - Line Coverage
:height: 22
Expand All @@ -123,6 +93,7 @@
:height: 22
:target: https://www.codacy.com/manual/vhdl/pyVHDLModel

.. # Codecov - coverage
.. |SHIELD:svg:pyVHDLModel-codecov-coverage| image:: https://img.shields.io/codecov/c/github/vhdl/pyVHDLModel
:alt: Codecov - Branch Coverage
:height: 22
Expand All @@ -132,6 +103,7 @@
:height: 22
:target: https://codecov.io/gh/vhdl/pyVHDLModel

.. # Libraries - source rank
.. |SHIELD:svg:pyVHDLModel-lib-rank| image:: https://img.shields.io/librariesio/sourcerank/pypi/pyVHDLModel
:alt: Libraries.io SourceRank
:height: 22
Expand All @@ -141,6 +113,63 @@
:height: 22
:target: https://libraries.io/github/vhdl/pyVHDLModel/sourcerank

.. # GHA release
.. |SHIELD:svg:pyVHDLModel-gha-release| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Release?label=release&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Release Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Release%22
.. |SHIELD:png:pyVHDLModel-gha-release| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Release?label=release&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Release Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Release%22

.. # PyPI tag
.. |SHIELD:svg:pyVHDLModel-pypi-tag| image:: https://img.shields.io/pypi/v/pyVHDLModel?logo=PyPI
:alt: PyPI - Tag
:height: 22
:target: https://pypi.org/project/pyVHDLModel/
.. |SHIELD:png:pyVHDLModel-pypi-tag| image:: https://raster.shields.io/pypi/v/pyVHDLModel?logo=PyPI
:alt: PyPI - Tag
:height: 22
:target: https://pypi.org/project/pyVHDLModel/

.. # PyPI project status
.. |SHIELD:svg:pyVHDLModel-pypi-status| image:: https://img.shields.io/pypi/status/pyVHDLModel?logo=PyPI
:alt: PyPI - Status
:height: 22
.. |SHIELD:png:pyVHDLModel-pypi-status| image:: https://raster.shields.io/pypi/status/pyVHDLModel?logo=PyPI
:alt: PyPI - Status
:height: 22

.. # PyPI Python versions
.. |SHIELD:svg:pyVHDLModel-pypi-python| image:: https://img.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI
:alt: PyPI - Python Version
:height: 22
.. |SHIELD:png:pyVHDLModel-pypi-python| image:: https://raster.shields.io/pypi/pyversions/pyVHDLModel?logo=PyPI
:alt: PyPI - Python Version
:height: 22

.. # Libraries - status
.. |SHIELD:svg:pyVHDLModel-lib-status| image:: https://img.shields.io/librariesio/release/pypi/pyVHDLModel
:alt: Libraries.io status for latest release
:height: 22
:target: https://libraries.io/github/vhdl/pyVHDLModel
.. |SHIELD:png:pyVHDLModel-lib-status| image:: https://raster.shields.io/librariesio/release/pypi/pyVHDLModel
:alt: Libraries.io status for latest release
:height: 22
:target: https://libraries.io/github/vhdl/pyVHDLModel

.. # Requires - status
.. |SHIELD:svg:pyVHDLModel-req-status| image:: https://img.shields.io/requires/github/VHDL/pyVHDLModel
:alt: Requires.io
:height: 22
:target: https://requires.io/github/VHDL/pyVHDLModel/requirements/?branch=main
.. |SHIELD:png:pyVHDLModel-req-status| image:: https://raster.shields.io/requires/github/VHDL/pyVHDLModel
:alt: Requires.io
:height: 22
:target: https://requires.io/github/VHDL/pyVHDLModel/requirements/?branch=main

.. # GHA - documentation
.. |SHIELD:svg:pyVHDLModel-gha-doc| image:: https://img.shields.io/github/workflow/status/vhdl/pyVHDLModel/Documentation?label=Documentation&logo=GitHub%20Actions&logoColor=FFFFFF
:alt: GitHub Workflow - Documentation Status
:height: 22
Expand All @@ -149,3 +178,23 @@
:alt: GitHub Workflow - Documentation Status
:height: 22
:target: https://github.com/vhdl/pyVHDLModel/actions?query=workflow%3A%22Documentation%22

.. # Documentation license
.. |SHIELD:svg:pyVHDLModel-doc-license| image:: https://img.shields.io/badge/doc%20license-CC--BY%204.0-green
:alt: Documentation License
:height: 22
:target: Doc-License.html
.. |SHIELD:png:pyVHDLModel-doc-license| image:: https://img.shields.io/badge/doc%20license-CC--BY%204.0-green
:alt: Documentation License
:height: 22
:target: https://github.com/VHDL/pyVHDLModel/blob/main/doc/Doc-License.rst

.. # GHPages - read now
.. |SHIELD:svg:pyVHDLModel-ghp-doc| image:: https://img.shields.io/badge/doc-read%20now%20%E2%9E%94-blueviolet
:alt: Documentation - Read Now!
:height: 22
:target: https://vhdl.github.io/pyVHDLModel/
.. |SHIELD:png:pyVHDLModel-ghp-doc| image:: https://img.shields.io/badge/doc-read%20now%20%E2%9E%94-blueviolet
:alt: Documentation - Read Now!
:height: 22
:target: https://vhdl.github.io/pyVHDLModel/
2 changes: 1 addition & 1 deletion pyVHDLModel/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -41,4 +41,4 @@
:copyright: Copyright 2007-2021 Patrick Lehmann - Bötzingen, Germany
:license: Apache License, Version 2.0
"""
__version__ = "0.7.7"
__version__ = "0.8.0"
2 changes: 1 addition & 1 deletion setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -53,7 +53,7 @@
# Assemble all package information
setuptools_setup(
name=projectName,
version="0.7.7",
version="0.8.0",

author="Patrick Lehmann",
author_email="Paebbels@gmail.com",
Expand Down

0 comments on commit 2fff511

Please sign in to comment.