Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Tang Nano 9k: rPLL is not working at all #244

Closed
tmssngr opened this issue Apr 29, 2024 · 6 comments
Closed

Tang Nano 9k: rPLL is not working at all #244

tmssngr opened this issue Apr 29, 2024 · 6 comments

Comments

@tmssngr
Copy link

tmssngr commented Apr 29, 2024

  • clone the branch rpll-test from https://github.com/tmssngr/fpga
  • with Gowin IDE open the video-signal/video-signal.gprj, run "Run All", upload to the Tang Nano 9k
    -> the Tang Nano 9k counts the LEDs slowly up (and on the connected Video output a rectangle is shown)
  • now edit video-signal/src/build.cmd to contain the correct path to oss-cad-suite (I'm tried with oss-cad-suite-240428)
  • (with zadig ensure that for JTAG Debugger (Interface 0) replaced the driver to WinUSB)
  • run video-signal/src/build.cmd
    -> no LED counts up (no rect on the Video output shown)

Am I doing something obvious wrong or is the rPLL not supported (with this configuration)?

@pepijndevos
Copy link
Member

Could you try with #241 ?

@tmssngr
Copy link
Author

tmssngr commented Apr 29, 2024

Which official build I should try (I can't build on my own)?

@pepijndevos
Copy link
Member

There isn't an official release with an unmerged pull request.
We do however compile a Python package on CI, as well as build the examples.
So if you can obtain a new enough nextpnr you could use it together with the provided python package.
If you are unable to obtain a nextpnr newer than 3 weeks, you can at least verify that the PLL examples in the himbaechel folder work correctly.

If you scroll down to artifacts you will find examples-main-master with ready to use bitstreams, and python-dist for use with a recent nextpnr.
https://github.com/YosysHQ/apicula/actions/runs/8593362463?pr=241

@yrabbit
Copy link
Collaborator

yrabbit commented Apr 29, 2024

Still waiting for nextpnr-himbaechel to be added:
YosysHQ/oss-cad-suite-build#109

And so the LEDs are blinking, I can take a video signal from the pins, but something tells me that everything is fine there too.

#!/bin/sh
yosys -p "read_verilog top.v gowin_rpll/gowin_rpll.v VbsGenerator.v; synth_gowin -top top -json synth.json"
nextpnr-himbaechel --json synth.json --write project.json --device GW1NR-LV9QN88PC6/I5 --vopt family=GW1N-9C --vopt cst=tangnano9k.cst
gowin_pack -d GW1N-9C -o project.fs project.json
openFPGALoader -c ft2232 project.fs
VID_20240430_062522.mp4

@tmssngr
Copy link
Author

tmssngr commented Apr 30, 2024

That looks perfectly fine. I'll wait until an official build will contain the fix/change.

@pepijndevos
Copy link
Member

fixed in #241

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants