Skip to content

8-bit ALU which perform 4 different operations based on the Opcode – 00 : Addition , 01: AND , 10 : XOR , 11 : Multiplication.

Notifications You must be signed in to change notification settings

ashuparija/CS-221_Coding_Project-1

About

8-bit ALU which perform 4 different operations based on the Opcode – 00 : Addition , 01: AND , 10 : XOR , 11 : Multiplication.

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published