Skip to content

Commit

Permalink
addjust XRAY_ROI to exactly use one tile for 018-clb-ram
Browse files Browse the repository at this point in the history
  • Loading branch information
hansfbaier committed Nov 16, 2022
1 parent 3392b8f commit ac56b31
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions settings/kintex7.sh
Original file line number Diff line number Diff line change
Expand Up @@ -17,10 +17,10 @@ export XRAY_EXCLUDE_ROI_TILEGRID=""
export XRAY_IOI3_TILES="LIOI3_X0Y9 RIOI_X43Y9"

# These settings must remain in sync
export XRAY_ROI="SLICE_X0Y50:SLICE_X19Y99 DSP48_X0Y20:DSP48_X0Y39 RAMB18_X0Y0:RAMB18_X3Y39 RAMB36_X0Y0:RAMB36_X3Y19 IOB_X0Y50:IOB_X0Y99 IOB_X1Y50:IOB_X1Y99"
export XRAY_ROI="SLICE_X0Y50:SLICE_X23Y99 DSP48_X0Y20:DSP48_X0Y39 RAMB18_X0Y0:RAMB18_X3Y39 RAMB36_X0Y0:RAMB36_X3Y19 IOB_X0Y50:IOB_X0Y99 IOB_X1Y50:IOB_X1Y99"
# Part of CMT X0Y1
export XRAY_ROI_GRID_X1="0"
export XRAY_ROI_GRID_X2="116"
export XRAY_ROI_GRID_X2="65"
# Include VBRK / VTERM
export XRAY_ROI_GRID_Y1="104"
export XRAY_ROI_GRID_Y2="156"
Expand Down

0 comments on commit ac56b31

Please sign in to comment.