Skip to content

Commit

Permalink
Merge branch 'timing'
Browse files Browse the repository at this point in the history
  • Loading branch information
yashton committed Nov 8, 2024
2 parents 88c2739 + 61f091f commit b06fb81
Show file tree
Hide file tree
Showing 95 changed files with 2,300 additions and 4,053 deletions.
2 changes: 2 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -11,3 +11,5 @@ examples/abc/scala/project
examples/abc/scala/target

tests/**/*.v
*.pdf
*.dot
5 changes: 3 additions & 2 deletions benchmarks/.gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -3,11 +3,12 @@
*.migscript.*
*.abc.*

*.direct_*.*
*.mixed_*.*
*.unoptimized.*
*.exploded.*
*.xmgscript.*
*.lsoracle_old.*
*.*_plugin.*
*.*_direct.*
*.timing_direct*.*
*.timing_yosys*.*
*.mapped.v
Expand Down
6 changes: 3 additions & 3 deletions benchmarks/EPFL/adder.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/arbiter.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/bar.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/i2c.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/log2.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/max.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/mem_ctrl.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
6 changes: 3 additions & 3 deletions benchmarks/EPFL/multiplier.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -period 0 -name virtual_io_clock
set_input_delay -clock virtual_io_clock -max 0 [get_ports {*}]
set_output_delay -clock virtual_io_clock -max 0 [get_ports {*}]
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
193 changes: 144 additions & 49 deletions benchmarks/Makefile

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion benchmarks/ibex/ibex.sdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
create_clock -name clk -period 10 {clk}
create_clock -name clk -period 1 {clk}
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
81 changes: 3 additions & 78 deletions benchmarks/iscas85/c1335.sdc
Original file line number Diff line number Diff line change
@@ -1,78 +1,3 @@
create_clock -name clk -period 10 {}
set_input_delay -clock clk 0 {
G9
G8
G7
G6
G5
G41
G40
G4
G39
G38
G37
G36
G35
G34
G33
G32
G31
G30
G3
G29
G28
G27
G26
G25
G24
G23
G22
G21
G20
G2
G19
G18
G17
G16
G15
G14
G13
G12
G11
G10
G1
}
set_output_delay -clock clk 0 {
G1355
G1354
G1353
G1352
G1351
G1350
G1349
G1348
G1347
G1346
G1345
G1344
G1343
G1342
G1341
G1340
G1339
G1338
G1337
G1336
G1335
G1334
G1333
G1332
G1331
G1330
G1329
G1328
G1327
G1326
G1325
G1324
}
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
66 changes: 3 additions & 63 deletions benchmarks/iscas85/c1908.sdc
Original file line number Diff line number Diff line change
@@ -1,63 +1,3 @@
create_clock -name clk -period 10 {}
set_input_delay -clock clk 0 {
N99
N94
N91
N88
N85
N82
N79
N76
N72
N7
N69
N66
N63
N60
N56
N53
N49
N46
N43
N40
N4
N37
N34
N31
N28
N25
N22
N19
N16
N13
N104
N10
N1
}
set_output_delay -clock clk 0 {
N2899
N2892
N2891
N2890
N2889
N2888
N2887
N2886
N2811
N2787
N2786
N2785
N2784
N2783
N2782
N2781
N2780
N2779
N2768
N2767
N2762
N2756
N2755
N2754
N2753
}
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
66 changes: 3 additions & 63 deletions benchmarks/iscas85/c1908a.sdc
Original file line number Diff line number Diff line change
@@ -1,63 +1,3 @@
create_clock -name clk -period 10 {}
set_input_delay -clock clk 0 {
G9
G8
G7
G6
G5
G4
G33
G32
G31
G30
G3
G29
G28
G27
G26
G25
G24
G23
G22
G21
G20
G2
G19
G18
G17
G16
G15
G14
G13
G12
G11
G10
G1
}
set_output_delay -clock clk 0 {
G1908
G1907
G1906
G1905
G1904
G1903
G1902
G1901
G1900
G1899
G1898
G1897
G1896
G1895
G1894
G1893
G1892
G1891
G1890
G1889
G1888
G1887
G1886
G1885
G1884
}
create_clock -name clk -period 0
set_input_delay -clock clk -max 0 [all_inputs]
set_output_delay -clock clk -max 0 [all_outputs]
Loading

0 comments on commit b06fb81

Please sign in to comment.