Skip to content

Commit

Permalink
Reformat with black
Browse files Browse the repository at this point in the history
  • Loading branch information
olofk committed Dec 14, 2023
1 parent 18972c4 commit 3964a44
Show file tree
Hide file tree
Showing 56 changed files with 3 additions and 63 deletions.
1 change: 0 additions & 1 deletion edalize/apicula.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Apicula(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/ascentlint.py
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@


class Ascentlint(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/design_compiler.py
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,6 @@


class Design_compiler(Edatool):

argtypes = ["vlogdefine", "vlogparam", "generic"]

@classmethod
Expand Down
1 change: 1 addition & 0 deletions edalize/edatool.py
Original file line number Diff line number Diff line change
Expand Up @@ -105,6 +105,7 @@ def subprocess_run_3_9(
else:
run = subprocess.run


# Jinja2 tests and filters, available in all templates
def jinja_filter_param_value_str(value, str_quote_style="", bool_is_str=False):
"""
Expand Down
5 changes: 0 additions & 5 deletions edalize/flows/edaflow.py
Original file line number Diff line number Diff line change
Expand Up @@ -96,7 +96,6 @@ def fromdict(cls, d):
# was popped and graph is not satisifiable
_d2 = _d.copy()
for k, v in _d2.items():

# It is safe to pop the element if all dependencies of the node
# exist in the graph already
if set(v.get("deps", [])) <= set(c.get_nodes()):
Expand Down Expand Up @@ -127,7 +126,6 @@ def get_nodes(self):


class Edaflow(object):

FLOW_OPTIONS = {}

@classmethod
Expand Down Expand Up @@ -160,7 +158,6 @@ def _require_flow_option(cls, flow_options, option_name):
def get_filtered_tool_options(cls, tools, flow_defined_tool_options):
tool_opts = {}
for tool_name in tools:

# Get available tool options from each tool in the list
try:
class_tool_options = getattr(
Expand Down Expand Up @@ -252,7 +249,6 @@ def add_scripts(self, depends, hook_name):
last_script = depends
hooks = self.edam.get("hooks", {})
for script in hooks.get(hook_name, []):

# _env = self.env.copy()
# if 'env' in script:
# _env.update(script['env'])
Expand Down Expand Up @@ -303,7 +299,6 @@ def set_run_command(self):
self.commands.add([], ["run"], ["pre_run"])

def configure(self):

# Write tool-specific config files
for node in self.flow.get_nodes().values():
node.inst.configure()
Expand Down
1 change: 0 additions & 1 deletion edalize/flows/f4pga.py
Original file line number Diff line number Diff line change
Expand Up @@ -94,7 +94,6 @@ class F4pga(Edaflow):

# Creates the flow tree with Yosys and VPR or NextPNR nodes
def configure_flow(self, flow_options):

# Set target
# toplevel = self.edam["toplevel"]
self.name = self.edam["name"]
Expand Down
1 change: 0 additions & 1 deletion edalize/flows/icestorm.py
Original file line number Diff line number Diff line change
Expand Up @@ -42,7 +42,6 @@ def get_tool_options(cls, flow_options):
return cls.get_filtered_tool_options(tools, flow_defined_tool_options)

def configure_flow(self, flow_options):

flow = self._flow.copy()

# Add any user-specified frontends to the flow
Expand Down
1 change: 0 additions & 1 deletion edalize/flows/vivado.py
Original file line number Diff line number Diff line change
Expand Up @@ -70,7 +70,6 @@ def configure_flow(self, flow_options):

def run(self):
if self.flow_options.get("pgm"):

# Get run command from tool instance
vivado_inst = self.flow.get_node("vivado").inst
(cmd, args, cwd) = vivado_inst.run()
Expand Down
1 change: 0 additions & 1 deletion edalize/flows/vpr.py
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,6 @@ class Vpr(Edaflow):
FLOW_OPTIONS = {}

def configure_flow(self, flow_options):

flow = {
"yosys": {"ftdo": {"output_format": "blif"}},
"vpr": {"deps": ["yosys"]},
Expand Down
1 change: 0 additions & 1 deletion edalize/gatemate.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Gatemate(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/genus.py
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,6 @@


class Genus(Edatool):

argtypes = ["vlogdefine", "vlogparam", "generic"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/ghdl.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Ghdl(Edatool):

argtypes = ["vlogparam", "generic"]

@classmethod
Expand Down
2 changes: 0 additions & 2 deletions edalize/icarus.py
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,6 @@


class Icarus(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam"]

@classmethod
Expand Down Expand Up @@ -105,7 +104,6 @@ def configure_main(self):
f.close()

with open(os.path.join(self.work_root, "Makefile"), "w") as f:

f.write("TARGET := {}\n".format(self.name))
_vpi_modules = " ".join([m["name"] + ".vpi" for m in self.vpi_modules])
if _vpi_modules:
Expand Down
1 change: 0 additions & 1 deletion edalize/icestorm.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Icestorm(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/ise.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,6 @@


class Ise(Edatool):

argtypes = ["vlogdefine", "vlogparam", "generic"]

MAKEFILE_TEMPLATE = """#Auto generated by Edalize
Expand Down
1 change: 0 additions & 1 deletion edalize/ise_reporting.py
Original file line number Diff line number Diff line change
Expand Up @@ -260,7 +260,6 @@ def report_timing(cls, report_file: str) -> Dict[str, Any]:

@staticmethod
def report_summary(resources: Dict[str, pd.DataFrame], timing: Dict[str, Any]):

util = resources["Utilization by Hierarchy"]

# Find a column beginning with DSP since we don't know if it's
Expand Down
1 change: 0 additions & 1 deletion edalize/isim.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Isim(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam"]

MAKEFILE_TEMPLATE = """#Auto generated by Edalize
Expand Down
1 change: 0 additions & 1 deletion edalize/mistral.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Mistral(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/modelsim.py
Original file line number Diff line number Diff line change
Expand Up @@ -71,7 +71,6 @@


class Modelsim(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/openfpga.py
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,6 @@


class Openfpga(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/openlane.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,6 @@


class Openlane(Edatool):

argtypes = ["vlogdefine"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/openroad.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Openroad(Edatool):

_description = """OpenROAD-flow-scripts
"""

Expand Down
1 change: 0 additions & 1 deletion edalize/oxide.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Oxide(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/quartus.py
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,6 @@


class Quartus(Edatool):

argtypes = ["vlogdefine", "vlogparam", "generic"]

# Define Standard edition to be our default version
Expand Down
1 change: 0 additions & 1 deletion edalize/quartus_reporting.py
Original file line number Diff line number Diff line change
Expand Up @@ -82,7 +82,6 @@ def report_resources(cls, report_file: str) -> Dict[str, pd.DataFrame]:
def report_summary(
resources: pd.DataFrame, timing: Dict[str, pd.DataFrame]
) -> Dict[str, Union[int, float]]:

util = resources["Fitter Resource Utilization by Entity"].iloc[0]

resource_buckets = {
Expand Down
1 change: 0 additions & 1 deletion edalize/questaformal.py
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,6 @@


class Questaformal(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam", "generic"]

@classmethod
Expand Down
1 change: 0 additions & 1 deletion edalize/rivierapro.py
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,6 @@


class Rivierapro(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam"]

@classmethod
Expand Down
2 changes: 0 additions & 2 deletions edalize/sandpipersaas.py
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,6 @@


class Sandpipersaas(Edatool):

argtypes = ["plusarg", "vlogdefine", "vlogparam"]

@classmethod
Expand Down Expand Up @@ -73,7 +72,6 @@ def get_doc(cls, api_ver):
}

def configure_main(self):

if len(self.files) > 1:
raise RuntimeError("Only 1 TL-V file is allowed")

Expand Down
1 change: 0 additions & 1 deletion edalize/slang.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@


class Slang(Edatool):

_description = """Slang System Verilog Frontend
slang is a software library that provides various
components for lexing, parsing, type checking, and elaborating SystemVerilog code.
Expand Down
1 change: 0 additions & 1 deletion edalize/spyglass.py
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@


class Spyglass(Edatool):

_description = """ Synopsys (formerly Atrenta) Spyglass Backend
Spyglass performs static source code analysis on HDL code and checks for common
Expand Down
1 change: 0 additions & 1 deletion edalize/symbiflow.py
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,6 @@


class Symbiflow(Edatool):

argtypes = ["vlogdefine", "vlogparam", "generic"]
archs = ["xilinx", "fpga_interchange"]
fpga_interchange_families = ["xc7"]
Expand Down
1 change: 0 additions & 1 deletion edalize/symbiyosys.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,6 @@


class Symbiyosys(Edatool):

_description = """SymbiYosys backend
SymbiYosys is a wrapper around yosys to make it easier to do formal
Expand Down
1 change: 1 addition & 0 deletions edalize/tools/edatool.py
Original file line number Diff line number Diff line change
Expand Up @@ -6,6 +6,7 @@
from jinja2 import Environment, PackageLoader
from edalize.utils import EdaCommands


# Jinja2 tests and filters, available in all templates
def jinja_filter_param_value_str(value, str_quote_style="", bool_is_str=False):
"""Convert a parameter value to string suitable to be passed to an EDA tool
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/ghdl.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Ghdl(Edatool):

description = "GHDL is an open source VHDL simulator, which fully supports IEEE 1076-1987, IEEE 1076-1993, IEE 1076-2002 and partially the 1076-2008 version of VHDL"

TOOL_OPTIONS = {
Expand Down
2 changes: 0 additions & 2 deletions edalize/tools/icarus.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,6 @@


class Icarus(Edatool):

description = "Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format"

TOOL_OPTIONS = {
Expand Down Expand Up @@ -38,7 +37,6 @@ def setup(self, edam):
unused_files = []

if True:

for key, value in self.vlogdefine.items():
scr_file.write(
"+define+{}={}\n".format(key, self._param_value_str(value, ""))
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/icepack.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@


class Icepack(Edatool):

description = "Generate binary image for iCE40 FPGAs"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/icetime.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@


class Icetime(Edatool):

description = "Static timing analysis for iCE40 FPGAs"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/nextpnr.py
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@


class Nextpnr(Edatool):

description = "A portable FPGA place and route tool"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/sandpipersaas.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@


class Sandpipersaas(Edatool):

description = "SandPiper SaaS Edition runs Redwood EDA's SandPiper™ TL-Verilog compiler as a microservice in the cloud to support low-overhead and zero-cost open-source development using commercial-grade capabilities"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/surelog.py
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,6 @@


class Surelog(Edatool):

description = "SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/sv2v.py
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@


class Sv2v(Edatool):

description = "SystemVerilog to Verilog conversion"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/tools/yosys.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,6 @@


class Yosys(Edatool):

description = "Open source synthesis tool targeting many different FPGAs"

TOOL_OPTIONS = {
Expand Down
1 change: 0 additions & 1 deletion edalize/trellis.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,6 @@


class Trellis(Edatool):

argtypes = ["vlogdefine", "vlogparam"]

@classmethod
Expand Down
Loading

0 comments on commit 3964a44

Please sign in to comment.