-
Notifications
You must be signed in to change notification settings - Fork 22
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
constr translat, ric model, test updates
- Loading branch information
1 parent
82393df
commit 18f32f5
Showing
26 changed files
with
90 additions
and
55 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,6 +1,6 @@ | ||
create_design axi2axilite_bridge_prj | ||
|
||
target_device 1GE100-ES1 | ||
target_device 1VG28 | ||
|
||
#set path here | ||
|
||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,10 +1,10 @@ | ||
|
||
set_property mode Mode_BP_SDR_A_RX HP_1_CC_28_14P | ||
set_pin_loc reset HP_1_CC_28_14P | ||
set_property mode Mode_BP_SDR_A_RX HR_3_0_0P | ||
set_pin_loc reset HR_3_0_0P | ||
|
||
set_property mode Mode_RATE_4_A_TX HP_1_24_12P | ||
set_pin_loc counter[0] HP_1_24_12P f2g_tx_out[0]_A | ||
set_pin_loc counter[1] HP_1_24_12P f2g_tx_out[1]_A | ||
set_pin_loc counter[2] HP_1_24_12P f2g_tx_out[2]_A | ||
set_pin_loc counter[3] HP_1_24_12P f2g_tx_out[3]_A | ||
set_property mode Mode_RATE_4_A_TX HR_5_0_0P | ||
set_pin_loc counter[0] HR_5_0_0P f2g_tx_out[0]_A | ||
set_pin_loc counter[1] HR_5_0_0P f2g_tx_out[1]_A | ||
set_pin_loc counter[2] HR_5_0_0P f2g_tx_out[2]_A | ||
set_pin_loc counter[3] HR_5_0_0P f2g_tx_out[3]_A | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,10 +1,10 @@ | ||
|
||
set_property mode Mode_BP_SDR_A_RX HP_1_CC_28_14P | ||
set_pin_loc reset HP_1_CC_28_14P | ||
set_property mode Mode_BP_SDR_A_RX HR_3_0_0P | ||
set_pin_loc reset HR_3_0_0P | ||
|
||
set_property mode Mode_RATE_4_A_TX HP_1_24_12P | ||
set_pin_loc counter[0] HP_1_24_12P f2g_tx_out[0]_A | ||
set_pin_loc counter[1] HP_1_24_12P f2g_tx_out[1]_A | ||
set_pin_loc counter[2] HP_1_24_12P f2g_tx_out[2]_A | ||
set_pin_loc counter[3] HP_1_24_12P f2g_tx_out[3]_A | ||
set_property mode Mode_RATE_4_A_TX HR_5_0_0P | ||
set_pin_loc counter[0] HR_5_0_0P f2g_tx_out[0]_A | ||
set_pin_loc counter[1] HR_5_0_0P f2g_tx_out[1]_A | ||
set_pin_loc counter[2] HR_5_0_0P f2g_tx_out[2]_A | ||
set_pin_loc counter[3] HR_5_0_0P f2g_tx_out[3]_A | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,9 +1,9 @@ | ||
set_property mode Mode_BP_SDR_A_RX HP_1_CC_28_14P | ||
set_pin_loc d HP_1_CC_28_14P | ||
set_property mode Mode_BP_SDR_A_RX HR_3_0_0P | ||
set_pin_loc d HR_3_0_0P | ||
|
||
set_property mode Mode_BP_SDR_A_RX HP_1_26_13P | ||
set_pin_loc rstn HP_1_26_13P | ||
set_property mode Mode_BP_SDR_A_RX HR_2_0_0P | ||
set_pin_loc rstn HR_2_0_0P | ||
|
||
set_property mode Mode_BP_SDR_A_TX HP_1_24_12P | ||
set_pin_loc q HP_1_24_12P | ||
set_property mode Mode_BP_SDR_A_TX HR_5_0_0P | ||
set_pin_loc q HR_5_0_0P | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,25 @@ | ||
# /******************************************************************************* | ||
# Copyright (c) 2022-2024 Rapid Silicon | ||
# This source code contains proprietary information belonging to Rapid Silicon | ||
# (the "licensor") released under license and non-disclosure agreement to the | ||
# recipient (the "licensee"). | ||
# The information shared and protected by the license and non-disclosure agreement | ||
# includes but is not limited to the following: | ||
# * operational algorithms of the product | ||
# * logos, graphics, source code, and visual presentation of the product | ||
# * confidential operational information of the licensor | ||
# The recipient of this source code is NOT permitted to publicly disclose, | ||
# re-use, archive beyond the period of the license agreement, transfer to a | ||
# sub-licensee, or re-implement any portion of the content covered by the license | ||
# and non-disclosure agreement without the prior written consent of the licensor. | ||
# *********************************************************************************/ | ||
# Version : 2024.02 | ||
# Build : 1.0.0 | ||
# Hash : 28a24fb | ||
# Date : Feb 23 2024 | ||
# Type : Engineering | ||
# Log Time : Sun Feb 25 05:15:29 2024 GMT | ||
source /home/alain/Neulink-Semi/Raptor/build/share/raptor/etc/init/flow.tcl | ||
gui_start | ||
gui_stop; exit | ||
gui_stop |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,9 +1,9 @@ | ||
# SDC file example | ||
|
||
# Setting a clock frequency of 200 MHz (5nS period) | ||
create_clock -period 5 clk | ||
set_input_delay -max 0 -clock clk [get_ports {a}] | ||
set_input_delay -max 0 -clock clk [get_ports {b}] | ||
set_input_delay -max 0 -clock clk [get_ports {reset}] | ||
set_output_delay -max 0 -clock clk [get_ports {c}] | ||
#create_clock -period 5 clk | ||
#set_input_delay -max 0 -clock clk [get_ports {a}] | ||
#set_input_delay -max 0 -clock clk [get_ports {b}] | ||
#set_input_delay -max 0 -clock clk [get_ports {reset}] | ||
#set_output_delay -max 0 -clock clk [get_ports {c}] | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,5 +1,5 @@ | ||
# -name is used for creating virtual clock and for actual clock -name option will not be used | ||
create_clock -period 2.5 clock0 | ||
set_input_delay 1 -clock clock0 [get_ports {d}] | ||
set_input_delay 1 -clock clock0 [get_ports {rstn}] | ||
set_output_delay 1 -clock clock0 [get_ports {q}] | ||
#create_clock -period 2.5 clock0 | ||
#set_input_delay 1 -clock clock0 [get_ports {d}] | ||
#set_input_delay 1 -clock clock0 [get_ports {rstn}] | ||
#set_output_delay 1 -clock clock0 [get_ports {q}] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,9 +1,9 @@ | ||
set_property mode Mode_BP_SDR_A_RX HP_1_CC_28_14P | ||
set_pin_loc d HP_1_CC_28_14P | ||
#set_property mode Mode_BP_SDR_A_RX HP_1_CC_28_14P | ||
#set_pin_loc d HP_1_CC_28_14P | ||
|
||
set_property mode Mode_BP_SDR_A_RX HP_1_26_13P | ||
set_pin_loc rstn HP_1_26_13P | ||
#set_property mode Mode_BP_SDR_A_RX HP_1_26_13P | ||
#set_pin_loc rstn HP_1_26_13P | ||
|
||
set_property mode Mode_BP_SDR_A_TX HP_1_24_12P | ||
set_pin_loc q HP_1_24_12P | ||
#set_property mode Mode_BP_SDR_A_TX HP_1_24_12P | ||
#set_pin_loc q HP_1_24_12P | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters