Skip to content

Commit

Permalink
Enable IO inference (#1565)
Browse files Browse the repository at this point in the history
  • Loading branch information
alaindargelas authored Mar 6, 2024
1 parent de248bc commit f4252f4
Show file tree
Hide file tree
Showing 7 changed files with 16 additions and 16 deletions.
2 changes: 1 addition & 1 deletion CMakeLists.txt
Original file line number Diff line number Diff line change
Expand Up @@ -200,7 +200,7 @@ add_subdirectory(IP_Catalog)
# Raptor version
set(VERSION_MAJOR 1)
set(VERSION_MINOR 0)
set(VERSION_PATCH 6)
set(VERSION_PATCH 7)

execute_process(COMMAND git config --global --add safe.directory ${CMAKE_CURRENT_SOURCE_DIR}
COMMAND git rev-parse --short HEAD
Expand Down
2 changes: 1 addition & 1 deletion FOEDAG_rs
6 changes: 3 additions & 3 deletions tests/Testcases/and2_verilog/run_raptor.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -36,10 +36,10 @@ simulate rtl icarus

# Compilation
puts "Compiling $project_name..."
parser_type surelog

analyze
#synth_options -inferred_io
#parser_type surelog

synthesize delay
simulate gate icarus
#pnr_options --read_vpr_constraints and2_part.xml
Expand All @@ -48,7 +48,7 @@ place
route
sta
power
bitstream
simulate pnr icarus
bitstream

puts "Completed $project_name...\n"
10 changes: 5 additions & 5 deletions tests/Testcases/and2_wio/constraints.sdc
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
# SDC file example

# Setting a clock frequency of 200 MHz (5nS period)
#create_clock -period 5 clk
#set_input_delay -max 0 -clock clk [get_ports {a}]
#set_input_delay -max 0 -clock clk [get_ports {b}]
#set_input_delay -max 0 -clock clk [get_ports {reset}]
#set_output_delay -max 0 -clock clk [get_ports {c}]
create_clock -period 5 clk
set_input_delay -max 0 -clock clk [get_ports {a}]
set_input_delay -max 0 -clock clk [get_ports {b}]
set_input_delay -max 0 -clock clk [get_ports {reset}]
set_output_delay -max 0 -clock clk [get_ports {c}]

8 changes: 4 additions & 4 deletions tests/Testcases/oneff_wio/constraints.sdc
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# -name is used for creating virtual clock and for actual clock -name option will not be used
#create_clock -period 2.5 clock0
#set_input_delay 1 -clock clock0 [get_ports {d}]
#set_input_delay 1 -clock clock0 [get_ports {rstn}]
#set_output_delay 1 -clock clock0 [get_ports {q}]
create_clock -period 2.5 clock0
set_input_delay 1 -clock clock0 [get_ports {d}]
set_input_delay 1 -clock clock0 [get_ports {rstn}]
set_output_delay 1 -clock clock0 [get_ports {q}]
2 changes: 1 addition & 1 deletion yosys_verific_rs

0 comments on commit f4252f4

Please sign in to comment.