Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Mark provisional clusters as provisional for Darwin API. #27152

Conversation

bzbarsky-apple
Copy link
Contributor

@github-actions
Copy link

github-actions bot commented Jun 8, 2023

PR #27152: Size comparison from d4c7d47 to d85310b

Increases (1 build for nrfconnect)
platform target config section d4c7d47 d85310b change % change
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read/write) 1209920 1209936 16 0.0
text 838748 838752 4 0.0
Decreases (1 build for bl702)
platform target config section d4c7d47 d85310b change % change
bl702 lighting-app bl702+rpc .debug_info 49981972 49981970 -2 -0.0
.text 1051980 1051976 -4 -0.0
Full report (16 builds for bl602, bl702, cc32xx, k32w, linux, mbed, nrfconnect, qpg)
platform target config section d4c7d47 d85310b change % change
bl602 lighting-app bl602 (read/write) 1367930 1367930 0 0.0
.bss 87902 87902 0 0.0
.data 9648 9648 0 0.0
.text 1047898 1047898 0 0.0
bl602+rpc (read/write) 1414918 1414918 0 0.0
.bss 95950 95950 0 0.0
.data 10040 10040 0 0.0
.text 1079524 1079524 0 0.0
bl702 lighting-app bl702 (read only) 3358 3358 0 0.0
(read/write) 1199887 1199887 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 67601 67601 0 0.0
.bss_psram 24656 24656 0 0.0
.comment 48 48 0 0.0
.data 3952 3952 0 0.0
.debug_abbrev 1552228 1552228 0 0.0
.debug_aranges 149544 149544 0 0.0
.debug_frame 550504 550504 0 0.0
.debug_info 45032314 45032314 0 0.0
.debug_line 5606655 5606655 0 0.0
.debug_loc 3834222 3834222 0 0.0
.debug_ranges 398264 398264 0 0.0
.debug_str 4346217 4346217 0 0.0
.hbn 536 536 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 140 140 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 107264 107264 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 582063 582063 0 0.0
.symtab 175456 175456 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
978322 978322 0 0.0
bl702+rpc (read only) 3358 3358 0 0.0
(read/write) 1289395 1289395 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 75649 75649 0 0.0
.bss_psram 25056 25056 0 0.0
.comment 48 48 0 0.0
.data 4504 4504 0 0.0
.debug_abbrev 1711468 1711468 0 0.0
.debug_aranges 157848 157848 0 0.0
.debug_frame 578584 578584 0 0.0
.debug_info 49981972 49981970 -2 -0.0
.debug_line 6023019 6023019 0 0.0
.debug_loc 4037333 4037333 0 0.0
.debug_ranges 423760 423760 0 0.0
.debug_str 4772271 4772271 0 0.0
.hbn 536 536 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 156 156 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 121792 121792 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 643566 643566 0 0.0
.symtab 194016 194016 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
1051980 1051976 -4 -0.0
cc32xx lock CC3235SF_LAUNCHXL (blank) 0 0 0 0.0
(read only) 606178 606178 0 0.0
(read/write) 204164 204164 0 0.0
.ARM.attributes 44 44 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 197576 197576 0 0.0
.comment 206 206 0 0.0
.data 1468 1468 0 0.0
.debug_abbrev 952074 952074 0 0.0
.debug_aranges 118920 118920 0 0.0
.debug_frame 406188 406188 0 0.0
.debug_info 23111366 23111366 0 0.0
.debug_line 2880223 2880223 0 0.0
.debug_line_str 513 513 0 0.0
.debug_loc 33340 33340 0 0.0
.debug_loclists 1726586 1726586 0 0.0
.debug_ranges 4984 4984 0 0.0
.debug_rnglists 107524 107524 0 0.0
.debug_str 3786762 3786762 0 0.0
.ramVecs 780 780 0 0.0
.resetVecs 64 64 0 0.0
.rodata 104546 104546 0 0.0
.shstrtab 265 265 0 0.0
.stack 2048 2048 0 0.0
.strtab 484580 484580 0 0.0
.symtab 287712 287712 0 0.0
.text 499512 499512 0 0.0
k32w contact k32w0+release (read only) 584748 584748 0 0.0
(read/write) 90108 90108 0 0.0
.bss 66104 66104 0 0.0
.data 2168 2168 0 0.0
.text 584212 584212 0 0.0
light k32w0+release (read only) 583452 583452 0 0.0
(read/write) 89756 89756 0 0.0
.bss 65768 65768 0 0.0
.data 2156 2156 0 0.0
.text 582916 582916 0 0.0
lock k32w0+release (read only) 556044 556044 0 0.0
(read/write) 87556 87556 0 0.0
.bss 63632 63632 0 0.0
.data 2100 2100 0 0.0
.text 555508 555508 0 0.0
linux chip-tool-ipv6only arm64 (read only) 14966552 14966552 0 0.0
(read/write) 762680 762680 0 0.0
.bss 34408 34408 0 0.0
.data 3008 3008 0 0.0
.data.rel.ro 703288 703288 0 0.0
.dynamic 560 560 0 0.0
.got 16728 16728 0 0.0
.init 24 24 0 0.0
.init_array 224 224 0 0.0
.rodata 623944 623944 0 0.0
.text 12538724 12538724 0 0.0
thermostat-no-ble arm64 (read only) 2613892 2613892 0 0.0
(read/write) 142936 142936 0 0.0
.bss 52344 52344 0 0.0
.data 1736 1736 0 0.0
.data.rel.ro 79240 79240 0 0.0
.dynamic 560 560 0 0.0
.got 5512 5512 0 0.0
.init 24 24 0 0.0
.init_array 416 416 0 0.0
.rodata 129712 129712 0 0.0
.text 2218756 2218756 0 0.0
mbed lock-app CY8CPROTO_062_4343W+release (read only) 6224 6224 0 0.0
(read/write) 2497920 2497920 0 0.0
.bss 216304 216304 0 0.0
.data 5144 5144 0 0.0
.text 1460604 1460604 0 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1209920 1209936 16 0.0
bss 154745 154745 0 0.0
rodata 137380 137380 0 0.0
text 838748 838752 4 0.0
nrf7002dk_nrf5340_cpuapp (read only) 4 4 0 0.0
(read/write) 1312804 1312804 0 0.0
bss 138075 138075 0 0.0
rodata 132816 132816 0 0.0
text 751200 751200 0 0.0
all-clusters-minimal-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1148292 1148292 0 0.0
bss 153377 153377 0 0.0
rodata 111096 111096 0 0.0
text 804748 804748 0 0.0
qpg lighting-app qpg6105+debug (read/write) 1170572 1170572 0 0.0
.bss 99944 99944 0 0.0
.data 852 852 0 0.0
.text 617668 617668 0 0.0
lock-app qpg6105+debug (read/write) 1139852 1139852 0 0.0
.bss 94824 94824 0 0.0
.data 856 856 0 0.0
.text 586952 586952 0 0.0

@bzbarsky-apple bzbarsky-apple force-pushed the mark-clusters-provisional-darwin branch from d85310b to d560db6 Compare June 8, 2023 14:24
@github-actions
Copy link

github-actions bot commented Jun 8, 2023

PR #27152: Size comparison from d4c7d47 to d560db6

Increases (7 builds for esp32, nrfconnect, telink)
platform target config section d4c7d47 d560db6 change % change
esp32 all-clusters-app c3devkit (read only) 1076348 1076350 2 0.0
.flash.text 1076348 1076350 2 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read/write) 1209920 1209936 16 0.0
text 838748 838752 4 0.0
telink all-clusters-app tlsr9518adk80d (read/write) 1033900 1033908 8 0.0
text 707580 707582 2 0.0
bridge-app tlsr9518adk80d (read/write) 928768 928776 8 0.0
text 641872 641874 2 0.0
lighting-app tlsr9518adk80d text 678692 678694 2 0.0
temperature-measurement-app tlsr9518adk80d text 576738 576740 2 0.0
thermostat tlsr9518adk80d text 615986 615990 4 0.0
Decreases (7 builds for esp32, psoc6, telink)
platform target config section d4c7d47 d560db6 change % change
esp32 all-clusters-app m5stack (read/write) 494250 494246 -4 -0.0
.flash.rodata 244028 244024 -4 -0.0
psoc6 lock cy8ckit_062s2_43012 .debug_info 26074739 26074738 -1 -0.0
telink all-clusters-minimal-app tlsr9518adk80d text 664838 664836 -2 -0.0
lighting-app-shell tlsr9518adk80d text 743732 743730 -2 -0.0
lock-app tlsr9518adk80d text 613636 613634 -2 -0.0
ota-requestor-app tlsr9518adk80d text 606850 606848 -2 -0.0
pump-app tlsr9518adk80d text 590726 590724 -2 -0.0
Full report (57 builds for bl602, bl702, cc32xx, cyw30739, efr32, esp32, k32w, linux, mbed, nrfconnect, psoc6, qpg, telink)
platform target config section d4c7d47 d560db6 change % change
bl602 lighting-app bl602 (read/write) 1367930 1367930 0 0.0
.bss 87902 87902 0 0.0
.data 9648 9648 0 0.0
.text 1047898 1047898 0 0.0
bl602+rpc (read/write) 1414918 1414918 0 0.0
.bss 95950 95950 0 0.0
.data 10040 10040 0 0.0
.text 1079524 1079524 0 0.0
bl702 lighting-app bl702 (read only) 3358 3358 0 0.0
(read/write) 1199887 1199887 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 67601 67601 0 0.0
.bss_psram 24656 24656 0 0.0
.comment 48 48 0 0.0
.data 3952 3952 0 0.0
.debug_abbrev 1552228 1552228 0 0.0
.debug_aranges 149544 149544 0 0.0
.debug_frame 550504 550504 0 0.0
.debug_info 45032314 45032314 0 0.0
.debug_line 5606655 5606655 0 0.0
.debug_loc 3834222 3834222 0 0.0
.debug_ranges 398264 398264 0 0.0
.debug_str 4346217 4346217 0 0.0
.hbn 536 536 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 140 140 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 107264 107264 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 582063 582063 0 0.0
.symtab 175456 175456 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
978322 978322 0 0.0
bl702+rpc (read only) 3358 3358 0 0.0
(read/write) 1289395 1289395 0 0.0
.bleromro 6342 6342 0 0.0
.bleromrw 124 124 0 0.0
.boot2 328 328 0 0.0
.bss 75649 75649 0 0.0
.bss_psram 25056 25056 0 0.0
.comment 48 48 0 0.0
.data 4504 4504 0 0.0
.debug_abbrev 1711468 1711468 0 0.0
.debug_aranges 157848 157848 0 0.0
.debug_frame 578584 578584 0 0.0
.debug_info 49981972 49981972 0 0.0
.debug_line 6023019 6023019 0 0.0
.debug_loc 4037333 4037333 0 0.0
.debug_ranges 423760 423760 0 0.0
.debug_str 4772271 4772271 0 0.0
.hbn 536 536 0 0.0
.hbn_noinit 260 260 0 0.0
.init 342 342 0 0.0
.init_array 156 156 0 0.0
.psram 0 0 0 0.0
.riscv.attributes 47 47 0 0.0
.rodata 121792 121792 0 0.0
.rsvd 4 4 0 0.0
.sha_ocram 72 72 0 0.0
.shstrtab 304 304 0 0.0
.stack 2048 2048 0 0.0
.strtab 643566 643566 0 0.0
.symtab 194016 194016 0 0.0
.tcm_data 28 28 0 0.0
.tcmcode 3358 3358 0 0.0
.text 0 0 0 0.0
1051980 1051980 0 0.0
cc32xx lock CC3235SF_LAUNCHXL (blank) 0 0 0 0.0
(read only) 606178 606178 0 0.0
(read/write) 204164 204164 0 0.0
.ARM.attributes 44 44 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 197576 197576 0 0.0
.comment 206 206 0 0.0
.data 1468 1468 0 0.0
.debug_abbrev 952074 952074 0 0.0
.debug_aranges 118920 118920 0 0.0
.debug_frame 406188 406188 0 0.0
.debug_info 23111366 23111366 0 0.0
.debug_line 2880223 2880223 0 0.0
.debug_line_str 513 513 0 0.0
.debug_loc 33340 33340 0 0.0
.debug_loclists 1726586 1726586 0 0.0
.debug_ranges 4984 4984 0 0.0
.debug_rnglists 107524 107524 0 0.0
.debug_str 3786762 3786762 0 0.0
.ramVecs 780 780 0 0.0
.resetVecs 64 64 0 0.0
.rodata 104546 104546 0 0.0
.shstrtab 265 265 0 0.0
.stack 2048 2048 0 0.0
.strtab 484580 484580 0 0.0
.symtab 287712 287712 0 0.0
.text 499512 499512 0 0.0
cyw30739 light cyw930739m2evb_01 (read/write) 542371 542371 0 0.0
.app_xip_area 434981 434981 0 0.0
.bss 62368 62368 0 0.0
.data 712 712 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
lock cyw930739m2evb_01 (read/write) 529259 529259 0 0.0
.app_xip_area 416749 416749 0 0.0
.bss 67480 67480 0 0.0
.data 720 720 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
ota-requestor cyw930739m2evb_01 (read/write) 566651 566651 0 0.0
.app_xip_area 460205 460205 0 0.0
.bss 61472 61472 0 0.0
.data 668 668 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
switch cyw930739m2evb_01 (read/write) 531043 531043 0 0.0
.app_xip_area 422029 422029 0 0.0
.bss 63960 63960 0 0.0
.data 744 744 0 0.0
.rodata 0 0 0 0.0
.text 112 112 0 0.0
efr32 lighting-app BRD4161A+rs9116 (read/write) 986508 986508 0 0.0
.bss 179872 179872 0 0.0
.data 2144 2144 0 0.0
.text 804472 804472 0 0.0
BRD4187C (read/write) 1019896 1019896 0 0.0
.bss 159604 159604 0 0.0
.data 2788 2788 0 0.0
.text 857484 857484 0 0.0
lock-app BRD4161A+wf200 (read/write) 1069144 1069144 0 0.0
.bss 174160 174160 0 0.0
.data 2152 2152 0 0.0
.text 892812 892812 0 0.0
window-app BRD4187C (read/write) 1088800 1088800 0 0.0
.bss 142572 142572 0 0.0
.data 2680 2680 0 0.0
.text 943528 943528 0 0.0
esp32 all-clusters-app c3devkit (read only) 1076348 1076350 2 0.0
(read/write) 1584984 1584984 0 0.0
.dram0.bss 75760 75760 0 0.0
.dram0.data 13964 13964 0 0.0
.flash.rodata 216128 216128 0 0.0
.flash.text 1076348 1076350 2 0.0
.iram0.text 76740 76740 0 0.0
m5stack (read only) 1122763 1122763 0 0.0
(read/write) 494250 494246 -4 -0.0
.dram0.bss 80768 80768 0 0.0
.dram0.data 34304 34304 0 0.0
.flash.rodata 244028 244024 -4 -0.0
.flash.text 1117379 1117379 0 0.0
.iram0.text 126055 126055 0 0.0
k32w contact k32w0+release (read only) 584748 584748 0 0.0
(read/write) 90108 90108 0 0.0
.bss 66104 66104 0 0.0
.data 2168 2168 0 0.0
.text 584212 584212 0 0.0
light k32w0+release (read only) 583452 583452 0 0.0
(read/write) 89756 89756 0 0.0
.bss 65768 65768 0 0.0
.data 2156 2156 0 0.0
.text 582916 582916 0 0.0
lock k32w0+release (read only) 556044 556044 0 0.0
(read/write) 87556 87556 0 0.0
.bss 63632 63632 0 0.0
.data 2100 2100 0 0.0
.text 555508 555508 0 0.0
linux all-clusters-app debug (read only) 3264501 3264501 0 0.0
(read/write) 158168 158168 0 0.0
.bss 59456 59456 0 0.0
.data 2160 2160 0 0.0
.data.rel.ro 90040 90040 0 0.0
.dynamic 608 608 0 0.0
.got 4728 4728 0 0.0
.init 27 27 0 0.0
.init_array 1136 1136 0 0.0
.rodata 231496 231496 0 0.0
.text 2842085 2842085 0 0.0
all-clusters-minimal-app debug (read only) 3042309 3042309 0 0.0
(read/write) 145896 145896 0 0.0
.bss 57984 57984 0 0.0
.data 2128 2128 0 0.0
.data.rel.ro 79400 79400 0 0.0
.dynamic 608 608 0 0.0
.got 4664 4664 0 0.0
.init 27 27 0 0.0
.init_array 1088 1088 0 0.0
.rodata 224008 224008 0 0.0
.text 2632405 2632405 0 0.0
bridge-app debug (read only) 2521389 2521389 0 0.0
(read/write) 129768 129768 0 0.0
.bss 50232 50232 0 0.0
.data 3824 3824 0 0.0
.data.rel.ro 69736 69736 0 0.0
.dynamic 608 608 0 0.0
.got 4568 4568 0 0.0
.init 27 27 0 0.0
.init_array 776 776 0 0.0
.rodata 172680 172680 0 0.0
.text 2175957 2175957 0 0.0
chip-tool debug (read only) 15580177 15580177 0 0.0
(read/write) 709760 709760 0 0.0
.bss 26424 26424 0 0.0
.data 3042 3042 0 0.0
.data.rel.ro 673488 673488 0 0.0
.dynamic 608 608 0 0.0
.got 5376 5376 0 0.0
.init 27 27 0 0.0
.init_array 768 768 0 0.0
.rodata 687765 687765 0 0.0
.text 13245508 13245508 0 0.0
chip-tool-ipv6only arm64 (read only) 14966552 14966552 0 0.0
(read/write) 762680 762680 0 0.0
.bss 34408 34408 0 0.0
.data 3008 3008 0 0.0
.data.rel.ro 703288 703288 0 0.0
.dynamic 560 560 0 0.0
.got 16728 16728 0 0.0
.init 24 24 0 0.0
.init_array 224 224 0 0.0
.rodata 623944 623944 0 0.0
.text 12538724 12538724 0 0.0
lighting-app debug+rpc+ui (read only) 3386609 3386609 0 0.0
(read/write) 136432 136432 0 0.0
.bss 49792 49792 0 0.0
.data 2704 2704 0 0.0
.data.rel.ro 77056 77056 0 0.0
.dynamic 656 656 0 0.0
.got 5280 5280 0 0.0
.init 27 27 0 0.0
.init_array 904 904 0 0.0
.rodata 286196 286196 0 0.0
.text 2902930 2902930 0 0.0
lock-app debug (read only) 2614005 2614005 0 0.0
(read/write) 117200 117200 0 0.0
.bss 45344 45344 0 0.0
.data 1904 1904 0 0.0
.data.rel.ro 63896 63896 0 0.0
.dynamic 608 608 0 0.0
.got 4592 4592 0 0.0
.init 27 27 0 0.0
.init_array 808 808 0 0.0
.rodata 200104 200104 0 0.0
.text 2249317 2249317 0 0.0
ota-provider-app debug (read only) 2211117 2211117 0 0.0
(read/write) 103792 103792 0 0.0
.bss 44528 44528 0 0.0
.data 2112 2112 0 0.0
.data.rel.ro 52056 52056 0 0.0
.dynamic 608 608 0 0.0
.got 3800 3800 0 0.0
.init 27 27 0 0.0
.init_array 664 664 0 0.0
.rodata 153800 153800 0 0.0
.text 1915365 1915365 0 0.0
ota-requestor-app debug (read only) 2379653 2379653 0 0.0
(read/write) 111552 111552 0 0.0
.bss 46960 46960 0 0.0
.data 2512 2512 0 0.0
.data.rel.ro 56912 56912 0 0.0
.dynamic 608 608 0 0.0
.got 3784 3784 0 0.0
.init 27 27 0 0.0
.init_array 744 744 0 0.0
.rodata 157896 157896 0 0.0
.text 2070933 2070933 0 0.0
shell debug (read only) 2798193 2798193 0 0.0
(read/write) 146552 146552 0 0.0
.bss 58376 58376 0 0.0
.data 1232 1232 0 0.0
.data.rel.ro 81096 81096 0 0.0
.dynamic 608 608 0 0.0
.got 4128 4128 0 0.0
.init 27 27 0 0.0
.init_array 1064 1064 0 0.0
.rodata 199634 199634 0 0.0
.text 2431666 2431666 0 0.0
thermostat-no-ble arm64 (read only) 2613892 2613892 0 0.0
(read/write) 142936 142936 0 0.0
.bss 52344 52344 0 0.0
.data 1736 1736 0 0.0
.data.rel.ro 79240 79240 0 0.0
.dynamic 560 560 0 0.0
.got 5512 5512 0 0.0
.init 24 24 0 0.0
.init_array 416 416 0 0.0
.rodata 129712 129712 0 0.0
.text 2218756 2218756 0 0.0
tv-app debug (read only) 3316193 3316193 0 0.0
(read/write) 261632 261632 0 0.0
.bss 171232 171232 0 0.0
.data 4080 4080 0 0.0
.data.rel.ro 79584 79584 0 0.0
.dynamic 608 608 0 0.0
.got 5000 5000 0 0.0
.init 27 27 0 0.0
.init_array 1080 1080 0 0.0
.rodata 222136 222136 0 0.0
.text 2897442 2897442 0 0.0
tv-casting-app debug (read only) 9121905 9121905 0 0.0
(read/write) 219560 219560 0 0.0
.bss 52064 52064 0 0.0
.data 1744 1744 0 0.0
.data.rel.ro 159200 159200 0 0.0
.dynamic 608 608 0 0.0
.got 4920 4920 0 0.0
.init 27 27 0 0.0
.init_array 992 992 0 0.0
.rodata 329676 329676 0 0.0
.text 8363010 8363010 0 0.0
mbed lock-app CY8CPROTO_062_4343W+release (read only) 6224 6224 0 0.0
(read/write) 2497920 2497920 0 0.0
.bss 216304 216304 0 0.0
.data 5144 5144 0 0.0
.text 1460604 1460604 0 0.0
nrfconnect all-clusters-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1209920 1209936 16 0.0
bss 154745 154745 0 0.0
rodata 137380 137380 0 0.0
text 838748 838752 4 0.0
nrf7002dk_nrf5340_cpuapp (read only) 4 4 0 0.0
(read/write) 1312804 1312804 0 0.0
bss 138075 138075 0 0.0
rodata 132816 132816 0 0.0
text 751200 751200 0 0.0
all-clusters-minimal-app nrf52840dk_nrf52840 (read only) 4 4 0 0.0
(read/write) 1148292 1148292 0 0.0
bss 153377 153377 0 0.0
rodata 111096 111096 0 0.0
text 804748 804748 0 0.0
psoc6 all-clusters cy8ckit_062s2_43012 (read only) 841984 841984 0 0.0
(read/write) 1728204 1728204 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 188796 188796 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2560 2560 0 0.0
.debug_abbrev 1297175 1297175 0 0.0
.debug_aranges 148632 148632 0 0.0
.debug_frame 497676 497676 0 0.0
.debug_info 32271725 32271725 0 0.0
.debug_line 4034018 4034018 0 0.0
.debug_line_str 957 957 0 0.0
.debug_loclists 2300833 2300833 0 0.0
.debug_rnglists 144093 144093 0 0.0
.debug_str 4350293 4350293 0 0.0
.heap 841984 841984 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 750884 750884 0 0.0
.symtab 478784 478784 0 0.0
.text 1528460 1528460 0 0.0
.zero.table 8 8 0 0.0
text 0 0 0 0.0
all-clusters-minimal cy8ckit_062s2_43012 (read only) 843312 843312 0 0.0
(read/write) 1660668 1660668 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 187468 187468 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2560 2560 0 0.0
.debug_abbrev 1284933 1284933 0 0.0
.debug_aranges 147384 147384 0 0.0
.debug_frame 498620 498620 0 0.0
.debug_info 31733810 31733810 0 0.0
.debug_line 4039572 4039572 0 0.0
.debug_line_str 981 981 0 0.0
.debug_loclists 2285664 2285664 0 0.0
.debug_rnglists 142539 142539 0 0.0
.debug_str 4333223 4333223 0 0.0
.heap 843312 843312 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 699765 699765 0 0.0
.symtab 459904 459904 0 0.0
.text 1462252 1462252 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
light cy8ckit_062s2_43012 (read only) 849544 849544 0 0.0
(read/write) 1593404 1593404 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 181404 181404 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2392 2392 0 0.0
.debug_abbrev 1122518 1122518 0 0.0
.debug_aranges 137720 137720 0 0.0
.debug_frame 462528 462528 0 0.0
.debug_info 26522648 26522648 0 0.0
.debug_line 3610558 3610558 0 0.0
.debug_line_str 942 942 0 0.0
.debug_loclists 2126532 2126532 0 0.0
.debug_rnglists 132531 132531 0 0.0
.debug_str 4147297 4147297 0 0.0
.heap 849544 849544 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 623349 623349 0 0.0
.symtab 425328 425328 0 0.0
.text 1401220 1401220 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
lock cy8ckit_062s2_43012 (read only) 821960 821960 0 0.0
(read/write) 1626308 1626308 0 0.0
.ARM.attributes 46 46 0 0.0
.ARM.exidx 8 8 0 0.0
.bss 208988 208988 0 0.0
.comment 212 212 0 0.0
.copy.table 24 24 0 0.0
.cy_m0p_image 6216 6216 0 0.0
.cy_sharedmem 8 8 0 0.0
.data 2392 2392 0 0.0
.debug_abbrev 1104790 1104790 0 0.0
.debug_aranges 136112 136112 0 0.0
.debug_frame 456880 456880 0 0.0
.debug_info 26074739 26074738 -1 -0.0
.debug_line 3549941 3549941 0 0.0
.debug_line_str 933 933 0 0.0
.debug_loclists 2100291 2100291 0 0.0
.debug_rnglists 130376 130376 0 0.0
.debug_str 4107796 4107796 0 0.0
.heap 821960 821960 0 0.0
.noinit 148 148 0 0.0
.ramVectors 736 736 0 0.0
.shstrtab 296 296 0 0.0
.stack_dummy 4096 4096 0 0.0
.strtab 596481 596481 0 0.0
.symtab 416928 416928 0 0.0
.text 1406540 1406540 0 0.0
.zero.table 0 0 0 0.0
8 8 0 0.0
qpg lighting-app qpg6105+debug (read/write) 1170572 1170572 0 0.0
.bss 99944 99944 0 0.0
.data 852 852 0 0.0
.text 617668 617668 0 0.0
lock-app qpg6105+debug (read/write) 1139852 1139852 0 0.0
.bss 94824 94824 0 0.0
.data 856 856 0 0.0
.text 586952 586952 0 0.0
telink all-clusters-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1033900 1033908 8 0.0
bss 97660 97660 0 0.0
text 707580 707582 2 0.0
all-clusters-minimal-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 961048 961048 0 0.0
bss 96176 96176 0 0.0
text 664838 664836 -2 -0.0
bridge-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 928768 928776 8 0.0
bss 100308 100308 0 0.0
text 641872 641874 2 0.0
contact-sensor-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 860436 860436 0 0.0
bss 89968 89968 0 0.0
text 585006 585006 0 0.0
light-switch-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 876760 876760 0 0.0
bss 90136 90136 0 0.0
text 599632 599632 0 0.0
lighting-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 965148 965148 0 0.0
bss 98512 98512 0 0.0
text 678692 678694 2 0.0
lighting-app-factory-data tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 976528 976528 0 0.0
bss 102760 102760 0 0.0
text 686216 686216 0 0.0
lighting-app-rpc tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1034248 1034248 0 0.0
bss 100076 100076 0 0.0
text 723378 723378 0 0.0
lighting-app-shell tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 1065996 1065996 0 0.0
bss 111152 111152 0 0.0
text 743732 743730 -2 -0.0
lock-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 915508 915508 0 0.0
bss 90632 90632 0 0.0
text 613636 613634 -2 -0.0
ota-requestor-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 883036 883036 0 0.0
bss 91244 91244 0 0.0
text 606850 606848 -2 -0.0
pump-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 865996 865996 0 0.0
bss 90100 90100 0 0.0
text 590726 590724 -2 -0.0
pump-controller-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 853056 853056 0 0.0
bss 89900 89900 0 0.0
text 581108 581108 0 0.0
temperature-measurement-app tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 849212 849212 0 0.0
bss 89808 89808 0 0.0
text 576738 576740 2 0.0
thermostat tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 894956 894956 0 0.0
bss 90344 90344 0 0.0
text 615986 615990 4 0.0
window-covering tlsr9518adk80d (read only) 4 4 0 0.0
(read/write) 890336 890336 0 0.0
bss 90636 90636 0 0.0
text 610910 610910 0 0.0

@bzbarsky-apple bzbarsky-apple merged commit 64d1df0 into project-chip:master Jun 8, 2023
@bzbarsky-apple bzbarsky-apple deleted the mark-clusters-provisional-darwin branch June 8, 2023 17:45
bzbarsky-apple added a commit to bzbarsky-apple/connectedhomeip that referenced this pull request Jun 9, 2023
A merge conflict between project-chip#26882 and project-chip#27152 led to it not building.
bzbarsky-apple added a commit to bzbarsky-apple/connectedhomeip that referenced this pull request Jun 9, 2023
A merge conflict between project-chip#26882 and project-chip#27152 led to it not building.
andy31415 pushed a commit that referenced this pull request Jun 9, 2023
A merge conflict between #26882 and #27152 led to it not building.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants