Skip to content

Commit

Permalink
fix name
Browse files Browse the repository at this point in the history
  • Loading branch information
ramyadhadidi committed Apr 10, 2024
1 parent d673eae commit ebee7b4
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion info.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,7 @@ project:
tiles: "1x1" # Valid values: 1x1, 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2

# Your top module name must start with "tt_um_". Make it unique by including your github username:
top_module: "tt06-8bit-cpu"
top_module: "tt_um_8bit_cpu"

# List your project's source files here. Source files must be in ./src and you must list each source file separately, one per line:
source_files:
Expand Down
2 changes: 1 addition & 1 deletion src/tt06-8bit-cpu.v
Original file line number Diff line number Diff line change
Expand Up @@ -31,7 +31,7 @@

`default_nettype none

module tt06_8bit_cpu (
module tt_um_8bit_cpu (
input wire [7:0] ui_in, // Dedicated inputs
output wire [7:0] uo_out, // Dedicated outputs
input wire [7:0] uio_in, // IOs: Input path
Expand Down

0 comments on commit ebee7b4

Please sign in to comment.