Skip to content

rwhitby/xc7k325t-blinky-nextpnr

 
 

Repository files navigation

Full Open Source Blinky on XC7K325T using yosys+nextpnr-xilinx

Blinky on QMTech XC7K325T Core Board (xc7k325tffg676-1)

qmtech_blinky

Blinky on Digilent Genesys2 (xc7k325tffg900-2)

genesys2_blinky

Blinky on the AliExpress STLV7325 board

stlv7325_blinky

  • works with differential clock input on the high performance banks

Status

  • works on the QMTech XC7K325T board
  • works with differential clock input on the high performance banks on the STLV7325 board.
  • limited functionality on Digilent Genesys2 and Memblaze PBlaze 3 SSD

How to reproduce

  1. Install required software
  2. git clone --recurse-submodules https://github.com/kintex-chatter/xc7k325t-blinky-nextpnr.git
  3. cd xc7k325t-blinky-nextpnr
  4. make BOARD=qmtech setup
  5. make BOARD=qmtech all

Note: Every time you change the installation of nextpnr-xilinx you will have to regenerate the chipdb, because the chipdb does not seem to be compatible between different binaries of nextpnr-xilinx

About

No description, website, or topics provided.

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Makefile 65.5%
  • Tcl 22.6%
  • Verilog 11.9%