Skip to content

Commit

Permalink
chore: Bump Scala versions
Browse files Browse the repository at this point in the history
  • Loading branch information
tgodzik committed Mar 10, 2024
1 parent cdbdae8 commit 5e99d2f
Show file tree
Hide file tree
Showing 12 changed files with 40 additions and 31 deletions.
8 changes: 4 additions & 4 deletions .github/workflows/ci.yml
Original file line number Diff line number Diff line change
Expand Up @@ -42,7 +42,7 @@ jobs:
with:
java-version: 11
distribution: adopt
- run: sbt '++2.13.12 test'
- run: sbt '++2.13.13 test'
shell: bash
test:
name: ${{ matrix.command }} ${{ matrix.java }}
Expand All @@ -54,10 +54,10 @@ jobs:
command:
# Test legacy Scala versions, where reporting API changed
- "'++2.12.12! test'"
- "'++2.12.18 test'"
- "'++2.13.12 test'"
- "'++2.12.19 test'"
- "'++2.13.13 test'"
# Minimal supported version
- "'++3.3.1 test'"
- "'++3.3.3 test'"
- "scripted"
steps:
- uses: actions/checkout@v4
Expand Down
12 changes: 6 additions & 6 deletions .mergify.yml
Original file line number Diff line number Diff line change
Expand Up @@ -6,14 +6,14 @@ pull_request_rules:
- check-success=Website
- check-success=Windows
- check-success="'++2.12.12! test' adopt@1.11"
- check-success="'++2.12.18 test' adopt@1.11"
- check-success="'++2.13.12 test' adopt@1.11"
- check-success="'++3.3.0 test' adopt@1.11"
- check-success="'++2.12.19 test' adopt@1.11"
- check-success="'++2.13.13 test' adopt@1.11"
- check-success="'++3.3.3 test' adopt@1.11"
- check-success="scripted adopt@1.11"
- check-success="'++2.12.12! test' 17"
- check-success="'++2.12.18 test' 17"
- check-success="'++2.13.12 test' 17"
- check-success="'++3.3.0 test' 17"
- check-success="'++2.12.19 test' 17"
- check-success="'++2.13.13 test' 17"
- check-success="'++3.3.3 test' 17"
- check-success=scripted 17
actions:
merge:
Expand Down
6 changes: 3 additions & 3 deletions build.sbt
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
import scala.collection.mutable

def scala212 = "2.12.18"
def scala213 = "2.13.12"
def scala3 = "3.3.1"
def scala212 = "2.12.19"
def scala213 = "2.13.13"
def scala3 = "3.3.3"
def scala2Versions = List(scala212, scala213)
def allScalaVersions = scala2Versions :+ scala3

Expand Down
2 changes: 1 addition & 1 deletion mdoc-sbt/src/sbt-test/sbt-mdoc/basic/build.sbt
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
ThisBuild / scalaVersion := "2.12.18"
ThisBuild / crossScalaVersions := List("2.12.18", "2.13.12", "3.3.0", "3.3.1")
ThisBuild / crossScalaVersions := List("2.12.19", "2.13.13", "3.3.3")

enablePlugins(MdocPlugin)
mdocJS := Some(jsapp)
Expand Down
2 changes: 1 addition & 1 deletion mdoc-sbt/src/sbt-test/sbt-mdoc/basic/project/plugins.sbt
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
addSbtPlugin("org.scala-js" % "sbt-scalajs" % "1.9.0")
addSbtPlugin("org.scala-js" % "sbt-scalajs" % "1.11.0")
addSbtPlugin("org.scalameta" % "sbt-mdoc" % sys.props("plugin.version"))
8 changes: 3 additions & 5 deletions mdoc-sbt/src/sbt-test/sbt-mdoc/basic/test
Original file line number Diff line number Diff line change
@@ -1,10 +1,8 @@
> ++2.12.18 mdoc
> ++2.12.19 mdoc
> check
> ++2.13.12 mdoc
> ++2.13.13 mdoc
> check
> ++3.3.0 mdoc
> check
> ++3.3.1 mdoc
> ++3.3.3 mdoc
> check
> set mdocIn := (ThisBuild / baseDirectory).value
-> mdoc
2 changes: 1 addition & 1 deletion mdoc-sbt/src/sbt-test/sbt-mdoc/extra-arguments/build.sbt
Original file line number Diff line number Diff line change
@@ -1,3 +1,3 @@
ThisBuild / scalaVersion := "2.13.12"
ThisBuild / scalaVersion := "2.13.13"

enablePlugins(MdocPlugin)
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
addSbtPlugin("org.scala-js" % "sbt-scalajs" % "1.9.0")
addSbtPlugin("org.scala-js" % "sbt-scalajs" % "1.11.0")
addSbtPlugin("org.scalameta" % "sbt-mdoc" % sys.props("plugin.version"))
2 changes: 1 addition & 1 deletion mdoc-sbt/src/sbt-test/sbt-mdoc/scalajs-1.7/build.sbt
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
ThisBuild / scalaVersion := "2.12.18"
ThisBuild / crossScalaVersions := List("2.12.18", "2.13.12", "3.0.1")
ThisBuild / crossScalaVersions := List("2.12.19", "2.13.13", "3.3.3")

enablePlugins(MdocPlugin)
mdocJS := Some(jsapp)
Expand Down
8 changes: 3 additions & 5 deletions mdoc-sbt/src/sbt-test/sbt-mdoc/scalajs-1.7/test
Original file line number Diff line number Diff line change
@@ -1,10 +1,8 @@
> ++2.12.18 mdoc
> ++2.12.19 mdoc
> check
> ++2.13.12 mdoc
> ++2.13.13 mdoc
> check
> ++3.3.0 mdoc
> check
> ++3.3.1 mdoc
> ++3.3.3 mdoc
> check
> set mdocIn := (ThisBuild / baseDirectory).value
-> mdoc
17 changes: 15 additions & 2 deletions tests/unit/src/test/scala/tests/markdown/AsyncSuite.scala
Original file line number Diff line number Diff line change
Expand Up @@ -29,8 +29,8 @@ class AsyncSuite extends BaseMarkdownSuite {
|Await.result(Future(Thread.sleep(1000)), Duration("10ms"))
|^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
|java.util.concurrent.TimeoutException: Futures timed out after [10 milliseconds]
| at scala.concurrent.impl.Promise$DefaultPromise.ready(Promise.scala:259)
| at scala.concurrent.impl.Promise$DefaultPromise.result(Promise.scala:263)
| at scala.concurrent.impl.Promise$DefaultPromise.ready(Promise.scala:269)
| at scala.concurrent.impl.Promise$DefaultPromise.result(Promise.scala:273)
| at scala.concurrent.Await$.$anonfun$result$1(package.scala:223)
| at scala.concurrent.BlockContext$DefaultBlockContext$.blockOn(BlockContext.scala:57)
| at scala.concurrent.Await$.result(package.scala:146)
Expand All @@ -51,6 +51,19 @@ class AsyncSuite extends BaseMarkdownSuite {
| at repl.MdocSession$MdocApp.<init>(timeout.md:11)
| at repl.MdocSession$.app(timeout.md:3)
|""".stripMargin,
Compat.Full("2.12.12") ->
"""|error: timeout.md:4:1: Futures timed out after [10 milliseconds]
|Await.result(Future(Thread.sleep(1000)), Duration("10ms"))
|^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
|java.util.concurrent.TimeoutException: Futures timed out after [10 milliseconds]
| at scala.concurrent.impl.Promise$DefaultPromise.ready(Promise.scala:259)
| at scala.concurrent.impl.Promise$DefaultPromise.result(Promise.scala:263)
| at scala.concurrent.Await$.$anonfun$result$1(package.scala:223)
| at scala.concurrent.BlockContext$DefaultBlockContext$.blockOn(BlockContext.scala:57)
| at scala.concurrent.Await$.result(package.scala:146)
| at repl.MdocSession$MdocApp.<init>(timeout.md:11)
| at repl.MdocSession$.app(timeout.md:3)
|""".stripMargin,
Compat.Scala213 ->
"""|error: timeout.md:4:1: Future timed out after [10 milliseconds]
|Await.result(Future(Thread.sleep(1000)), Duration("10ms"))
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,7 @@ class NamespaceHygieneSuite extends BaseMarkdownSuite {
|error: cannot import something called MdocSession.md:3:9:
|Reference to MdocSession is ambiguous.
|It is both defined in package repl
|and imported by name subsequently by import util.{...}
|and imported by name subsequently by import scala.util.{...}
|val x = MdocSession.nextInt(1)
| ^^^^^^^^^^^
""".stripMargin
Expand Down

0 comments on commit 5e99d2f

Please sign in to comment.