Skip to content

Commit

Permalink
sys-DFF-ld-rst-block: updated
Browse files Browse the repository at this point in the history
  • Loading branch information
Obijuan committed Oct 14, 2023
1 parent c26570a commit 2fe5766
Show file tree
Hide file tree
Showing 2 changed files with 837 additions and 579 deletions.
264 changes: 120 additions & 144 deletions blocks/DFFs/Block/Sys-DFF-ld-rst.ice
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
{
"version": "1.2",
"package": {
"name": "sys-DFF-ld-rst",
"version": "2.5",
"description": "Sys-DFF-ld-rst. System - D Flip-flop. Capture data every system clock cycle. from input si. If load is active, the data is captured from the d input. Reset input",
"name": "sys-DFF-ld-rst-block",
"version": "3",
"description": "Sys-DFF-ld-rst. System - D Flip-flop. Capture data every system clock cycle. from input si. If load is active, the data is captured from the d input. Reset input. Block implementation",
"author": "Juan González-Gómez (Obijuan)",
"image": "%3Csvg%20width=%22249.521%22%20height=%22268.178%22%20viewBox=%220%200%2066.01921%2070.955445%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-38.141%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22translate(-55.377%2022.259)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E"
},
Expand Down Expand Up @@ -51,14 +51,6 @@
"type": "basic.input",
"data": {
"name": "rst",
"virtual": true,
"pins": [
{
"index": "0",
"name": "NULL",
"value": "NULL"
}
],
"clock": false
},
"position": {
Expand All @@ -71,15 +63,7 @@
"type": "basic.inputLabel",
"data": {
"name": "rst",
"blockColor": "red",
"virtual": true,
"pins": [
{
"index": "0",
"name": "NULL",
"value": "NULL"
}
]
"blockColor": "red"
},
"position": {
"x": 136,
Expand Down Expand Up @@ -220,15 +204,7 @@
"type": "basic.outputLabel",
"data": {
"name": "rst",
"blockColor": "red",
"virtual": true,
"pins": [
{
"index": "0",
"name": "NULL",
"value": "NULL"
}
]
"blockColor": "red"
},
"position": {
"x": 584,
Expand Down Expand Up @@ -423,18 +399,6 @@
"height": 96
}
},
{
"id": "3e66b384-c29b-4edf-9831-6e5140a89691",
"type": "dfa7edc9373492388c38c768173e06aea82860d6",
"position": {
"x": 856,
"y": 392
},
"size": {
"width": 96,
"height": 64
}
},
{
"id": "9882f115-c569-4ead-b964-3bcf73e36816",
"type": "c1653fb9d46cb18a515599972fbcf6692524bc96",
Expand All @@ -458,6 +422,18 @@
"width": 96,
"height": 64
}
},
{
"id": "2a8bebbd-73c4-4142-b5a4-80311a25952b",
"type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284",
"position": {
"x": 856,
"y": 392
},
"size": {
"width": 96,
"height": 64
}
}
],
"wires": [
Expand Down Expand Up @@ -557,13 +533,13 @@
"port": "outlabel"
},
"target": {
"block": "3e66b384-c29b-4edf-9831-6e5140a89691",
"block": "2a8bebbd-73c4-4142-b5a4-80311a25952b",
"port": "3943e194-090b-4553-9df3-88bc4b17abc2"
}
},
{
"source": {
"block": "3e66b384-c29b-4edf-9831-6e5140a89691",
"block": "2a8bebbd-73c4-4142-b5a4-80311a25952b",
"port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78"
},
"target": {
Expand All @@ -577,7 +553,7 @@
"port": "constant-out"
},
"target": {
"block": "3e66b384-c29b-4edf-9831-6e5140a89691",
"block": "2a8bebbd-73c4-4142-b5a4-80311a25952b",
"port": "65194b18-5d2a-41b2-bd86-01be99978ad6"
}
},
Expand All @@ -597,7 +573,7 @@
"port": "56c306d0-95f2-48b3-a0fd-cd7bfb35dce8"
},
"target": {
"block": "3e66b384-c29b-4edf-9831-6e5140a89691",
"block": "2a8bebbd-73c4-4142-b5a4-80311a25952b",
"port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f"
}
},
Expand Down Expand Up @@ -780,10 +756,99 @@
}
}
},
"dfa7edc9373492388c38c768173e06aea82860d6": {
"6b14d5741f7e62a08c61190175d6447b05954bbd": {
"package": {
"name": "1-bit-gen-constant",
"version": "0.0.2",
"description": "1-bit generic constant (0/1)",
"author": "Juan Gonzalez-Gomez (Obijuan)",
"image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E"
},
"design": {
"graph": {
"blocks": [
{
"id": "5d443143-4aca-4d4f-ba40-2b88451b86d3",
"type": "basic.output",
"data": {
"name": ""
},
"position": {
"x": 960,
"y": 248
}
},
{
"id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4",
"type": "basic.constant",
"data": {
"name": "",
"value": "0",
"local": false
},
"position": {
"x": 728,
"y": 128
}
},
{
"id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"type": "basic.code",
"data": {
"code": "assign k = VALUE;",
"params": [
{
"name": "VALUE"
}
],
"ports": {
"in": [],
"out": [
{
"name": "k"
}
]
}
},
"position": {
"x": 672,
"y": 248
},
"size": {
"width": 208,
"height": 64
}
}
],
"wires": [
{
"source": {
"block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4",
"port": "constant-out"
},
"target": {
"block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"port": "VALUE"
}
},
{
"source": {
"block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"port": "k"
},
"target": {
"block": "5d443143-4aca-4d4f-ba40-2b88451b86d3",
"port": "in"
}
}
]
}
}
},
"58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": {
"package": {
"name": "sys-DFF-verilog",
"version": "2.3",
"version": "3",
"description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation",
"author": "Juan González-Gómez (Obijuan)",
"image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E"
Expand Down Expand Up @@ -811,7 +876,7 @@
},
"position": {
"x": 208,
"y": 160
"y": 184
}
},
{
Expand All @@ -822,7 +887,7 @@
},
"position": {
"x": 816,
"y": 224
"y": 232
}
},
{
Expand All @@ -834,7 +899,7 @@
},
"position": {
"x": 208,
"y": 304
"y": 280
}
},
{
Expand All @@ -854,7 +919,7 @@
"id": "bdc170f0-4468-4137-bd79-4624c9cadf2b",
"type": "basic.code",
"data": {
"code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;",
"code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;",
"params": [
{
"name": "INI"
Expand All @@ -881,8 +946,8 @@
"y": 168
},
"size": {
"width": 344,
"height": 176
"width": 352,
"height": 192
}
},
{
Expand Down Expand Up @@ -910,7 +975,7 @@
},
"position": {
"x": 208,
"y": 136
"y": 160
},
"size": {
"width": 120,
Expand All @@ -926,7 +991,7 @@
},
"position": {
"x": 224,
"y": 280
"y": 256
},
"size": {
"width": 112,
Expand Down Expand Up @@ -1026,95 +1091,6 @@
]
}
}
},
"6b14d5741f7e62a08c61190175d6447b05954bbd": {
"package": {
"name": "1-bit-gen-constant",
"version": "0.0.2",
"description": "1-bit generic constant (0/1)",
"author": "Juan Gonzalez-Gomez (Obijuan)",
"image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E"
},
"design": {
"graph": {
"blocks": [
{
"id": "5d443143-4aca-4d4f-ba40-2b88451b86d3",
"type": "basic.output",
"data": {
"name": ""
},
"position": {
"x": 960,
"y": 248
}
},
{
"id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4",
"type": "basic.constant",
"data": {
"name": "",
"value": "0",
"local": false
},
"position": {
"x": 728,
"y": 128
}
},
{
"id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"type": "basic.code",
"data": {
"code": "assign k = VALUE;",
"params": [
{
"name": "VALUE"
}
],
"ports": {
"in": [],
"out": [
{
"name": "k"
}
]
}
},
"position": {
"x": 672,
"y": 248
},
"size": {
"width": 208,
"height": 64
}
}
],
"wires": [
{
"source": {
"block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4",
"port": "constant-out"
},
"target": {
"block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"port": "VALUE"
}
},
{
"source": {
"block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2",
"port": "k"
},
"target": {
"block": "5d443143-4aca-4d4f-ba40-2b88451b86d3",
"port": "in"
}
}
]
}
}
}
}
}
Loading

0 comments on commit 2fe5766

Please sign in to comment.