Skip to content

Commit

Permalink
scu4slim: initial
Browse files Browse the repository at this point in the history
  • Loading branch information
alyxazon committed Jun 21, 2024
1 parent ca0373e commit ff5caa3
Show file tree
Hide file tree
Showing 10 changed files with 1,722 additions and 1 deletion.
16 changes: 15 additions & 1 deletion Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -48,6 +48,7 @@ CHECK_PEXARRIA10 = ./syn/gsi_pexarria10/control/pexarria10
CHECK_FTM10 = ./syn/gsi_pexarria10/ftm10/ftm10
CHECK_A10GX = ./syn/gsi_a10gx_pcie/control/pci_control
CHECK_IDROGEN = ./syn/in2p3_idrogen/control/idrogen
CHECK_SCU4SLIM = ./syn/gsi_scu/slim4/scu4slim

# Project paths
PATH_SCU2 = syn/gsi_scu/control2
Expand All @@ -69,6 +70,7 @@ PATH_PEXARRIA10 = syn/gsi_pexarria10/control
PATH_FTM10 = syn/gsi_pexarria10/ftm10
PATH_A10GX = syn/gsi_a10gx_pcie/control
PATH_IDROGEN = syn/in2p3_idrogen/control
PATH_SCU4SLIM = syn/gsi_scu/slim4

define sort_file
sort $(1).qsf >> temp_sorted
Expand Down Expand Up @@ -437,6 +439,18 @@ ftm10-sort:
ftm10-check:
$(call check_timing, $(CHECK_FTM10))

scu4slim: firmware
$(MAKE) -C $(PATH_SCU4SLIM) all

scu4slim-sort:
$(call sort_file, $(CHECK_SCU4SLIM))

scu4slim-check:
$(call check_timing, $(CHECK_SCU4SLIM))

scu4slim-clean::
$(MAKE) -C $(PATH_SCU4SLIM) clean

# #################################################################################################
# SCU slaves
# #################################################################################################
Expand Down Expand Up @@ -476,7 +490,7 @@ blm: firmware

blm-clean::
$(MAKE) -C syn/blm_aco clean

# #################################################################################################
# LM32 firmware
# #################################################################################################
Expand Down
8 changes: 8 additions & 0 deletions syn/gsi_scu/slim4/Makefile
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
TARGET = scu4slim
DEVICE = 10AX027E3
FLASH = MT25QU512
SPI_LANES = ASx4
RAM_SIZE = 262144
ARRIA10_JIC = yes

include ../../build.mk
19 changes: 19 additions & 0 deletions syn/gsi_scu/slim4/Manifest.py
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
target = "altera"
action = "synthesis"

fetchto = "../../../ip_cores"
syn_tool = "quartus"
syn_grade = "e2sg"
syn_package = "29"
syn_device = "10ax027e3f"
syn_top = "scu4slim"
syn_project = "scu4slim"
syn_family = "Arria 10"

quartus_preflow = "scu4slim.tcl"

modules = {
"local" : [
"../../../top/gsi_scu/slim4",
]
}
1 change: 1 addition & 0 deletions syn/gsi_scu/slim4/quartus.ini
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
pgm_allow_mt25q=on
1 change: 1 addition & 0 deletions syn/gsi_scu/slim4/scu4slim.qpf
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
PROJECT_REVISION = "scu4slim"
1,237 changes: 1,237 additions & 0 deletions syn/gsi_scu/slim4/scu4slim.qsf

Large diffs are not rendered by default.

11 changes: 11 additions & 0 deletions syn/gsi_scu/slim4/scu4slim.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
set platform "scu4slim"
source ../../autogen.tcl
source ../../../modules/build_id/build_id.tcl
source ../../../ip_cores/general-cores/platform/altera/networks/arria10gx/arria10gx.tcl
source ../../../ip_cores/general-cores/platform/altera/wb_pcie/arria10gx_scu4.tcl
source ../../../modules/pll/arria10_scu4/arria10_scu4_pll.tcl
source ../../../ip_cores/wr-cores/platform/altera/wr_arria10_phy/wr_arria10_scu4_phy/wr_arria10_scu4_phy.tcl
source ../../../modules/lvds/arria10_scu4/arria10_scu4_lvds.tcl
source ../../../modules/remote_update/asmi10.tcl
source ../../../modules/wb_arria_reset/arria10_reset.tcl
source ../../../modules/a10ts/src/hdl/a10ts_generate.tcl
11 changes: 11 additions & 0 deletions top/gsi_scu/slim4/Manifest.py
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
files = [
"scu4slim.vhd",
"ramsize_pkg.vhd",
"../../common/arria10.sdc",
]

modules = {
"local" : [
"../../..",
]
}
8 changes: 8 additions & 0 deletions top/gsi_scu/slim4/ramsize_pkg.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1,8 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library work;
package ramsize_pkg is
constant c_lm32_ramsizes : natural := 262144;
end ramsize_pkg;
Loading

0 comments on commit ff5caa3

Please sign in to comment.