Skip to content

Commit

Permalink
raw-quote and less escaping
Browse files Browse the repository at this point in the history
  • Loading branch information
dtzSiFive committed Apr 17, 2024
1 parent e45a9e0 commit 30b1bd4
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/test/scala/chiselTests/VerificationSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -41,7 +41,7 @@ class VerificationSpec extends ChiselPropSpec with Matchers {
assertContains(lines, "node _T_6 = eq(reset, UInt<1>(0h0))")
assertContains(
lines,
"intrinsic(circt_chisel_ifelsefatal<format = \"Assertion failed: io.in:%d is equal to io.out:%d\\n at VerificationSpec.scala:20 assert(io.out === io.in, p\\\"${FullName(io.in)}:${io.in} is equal to ${FullName(io.out)}:${io.out}\\\")\\n\", label = \"chisel3_builtin\">, clock, _T_5, _T_6, io.in, io.out)"
"""intrinsic(circt_chisel_ifelsefatal<format = "Assertion failed: io.in:%d is equal to io.out:%d\n at VerificationSpec.scala:20 assert(io.out === io.in, p\"${FullName(io.in)}:${io.in} is equal to ${FullName(io.out)}:${io.out}\")\n", label = "chisel3_builtin">, clock, _T_5, _T_6, io.in, io.out)"""
)
}

Expand Down

0 comments on commit 30b1bd4

Please sign in to comment.