Skip to content

Commit

Permalink
Add Interchange tests for LIFCL-40
Browse files Browse the repository at this point in the history
Signed-off-by: Robert Szczepanski <rszczepanski@antmicro.com>
  • Loading branch information
robertszczepanski committed Oct 6, 2022
1 parent f16f5d5 commit 5e7ea30
Show file tree
Hide file tree
Showing 4 changed files with 13 additions and 0 deletions.
4 changes: 4 additions & 0 deletions assets/boards.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -42,6 +42,10 @@ lifcl-40:
family: nexus
device: LIFCL-40
package: 9BG400C
lifcl-40-QFN72:
family: nexus
device: LIFCL-40
package: QFN72
lifcl-17:
family: nexus
device: LIFCL-17
Expand Down
1 change: 1 addition & 0 deletions assets/project/oneblink.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,7 @@ vendors:
- icebreaker
lattice-nexus:
- lifcl-40
- lifcl-40-QFN72
- lifcl-17
- lifcl-17-WLCSP72
quicklogic:
Expand Down
1 change: 1 addition & 0 deletions assets/vendors.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -27,6 +27,7 @@ lattice-ice40:
lattice-nexus:
boards:
- lifcl-40
- lifcl-40-QFN72
- lifcl-17
- lifcl-17-WLCSP72
toolchains:
Expand Down
7 changes: 7 additions & 0 deletions src/oneblink/constr/lifcl-40-QFN72.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
set_property LOC L13 [get_ports clk]
set_property LOC G19 [get_ports out]

set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports out]

create_clock -name clk -period 13.333 [get_ports clk]

0 comments on commit 5e7ea30

Please sign in to comment.