Skip to content

Commit

Permalink
Merge pull request #115 from antmicro/add-license-checking-gha
Browse files Browse the repository at this point in the history
Add license checking
  • Loading branch information
kgugala authored Jun 18, 2021
2 parents 93157fb + 83e0b4f commit d2a6779
Show file tree
Hide file tree
Showing 96 changed files with 18,342 additions and 17,540 deletions.
7 changes: 7 additions & 0 deletions .github/workflows/build-and-test.sh
100755 → 100644
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
#! /bin/bash
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

set -e

Expand Down
8 changes: 8 additions & 0 deletions .github/workflows/ci.yml
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

name: CI tests

on: [push, pull_request]
Expand Down
7 changes: 7 additions & 0 deletions .github/workflows/common.sh
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
#! /bin/bash
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

# Look for location binaries first
export PATH="$HOME/.local-bin/bin:$PATH"
Expand Down
7 changes: 7 additions & 0 deletions .github/workflows/format-check.sh
100755 → 100644
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
#! /bin/bash
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

set -e

Expand Down
29 changes: 29 additions & 0 deletions .github/workflows/licensing.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,29 @@
# Copyright (C) 2017-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier: ISC

name: Licensing

on:
push:
pull_request:


jobs:
Checks:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v2

- uses: SymbiFlow/actions/checks@main
with:
exclude_license: |
./design_introspection-plugin/tests/selection_to_tcl_list/selection_to_tcl_list.v
./third_party/minilitex_ddr_arty/minilitex_ddr_arty.v
./third_party/VexRiscv_Lite/VexRiscv_Lite.v
third_party: |
./third_party/googletest/
7 changes: 7 additions & 0 deletions .github/workflows/setup.sh
100755 → 100644
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
#! /bin/bash
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

set -e

Expand Down
8 changes: 8 additions & 0 deletions Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

PLUGIN_LIST := fasm xdc params sdc ql-iob design_introspection integrateinv ql-qlf
PLUGINS := $(foreach plugin,$(PLUGIN_LIST),$(plugin).so)
PLUGINS_INSTALL := $(foreach plugin,$(PLUGIN_LIST),install_$(plugin))
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

NAME = design_introspection
SOURCES = design_introspection.cc \
get_cmd.cc \
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

TESTS = get_nets \
get_ports \
get_cells \
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_cells/get_cells.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module top (
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
output [3:0] led,
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_count/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

test:
yosys -p "tcl script.tcl"
touch ok
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_count/get_count.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module my_gate (
input wire A,
output wire Y
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_nets/get_nets.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module top (
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
output [3:0] led,
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_pins/get_pins.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module top (
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
output [3:0] led,
Expand Down
8 changes: 8 additions & 0 deletions design_introspection-plugin/tests/get_ports/get_ports.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module top (
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) input clk,
output [3:0] led,
Expand Down
8 changes: 8 additions & 0 deletions fasm-plugin/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

NAME = fasm
SOURCES = fasm.cc
include ../Makefile_plugin.common
Expand Down
8 changes: 8 additions & 0 deletions fasm-plugin/tests/Makefile
Original file line number Diff line number Diff line change
@@ -1 +1,9 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

include $(shell pwd)/../../Makefile_test.common
8 changes: 8 additions & 0 deletions integrateinv-plugin/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

NAME = integrateinv
SOURCES = integrateinv.cc
include ../Makefile_plugin.common
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

TESTS = fanout \
hierarchy \
multi_bit \
Expand Down
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/fanout/fanout.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

(* blackbox *)
module box(
(* invertible_pin="INV_A" *)
Expand Down
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/hierarchy/hierarchy.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

(* blackbox *)
module box(
(* invertible_pin="INV_A" *)
Expand Down
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/multi_bit/multi_bit.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

(* blackbox *)
module box(
(* invertible_pin="INV_A" *)
Expand Down
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/single_bit/single_bit.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

(* blackbox *)
module box(
(* invertible_pin="INV_A" *)
Expand Down
8 changes: 8 additions & 0 deletions integrateinv-plugin/tests/toplevel/toplevel.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

(* blackbox *)
module box(
(* invertible_pin="INV_A" *)
Expand Down
8 changes: 8 additions & 0 deletions params-plugin/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

NAME = params
SOURCES = params.cc
include ../Makefile_plugin.common
8 changes: 8 additions & 0 deletions params-plugin/tests/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

TESTS = pll
include $(shell pwd)/../../Makefile_test.common

Expand Down
9 changes: 9 additions & 0 deletions params-plugin/tests/compare_output_json.py
Original file line number Diff line number Diff line change
@@ -1,4 +1,13 @@
#!/usr/bin/env python3
# -*- coding: utf-8 -*-
#
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC
"""
This script extracts the top module cells and their corresponding parameters
Expand Down
8 changes: 8 additions & 0 deletions params-plugin/tests/pll/pll.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

module top (
(* dont_touch = "true" *) input clk100,
input cpu_reset,
Expand Down
8 changes: 8 additions & 0 deletions params-plugin/tests/pll/techmaps/cells_map.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

// ============================================================================
// CMT

Expand Down
8 changes: 8 additions & 0 deletions params-plugin/tests/pll/techmaps/cells_sim.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
// Copyright (C) 2020-2021 The SymbiFlow Authors.
//
// Use of this source code is governed by a ISC-style
// license that can be found in the LICENSE file or at
// https://opensource.org/licenses/ISC
//
// SPDX-License-Identifier:ISC

// ============================================================================
// CMT

Expand Down
8 changes: 8 additions & 0 deletions ql-iob-plugin/Makefile
Original file line number Diff line number Diff line change
@@ -1,3 +1,11 @@
# Copyright (C) 2020-2021 The SymbiFlow Authors.
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier:ISC

NAME = ql-iob
SOURCES = ql-iob.cc pcf_parser.cc pinmap_parser.cc
include ../Makefile_plugin.common
Loading

0 comments on commit d2a6779

Please sign in to comment.